0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:26:26 executing program 0: r0 = syz_open_dev$loop(&(0x7f0000000980), 0x0, 0x0) ioctl$LOOP_GET_STATUS(r0, 0x4c03, &(0x7f0000000600)) [ 1263.372820][T18004] fuse: Unknown parameter 'group_id00000000000000000000' [ 1263.438380][ T24] audit: type=1804 audit(1676021187.489:278): pid=18004 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/692/file0" dev="sda1" ino=1154 res=1 errno=0 [ 1267.632605][ T1190] ieee802154 phy0 wpan0: encryption failed: -22 [ 1267.639660][ T1190] ieee802154 phy1 wpan1: encryption failed: -22 09:26:32 executing program 2: mkdir(&(0x7f0000000580)='./file0\x00', 0x0) mount(0x0, &(0x7f0000000140)='./file0\x00', &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file0\x00', 0x2000, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0)=[{&(0x7f0000000180)='0', 0x1}], 0x1) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) r2 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f00000002c0)=0x2) r3 = getpid() sched_setscheduler(r3, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r4, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r5, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r4, &(0x7f00000000c0), 0x10106, 0x2, 0x0) sched_setaffinity(r2, 0x0, 0x0) sendmsg$netlink(0xffffffffffffffff, &(0x7f0000006440)={0x0, 0x0, &(0x7f00000063c0)}, 0x0) capget(&(0x7f0000000440)={0x20080522, r2}, &(0x7f0000000480)={0x5, 0x2, 0x7fff, 0x20}) syz_open_pts(r0, 0x8200) ftruncate(0xffffffffffffffff, 0x800) sched_setscheduler(r2, 0x0, &(0x7f0000000300)) ioctl$SNDRV_PCM_IOCTL_PAUSE(0xffffffffffffffff, 0x40044145, &(0x7f0000000400)) r6 = creat(&(0x7f0000000040)='./bus\x00', 0x0) ftruncate(r6, 0x800) lseek(r6, 0x200, 0x0) r7 = open(&(0x7f0000000000)='./bus\x00', 0x24000, 0x0) sendfile(r6, r7, 0x0, 0x1ff01) 09:26:32 executing program 4: syz_emit_ethernet(0x3e, &(0x7f0000000000)={@broadcast, @multicast, @void, {@ipv4={0x800, @tcp={{0x5, 0x4, 0x0, 0x0, 0x30, 0x0, 0x0, 0x0, 0x6, 0x0, @remote, @local}, {{0x0, 0x0, 0x41424344, 0x41424344, 0x0, 0x0, 0x7, 0x0, 0x0, 0x0, 0x0, {[@window={0x3, 0x3}, @window={0x3, 0x3}, @generic={0x1, 0x2}]}}}}}}}, 0x0) 09:26:32 executing program 3: r0 = socket$inet6_udp(0xa, 0x2, 0x0) setsockopt$inet6_int(r0, 0x29, 0x3, &(0x7f0000000040), 0x4) 09:26:32 executing program 1: syz_emit_ethernet(0x52, &(0x7f0000000080)={@multicast, @multicast, @void, {@ipv4={0x800, @udp={{0x0, 0x4, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x11, 0x0, @loopback, @dev, {[@cipso={0x86, 0x0, 0x0, [{}, {0x0, 0x0, "e976cf2314dd11170c73a37098c5751e"}]}]}}, {0x0, 0x0, 0x0, 0x0, @wg=@data={0x4, 0x0, 0x0, "83f6dbe3342d3c865fffda9493989c4db57661378997a984943b7f6a20d242fd015cc0a064f5515a5dda05f6842a5a925e86ca13751a6a89fe6a32d6a3b8d3402daf83b9a22c06a26938a998a16f8061a59529a06602d17f3e6d0550c41d18d6d863fd7d681a3221954283bb6aa77585d51bcd0d32e587682e4bac4c87320ea940a20e34f61ab36c05353c4c1eefca5c1b59645411dc64aea60e9444"}}}}}}, 0x0) 09:26:32 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000000000100000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:26:32 executing program 0: r0 = syz_open_dev$loop(&(0x7f0000000200), 0x0, 0x0) ioctl$BLKZEROOUT(r0, 0x1261, 0x0) [ 1268.296052][T18017] fuse: Unknown parameter 'group_id00000000000000000000' [ 1268.360606][ T24] audit: type=1804 audit(1676021192.409:279): pid=18018 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/693/file0" dev="sda1" ino=1178 res=1 errno=0 09:26:32 executing program 1: mkdir(&(0x7f0000000580)='./file0\x00', 0x0) mount(0x0, &(0x7f0000000140)='./file0\x00', &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file0\x00', 0x2000, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0)=[{&(0x7f0000000180)='0', 0x1}], 0x1) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) r2 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f00000002c0)=0x2) r3 = getpid() sched_setscheduler(r3, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r4, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r5, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r4, &(0x7f00000000c0), 0x10106, 0x2, 0x0) sched_setaffinity(r2, 0x0, 0x0) sendmsg$netlink(0xffffffffffffffff, &(0x7f0000006440)={0x0, 0x0, &(0x7f00000063c0)}, 0x0) capget(&(0x7f0000000440)={0x20080522, r2}, &(0x7f0000000480)={0x5, 0x2, 0x7fff, 0x20}) syz_open_pts(r0, 0x8200) ftruncate(0xffffffffffffffff, 0x800) sched_setscheduler(r2, 0x0, &(0x7f0000000300)) ioctl$SNDRV_PCM_IOCTL_PAUSE(0xffffffffffffffff, 0x40044145, &(0x7f0000000400)) r6 = creat(&(0x7f0000000040)='./bus\x00', 0x0) ftruncate(r6, 0x800) lseek(r6, 0x200, 0x0) r7 = open(&(0x7f0000000000)='./bus\x00', 0x24000, 0x0) sendfile(r6, r7, 0x0, 0x1ff01) 09:26:32 executing program 4: r0 = syz_open_dev$loop(&(0x7f0000000200), 0x0, 0x0) ioctl$BLKZEROOUT(r0, 0x401870cc, 0x0) 09:26:32 executing program 3: r0 = socket$igmp6(0xa, 0x3, 0x2) ioctl$sock_inet6_SIOCADDRT(r0, 0x890b, &(0x7f00000000c0)={@private2, @empty, @mcast2, 0x0, 0x3, 0x0, 0x0, 0x0, 0x400068}) 09:26:32 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000000000100000,user_id=', @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:26:32 executing program 0: bpf$BPF_BTF_LOAD(0x12, &(0x7f00000002c0)={&(0x7f0000000480)={{0xeb9f, 0x1, 0x0, 0x18, 0x0, 0x18, 0x18, 0x5, [@typedef={0x3}, @volatile]}, {0x0, [0x0, 0x0, 0x5f]}}, &(0x7f0000000200)=""/170, 0x35, 0xaa, 0x1}, 0x20) [ 1268.920915][ T24] audit: type=1804 audit(1676021192.969:280): pid=18024 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=open_writers comm="syz-executor.2" name="/root/syzkaller-testdir3896343466/syzkaller.xYmcNC/726/bus" dev="sda1" ino=1167 res=1 errno=0 [ 1268.946782][ T24] audit: type=1800 audit(1676021192.969:281): pid=18024 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.2" name="bus" dev="sda1" ino=1167 res=0 errno=0 [ 1269.140199][T18032] fuse: Bad value for 'user_id' 09:26:33 executing program 4: r0 = syz_init_net_socket$x25(0x9, 0x5, 0x0) listen(r0, 0x0) connect$x25(r0, &(0x7f00000000c0)={0x9, @remote={'\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc', 0x2}}, 0x12) [ 1269.233425][ T24] audit: type=1804 audit(1676021193.249:282): pid=18032 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/694/file0" dev="sda1" ino=1182 res=1 errno=0 09:26:38 executing program 2: mkdir(&(0x7f0000000580)='./file0\x00', 0x0) mount(0x0, &(0x7f0000000140)='./file0\x00', &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file0\x00', 0x2000, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0)=[{&(0x7f0000000180)='0', 0x1}], 0x1) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) r2 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f00000002c0)=0x2) r3 = getpid() sched_setscheduler(r3, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r4, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r5, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r4, &(0x7f00000000c0), 0x10106, 0x2, 0x0) sched_setaffinity(r2, 0x0, 0x0) sendmsg$netlink(0xffffffffffffffff, &(0x7f0000006440)={0x0, 0x0, &(0x7f00000063c0)}, 0x0) capget(&(0x7f0000000440)={0x20080522, r2}, &(0x7f0000000480)={0x5, 0x2, 0x7fff, 0x20}) syz_open_pts(r0, 0x8200) ftruncate(0xffffffffffffffff, 0x800) sched_setscheduler(r2, 0x0, &(0x7f0000000300)) ioctl$SNDRV_PCM_IOCTL_PAUSE(0xffffffffffffffff, 0x40044145, &(0x7f0000000400)) r6 = creat(&(0x7f0000000040)='./bus\x00', 0x0) ftruncate(r6, 0x800) lseek(r6, 0x200, 0x0) r7 = open(&(0x7f0000000000)='./bus\x00', 0x24000, 0x0) sendfile(r6, r7, 0x0, 0x1ff01) 09:26:38 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000000000100000,user_id=', @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:26:38 executing program 3: r0 = open(&(0x7f00000000c0)='./bus\x00', 0x149a42, 0x0) writev(r0, &(0x7f0000000080)=[{&(0x7f0000001100)='.', 0x1}], 0x1) fsetxattr(r0, &(0x7f0000000100)=@known='system.advise\x00', 0x0, 0x0, 0x0) 09:26:38 executing program 0: bpf$MAP_CREATE(0x0, &(0x7f00000002c0)=@base={0x2, 0x4, 0x18, 0x2}, 0x48) r0 = bpf$MAP_CREATE(0x0, &(0x7f00000002c0)=@base={0x2, 0x4, 0x18, 0x2}, 0x48) syz_emit_vhci(&(0x7f0000000040)=ANY=[@ANYRES32=r0], 0x9) 09:26:38 executing program 4: r0 = syz_init_net_socket$x25(0x9, 0x5, 0x0) listen(r0, 0x0) connect$x25(r0, &(0x7f00000000c0)={0x9, @remote={'\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc', 0x2}}, 0x12) 09:26:38 executing program 1: mkdir(&(0x7f0000000580)='./file0\x00', 0x0) mount(0x0, &(0x7f0000000140)='./file0\x00', &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file0\x00', 0x2000, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0)=[{&(0x7f0000000180)='0', 0x1}], 0x1) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) r2 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f00000002c0)=0x2) r3 = getpid() sched_setscheduler(r3, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r4, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r5, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r4, &(0x7f00000000c0), 0x10106, 0x2, 0x0) sched_setaffinity(r2, 0x0, 0x0) sendmsg$netlink(0xffffffffffffffff, &(0x7f0000006440)={0x0, 0x0, &(0x7f00000063c0)}, 0x0) capget(&(0x7f0000000440)={0x20080522, r2}, &(0x7f0000000480)={0x5, 0x2, 0x7fff, 0x20}) syz_open_pts(r0, 0x8200) ftruncate(0xffffffffffffffff, 0x800) sched_setscheduler(r2, 0x0, &(0x7f0000000300)) ioctl$SNDRV_PCM_IOCTL_PAUSE(0xffffffffffffffff, 0x40044145, &(0x7f0000000400)) r6 = creat(&(0x7f0000000040)='./bus\x00', 0x0) ftruncate(r6, 0x800) lseek(r6, 0x200, 0x0) r7 = open(&(0x7f0000000000)='./bus\x00', 0x24000, 0x0) sendfile(r6, r7, 0x0, 0x1ff01) [ 1274.699407][T18046] fuse: Bad value for 'user_id' [ 1274.777315][ T24] audit: type=1804 audit(1676021198.829:283): pid=18050 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/695/file0" dev="sda1" ino=1174 res=1 errno=0 09:26:38 executing program 3: r0 = open(&(0x7f00000000c0)='./bus\x00', 0x149a42, 0x0) ioctl$FS_IOC_SETFLAGS(r0, 0x40086602, 0xffffffffffffffff) 09:26:38 executing program 0: close_range(0xffffffffffffffff, 0xffffffffffffffff, 0x2) r0 = openat$kvm(0xffffffffffffff9c, &(0x7f00000000c0), 0x602900, 0x0) pwrite64(r0, 0x0, 0x0, 0x0) 09:26:39 executing program 4: r0 = syz_init_net_socket$x25(0x9, 0x5, 0x0) listen(r0, 0x0) connect$x25(r0, &(0x7f00000000c0)={0x9, @remote={'\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc', 0x2}}, 0x12) 09:26:39 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000000000100000,user_id=', @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) [ 1275.305454][ T24] audit: type=1804 audit(1676021199.349:284): pid=18057 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=open_writers comm="syz-executor.2" name="/root/syzkaller-testdir3896343466/syzkaller.xYmcNC/727/bus" dev="sda1" ino=1165 res=1 errno=0 [ 1275.331445][ T24] audit: type=1800 audit(1676021199.359:285): pid=18057 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.2" name="bus" dev="sda1" ino=1165 res=0 errno=0 09:26:39 executing program 0: r0 = syz_init_net_socket$x25(0x9, 0x5, 0x0) ioctl$sock_x25_SIOCADDRT(r0, 0x890b, &(0x7f0000000100)={@remote={'\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc', 0x1}, 0x0, 'gre0\x00'}) [ 1275.754268][ T24] audit: type=1804 audit(1676021199.499:286): pid=18060 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=open_writers comm="syz-executor.1" name="/root/syzkaller-testdir3119057687/syzkaller.ZV6Ozv/809/bus" dev="sda1" ino=1169 res=1 errno=0 [ 1275.780544][ T24] audit: type=1800 audit(1676021199.499:287): pid=18060 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.1" name="bus" dev="sda1" ino=1169 res=0 errno=0 [ 1275.828534][T18066] fuse: Bad value for 'user_id' 09:26:39 executing program 4: r0 = syz_init_net_socket$x25(0x9, 0x5, 0x0) listen(r0, 0x0) connect$x25(r0, &(0x7f00000000c0)={0x9, @remote={'\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc', 0x2}}, 0x12) [ 1276.139707][ T24] audit: type=1804 audit(1676021199.949:288): pid=18066 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/696/file0" dev="sda1" ino=1186 res=1 errno=0 09:26:43 executing program 2: mkdir(&(0x7f0000000580)='./file0\x00', 0x0) mount(0x0, &(0x7f0000000140)='./file0\x00', &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file0\x00', 0x2000, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0)=[{&(0x7f0000000180)='0', 0x1}], 0x1) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) r2 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f00000002c0)=0x2) r3 = getpid() sched_setscheduler(r3, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r4, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r5, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r4, &(0x7f00000000c0), 0x10106, 0x2, 0x0) sched_setaffinity(r2, 0x0, 0x0) sendmsg$netlink(0xffffffffffffffff, &(0x7f0000006440)={0x0, 0x0, &(0x7f00000063c0)}, 0x0) capget(&(0x7f0000000440)={0x20080522, r2}, &(0x7f0000000480)={0x5, 0x2, 0x7fff, 0x20}) syz_open_pts(r0, 0x8200) ftruncate(0xffffffffffffffff, 0x800) sched_setscheduler(r2, 0x0, &(0x7f0000000300)) ioctl$SNDRV_PCM_IOCTL_PAUSE(0xffffffffffffffff, 0x40044145, &(0x7f0000000400)) r6 = creat(&(0x7f0000000040)='./bus\x00', 0x0) ftruncate(r6, 0x800) lseek(r6, 0x200, 0x0) r7 = open(&(0x7f0000000000)='./bus\x00', 0x24000, 0x0) sendfile(r6, r7, 0x0, 0x1ff01) 09:26:43 executing program 3: r0 = add_key$keyring(&(0x7f0000000140), &(0x7f0000000180)={'syz', 0x2}, 0x0, 0x0, 0xffffffffffffffff) keyctl$link(0x8, r0, 0xffffffffffffffff) 09:26:43 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:26:43 executing program 0: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f00000000c0), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000100)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000000000/0x18000)=nil, 0x0, 0x0, 0x0, &(0x7f0000000340)=[@cr4={0x1, 0x8}], 0x1) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f00000004c0)={[0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfb, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffffffffffffff9]}) ioctl$KVM_RUN(r2, 0xae80, 0x0) ioctl$KVM_RUN(r2, 0xae80, 0x0) ioctl$KVM_RUN(r2, 0xae80, 0x0) ioctl$KVM_RUN(r2, 0xae80, 0x0) syz_kvm_setup_cpu$x86(r1, 0xffffffffffffffff, &(0x7f0000000000/0x18000)=nil, &(0x7f0000000040)=[@textreal={0x8, 0x0}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_RUN(r2, 0xae80, 0x0) 09:26:43 executing program 4: r0 = openat$vcsa(0xffffffffffffff9c, &(0x7f00000003c0), 0x2002, 0x0) write$P9_RVERSION(r0, &(0x7f0000000440)=ANY=[], 0x15) [ 1279.810235][T18085] fuse: Bad value for 'fd' [ 1279.820922][ T24] audit: type=1804 audit(1676021203.869:289): pid=18085 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/697/file0" dev="sda1" ino=1179 res=1 errno=0 09:26:44 executing program 1: mkdir(&(0x7f0000000580)='./file0\x00', 0x0) mount(0x0, &(0x7f0000000140)='./file0\x00', &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file0\x00', 0x2000, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0)=[{&(0x7f0000000180)='0', 0x1}], 0x1) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) r2 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f00000002c0)=0x2) r3 = getpid() sched_setscheduler(r3, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r4, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r5, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r4, &(0x7f00000000c0), 0x10106, 0x2, 0x0) sched_setaffinity(r2, 0x0, 0x0) sendmsg$netlink(0xffffffffffffffff, &(0x7f0000006440)={0x0, 0x0, &(0x7f00000063c0)}, 0x0) capget(&(0x7f0000000440)={0x20080522, r2}, &(0x7f0000000480)={0x5, 0x2, 0x7fff, 0x20}) syz_open_pts(r0, 0x8200) ftruncate(0xffffffffffffffff, 0x800) sched_setscheduler(r2, 0x0, &(0x7f0000000300)) ioctl$SNDRV_PCM_IOCTL_PAUSE(0xffffffffffffffff, 0x40044145, &(0x7f0000000400)) r6 = creat(&(0x7f0000000040)='./bus\x00', 0x0) ftruncate(r6, 0x800) lseek(r6, 0x200, 0x0) r7 = open(&(0x7f0000000000)='./bus\x00', 0x24000, 0x0) sendfile(r6, r7, 0x0, 0x1ff01) 09:26:44 executing program 3: r0 = syz_init_net_socket$x25(0x9, 0x5, 0x0) ioctl$sock_x25_SIOCADDRT(r0, 0x890b, &(0x7f0000000000)={@remote={'\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc\xcc', 0x1}, 0x0, 'virt_wifi0\x00'}) 09:26:44 executing program 4: bpf$BPF_BTF_LOAD(0x12, &(0x7f00000002c0)={&(0x7f0000000480)={{0xeb9f, 0x1, 0x0, 0x18, 0x0, 0x18, 0x18, 0x5, [@typedef={0x3, 0x0, 0x0, 0x8, 0x3}, @volatile]}, {0x0, [0x0, 0x0, 0x5f]}}, &(0x7f0000000200)=""/170, 0x35, 0xaa, 0x1}, 0x20) 09:26:44 executing program 0: pselect6(0x0, 0x0, 0x0, 0x0, &(0x7f00000012c0), 0x0) 09:26:44 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) [ 1280.326234][ T24] audit: type=1804 audit(1676021204.379:290): pid=18090 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=open_writers comm="syz-executor.2" name="/root/syzkaller-testdir3896343466/syzkaller.xYmcNC/728/bus" dev="sda1" ino=1155 res=1 errno=0 [ 1280.352317][ T24] audit: type=1800 audit(1676021204.379:291): pid=18090 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.2" name="bus" dev="sda1" ino=1155 res=0 errno=0 09:26:44 executing program 3: syz_mount_image$ext4(&(0x7f0000000780)='ext4\x00', &(0x7f00000007c0)='./file0\x00', 0x2000480, &(0x7f0000002040)=ANY=[@ANYRES64=0x0, @ANYBLOB="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"], 0x1, 0x75b, &(0x7f0000000840)="$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") r0 = open(&(0x7f0000000000)='./bus\x00', 0x143042, 0x0) r1 = open(&(0x7f0000002000)='./bus\x00', 0x143142, 0x0) r2 = open(&(0x7f0000002000)='./bus\x00', 0x143042, 0x0) ftruncate(r2, 0x10001) sendfile(r1, r2, 0x0, 0x1000000201005) ftruncate(r0, 0x21) 09:26:44 executing program 4: io_setup(0x6, &(0x7f0000000000)=0x0) io_pgetevents(r0, 0x0, 0x0, 0x0, 0x0, 0x0) syz_genetlink_get_family_id$SEG6(&(0x7f0000000100), 0xffffffffffffffff) [ 1280.953987][T18101] fuse: Bad value for 'fd' [ 1280.989295][ T24] audit: type=1804 audit(1676021205.039:292): pid=18101 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/698/file0" dev="sda1" ino=1179 res=1 errno=0 [ 1281.309018][ T24] audit: type=1804 audit(1676021205.149:293): pid=18103 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=open_writers comm="syz-executor.1" name="/root/syzkaller-testdir3119057687/syzkaller.ZV6Ozv/810/bus" dev="sda1" ino=1161 res=1 errno=0 [ 1281.335232][ T24] audit: type=1800 audit(1676021205.149:294): pid=18103 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.1" name="bus" dev="sda1" ino=1161 res=0 errno=0 [ 1282.026565][T18106] loop3: detected capacity change from 0 to 2048 [ 1282.199957][T18106] EXT4-fs (loop3): mounted filesystem 00000000-0000-0000-0000-000000000000 without journal. Quota mode: none. [ 1282.538606][ T5037] EXT4-fs (loop3): unmounting filesystem 00000000-0000-0000-0000-000000000000. 09:26:48 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:26:48 executing program 0: io_setup(0x0, &(0x7f0000000000)) syz_genetlink_get_family_id$SEG6(&(0x7f0000000100), 0xffffffffffffffff) r0 = openat$incfs(0xffffffffffffff9c, &(0x7f0000000540)='.log\x00', 0x80, 0x0) sendmsg$SEG6_CMD_SETHMAC(r0, &(0x7f0000000780)={&(0x7f0000000680)={0x10, 0x0, 0x0, 0x1000}, 0xc, &(0x7f0000000740)={&(0x7f00000006c0)={0x44, 0x0, 0x200, 0x70bd2a, 0x0, {}, [@SEG6_ATTR_SECRETLEN={0x5}, @SEG6_ATTR_DSTLEN={0x8}, @SEG6_ATTR_HMACKEYID={0x8, 0x3, 0x3ff}, @SEG6_ATTR_HMACKEYID={0x8, 0x3, 0x200}, @SEG6_ATTR_DSTLEN={0x8, 0x2, 0x59c}, @SEG6_ATTR_SECRETLEN={0x5}]}, 0x44}, 0x1, 0x0, 0x0, 0x14}, 0x20000045) r1 = openat$tun(0xffffffffffffff9c, &(0x7f00000007c0), 0x40, 0x0) ioctl$TUNGETVNETHDRSZ(r1, 0x800454d7, 0x0) io_setup(0xa66, &(0x7f0000000840)) socket$nl_generic(0x10, 0x3, 0x10) io_setup(0x7, &(0x7f0000000ec0)) 09:26:48 executing program 4: openat$pfkey(0xffffffffffffff9c, &(0x7f0000000700), 0x38040, 0x0) 09:26:48 executing program 3: r0 = openat$incfs(0xffffffffffffff9c, &(0x7f0000000680)='.log\x00', 0xa0040, 0x0) ioctl$sock_ipv4_tunnel_SIOCGETTUNNEL(r0, 0x89f0, 0x0) [ 1285.022289][T18117] fuse: Bad value for 'fd' [ 1285.086424][ T24] audit: type=1804 audit(1676021209.129:295): pid=18117 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/699/file0" dev="sda1" ino=1179 res=1 errno=0 09:26:49 executing program 3: syz_mount_image$ext4(&(0x7f0000000780)='ext4\x00', &(0x7f00000007c0)='./file0\x00', 0x2000480, &(0x7f0000002040)=ANY=[@ANYRES64=0x0, @ANYBLOB="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"], 0x1, 0x75b, &(0x7f0000000840)="$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") r0 = open(&(0x7f0000000000)='./bus\x00', 0x143042, 0x0) r1 = open(&(0x7f0000002000)='./bus\x00', 0x143142, 0x0) r2 = open(&(0x7f0000002000)='./bus\x00', 0x143042, 0x0) ftruncate(r2, 0x10001) sendfile(r1, r2, 0x0, 0x1000000201005) ftruncate(r0, 0x6) 09:26:49 executing program 0: r0 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x11, 0x8, &(0x7f0000001c40)=ANY=[@ANYBLOB="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"], &(0x7f0000000100)='GPL\x00'}, 0x48) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000180)={&(0x7f0000000040)='sys_exit\x00', r0}, 0x10) pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) 09:26:49 executing program 4: io_setup(0x6, 0x0) io_setup(0xa66, &(0x7f0000000840)) socket$nl_generic(0x10, 0x3, 0x10) 09:26:49 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB, @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:26:49 executing program 2: io_setup(0x7, &(0x7f0000000ec0)=0x0) io_pgetevents(r0, 0x0, 0x0, 0x0, &(0x7f0000001000), 0x0) [ 1285.909191][T18124] loop3: detected capacity change from 0 to 2048 09:26:50 executing program 1: prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = getpid() process_vm_readv(r0, &(0x7f0000008400)=[{&(0x7f0000000300)=""/54, 0x7ffff000}, {&(0x7f0000006180)=""/152, 0x98}], 0x2, &(0x7f0000008640)=[{&(0x7f0000008480)=""/95, 0x7ffff000}], 0x286, 0x0) ioctl$sock_SIOCGPGRP(0xffffffffffffffff, 0x8904, &(0x7f0000000180)) mkdir(&(0x7f0000000100)='./file0\x00', 0x0) mount(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0)='ramfs\x00', 0x50, 0x0) getsockopt$sock_cred(0xffffffffffffffff, 0x1, 0x11, 0x0, &(0x7f0000000280)) process_vm_readv(0x0, &(0x7f0000000040)=[{&(0x7f0000000440)=""/220, 0xdc}], 0x1, &(0x7f0000000280), 0x0, 0x0) r1 = creat(&(0x7f00000001c0)='./file0/bus\x00', 0x0) setuid(0x0) fstat(r1, &(0x7f00000003c0)) r2 = ioctl$LOOP_CTL_GET_FREE(0xffffffffffffffff, 0x4c82) ioctl$LOOP_CTL_REMOVE(0xffffffffffffffff, 0x4c81, r2) openat$loop_ctrl(0xffffffffffffff9c, &(0x7f0000000580), 0x0, 0x0) mount$fuse(0x0, &(0x7f0000000340)='./file0\x00', 0x0, 0x0, &(0x7f00000005c0)=ANY=[@ANYBLOB="78399ea14d773200fa6a6c0481a330db053188d1e036d7cdbc097bda816bd5c5451d3854ff535c566091fb6d8e00ddcfa8c8530ca1192a770000000000000054e9302af2d2e869a9eded"]) timer_create(0x0, 0x0, 0x0) r3 = open(&(0x7f0000021000)='./file0\x00', 0x0, 0x0) fchdir(r3) r4 = open(&(0x7f0000000000)='./bus\x00', 0x141042, 0x0) write$P9_RREADLINK(r4, &(0x7f0000000140)=ANY=[], 0x1c) getpgid(0x0) read$hidraw(0xffffffffffffffff, &(0x7f0000000900)=""/12, 0xc) read$FUSE(0xffffffffffffffff, &(0x7f0000000680)={0x2020}, 0x2020) sendfile(r4, r4, &(0x7f0000000240), 0x7fff) [ 1286.128708][T18124] EXT4-fs (loop3): mounted filesystem 00000000-0000-0000-0000-000000000000 without journal. Quota mode: none. [ 1286.166487][T18135] fuse: Bad value for 'fd' [ 1286.254359][ T24] audit: type=1804 audit(1676021210.309:296): pid=18135 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/700/file0" dev="sda1" ino=1178 res=1 errno=0 09:26:50 executing program 2: pipe(&(0x7f0000000400)) 09:26:50 executing program 0: openat$pfkey(0xffffffffffffff9c, &(0x7f0000000140), 0x28480, 0x0) 09:26:50 executing program 4: mkdir(&(0x7f00000002c0)='./file0\x00', 0x0) mount(0x0, &(0x7f0000000140)='./file0\x00', &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0)=[{&(0x7f0000000180)='0', 0x1}], 0x1) socket$inet_udplite(0x2, 0x2, 0x88) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) r2 = getpid() sched_setscheduler(r2, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r3, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r4, &(0x7f00000bd000), 0x318, 0x0) pipe(&(0x7f00000003c0)) ioctl$KDSETKEYCODE(0xffffffffffffffff, 0x4b4d, 0x0) recvmmsg(r3, &(0x7f00000000c0), 0x10106, 0x2, 0x0) syz_mount_image$udf(&(0x7f0000000c00), &(0x7f0000000180)='./bus\x00', 0x40, &(0x7f0000000080)=ANY=[@ANYBLOB="000d4bb72c1e1cda0e94577ab5fc264780410e33dff80000000000"], 0x1, 0xbee, &(0x7f0000000cc0)="$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") ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(0xffffffffffffffff, 0x89f0, 0x0) openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000240)='memory.current\x00', 0x275a, 0x0) fcntl$setstatus(0xffffffffffffffff, 0x4, 0x6100) ftruncate(0xffffffffffffffff, 0x481fc) write$cgroup_type(0xffffffffffffffff, 0x0, 0x0) lseek(0xffffffffffffffff, 0x0, 0x0) setuid(0x0) write$P9_RGETATTR(r0, 0x0, 0x0) write$binfmt_script(0xffffffffffffffff, 0x0, 0x208e24b) getegid() 09:26:50 executing program 3: socket$nl_netfilter(0x10, 0x3, 0xc) syz_mount_image$ext4(0x0, &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, &(0x7f0000000000)) pipe2$9p(&(0x7f00000001c0)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) dup2(0xffffffffffffffff, 0xffffffffffffffff) mount$9p_fd(0x20000000, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000280), 0x0, &(0x7f00000002c0)=ANY=[@ANYBLOB='trans=fd,rfdno', @ANYRESHEX=r0, @ANYBLOB=',wfdno', @ANYRESHEX=r1, @ANYBLOB="2c75e36d73697a653d30783030303030303030211ce9f6b8e88140"]) sendmsg$nl_netfilter(0xffffffffffffffff, &(0x7f0000000480)={&(0x7f00000003c0)={0x10, 0x0, 0x0, 0x4000000}, 0xc, 0x0}, 0x64040080) r2 = socket$inet6_tcp(0xa, 0x1, 0x0) r3 = socket(0x0, 0x2, 0x0) getsockopt$sock_cred(r3, 0x1, 0x11, &(0x7f0000caaffb), &(0x7f0000cab000)=0xc) setsockopt$inet6_tcp_int(0xffffffffffffffff, 0x6, 0x0, &(0x7f0000000140)=0x200, 0x4) bind$inet6(r2, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) setsockopt$inet6_opts(0xffffffffffffffff, 0x29, 0x3b, 0x0, 0x0) ioctl$int_in(r2, 0x5452, &(0x7f0000000040)=0x81) sendto$inet6(r2, 0x0, 0x0, 0x2200c851, &(0x7f0000b63fe4)={0xa, 0x2, 0x0, @loopback}, 0x1c) recvmsg(r2, &(0x7f00000000c0)={0x0, 0x0, &(0x7f00000007c0)=[{0x0}, {0x0}, {&(0x7f0000000640)=""/178, 0xb2}], 0x3}, 0x102) ioctl$F2FS_IOC_MOVE_RANGE(r2, 0xc020f509, &(0x7f0000000440)={0xffffffffffffffff, 0x0, 0x9}) shutdown(r2, 0x1) openat$sndseq(0xffffffffffffff9c, &(0x7f0000000240), 0x880) poll(&(0x7f0000000340)=[{r0, 0x1060}], 0x1, 0x3ff) getpeername$netlink(0xffffffffffffffff, &(0x7f0000000080), &(0x7f0000000100)=0xc) r4 = open(&(0x7f00000002c0)='./bus\x00', 0x1c1042, 0x0) pwrite64(r4, &(0x7f0000000180)="13", 0x1, 0x4010040bffd) r5 = creat(0x0, 0x0) write$binfmt_misc(r5, &(0x7f00000000c0)=ANY=[], 0x4) fadvise64(r5, 0x2, 0x2, 0x4) 09:26:50 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB, @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) [ 1286.735955][ T5037] EXT4-fs (loop3): unmounting filesystem 00000000-0000-0000-0000-000000000000. 09:26:51 executing program 2: prlimit64(0x0, 0xe, 0x0, 0x0) mkdir(&(0x7f00000001c0)='./file0\x00', 0x0) mount(0x0, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000080)='./file0\x00', 0x0, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f0000000440)=[{&(0x7f0000000100)='0', 0x1}], 0x1) sched_setscheduler(0x0, 0x1, &(0x7f0000000400)=0x8) getpid() sched_setscheduler(0x0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x0, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r2, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r3, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r2, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r4 = openat$uinput(0xffffffffffffff9c, &(0x7f0000000040), 0x802, 0x0) r5 = dup(r4) syz_clone(0xa0000, &(0x7f0000000cc0), 0x0, 0x0, &(0x7f0000000ac0), &(0x7f0000000d80)) write$uinput_user_dev(r5, &(0x7f0000000380)={'syz1\x00'}, 0x45c) bind$alg(0xffffffffffffffff, &(0x7f0000000000)={0x26, 'hash\x00', 0x0, 0x0, 'cryptd(crct10dif-generic)\x00'}, 0x58) accept4$alg(0xffffffffffffffff, 0x0, 0x0, 0x0) ioctl$SW_SYNC_IOC_CREATE_FENCE(r0, 0xc0285700, &(0x7f0000000180)={0x0, "c62c73f95ca417bbfccb6d12371a660b52512139779d2896a1f0cef441a7f755", 0xffffffffffffffff}) fstat(r6, &(0x7f0000000240)) ioctl$UI_DEV_CREATE(r5, 0x5501) 09:26:51 executing program 0: pipe(&(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) openat$pfkey(0xffffffffffffff9c, &(0x7f00000001c0), 0x280000, 0x0) execveat(r0, &(0x7f00000002c0)='./file0\x00', &(0x7f00000004c0)=[&(0x7f0000000340)='/proc/self/net/pfkey\x00'], &(0x7f0000000580)=[&(0x7f0000000500)='-\x00', &(0x7f0000000540)='.log\x00'], 0x1000) [ 1287.279798][T18150] fuse: Bad value for 'fd' [ 1287.346480][ T24] audit: type=1804 audit(1676021211.399:297): pid=18150 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/701/file0" dev="sda1" ino=1153 res=1 errno=0 [ 1287.594284][T18149] loop4: detected capacity change from 0 to 2048 09:26:51 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB, @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) [ 1287.729175][T18153] 9pnet_fd: Insufficient options for proto=fd [ 1287.748843][T18149] UDF-fs: INFO Mounting volume 'LinuxUDF', timestamp 2022/11/22 14:59 (1000) [ 1288.153644][T18164] input: syz1 as /devices/virtual/input/input22 09:26:52 executing program 0: r0 = socket$inet(0x2, 0x4000000000000001, 0x0) setsockopt$inet_tcp_int(r0, 0x6, 0x80000000000002, &(0x7f00000000c0)=0x78, 0x4) bind$inet(r0, &(0x7f0000000000)={0x2, 0x4e23, @broadcast}, 0x10) r1 = syz_open_dev$tty1(0xc, 0x4, 0x1) dup(r1) mkdir(&(0x7f00000002c0)='./file0\x00', 0x0) r2 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route(r2, &(0x7f00000006c0)={0x0, 0x0, &(0x7f0000000080)={&(0x7f0000000000)=ANY=[@ANYBLOB="240000001a000000000000000000000a00000000"], 0x24}}, 0x0) mount(0x0, &(0x7f0000000140)='./file0\x00', &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r3 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x61) r4 = openat$cgroup_procs(r3, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r4, &(0x7f00000001c0)=[{&(0x7f0000000180)='0', 0x1}], 0x1) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) r5 = getpid() accept4(r0, 0x0, &(0x7f0000000380), 0x80000) sched_setscheduler(r5, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r6, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r6, &(0x7f00000000c0), 0x10106, 0x2, 0x0) socket$netlink(0x10, 0x3, 0x0) socket$netlink(0x10, 0x3, 0x0) ioctl$sock_FIOGETOWN(0xffffffffffffffff, 0x8903, 0x0) r8 = syz_init_net_socket$bt_sco(0x1f, 0x5, 0x2) bind$bt_sco(r8, &(0x7f0000000080)={0x1f, @fixed={'\xaa\xaa\xaa\xaa\xaa', 0x12}}, 0x8) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0x652) getsockopt$bt_BT_DEFER_SETUP(r8, 0x112, 0x7, &(0x7f0000000240), &(0x7f0000000280)=0x4) sendto$inet(r0, 0x0, 0x0, 0x200087e8, &(0x7f0000000080)={0x2, 0x4e23, @local}, 0x10) sendto$inet(r0, &(0x7f00000012c0)="0c268a927f1f6588b967481241ba78050a34f65ac618ded8974895abeaf4b4834ff922b3f1e0b02bd67aa03859bcecc7a95425a3a07e756d44ab4ea6f7ae55d88fecf90b1a7511bf746bec66ba", 0xfe6a, 0x11, 0x0, 0x27) 09:26:52 executing program 2: mknodat(0xffffffffffffff9c, &(0x7f0000000040)='./file0\x00', 0x0, 0x0) mount$cgroup(0x0, &(0x7f0000000200)='./file0\x00', &(0x7f0000000240), 0x0, &(0x7f0000000280)={[{@subsystem='pids'}, {}]}) 09:26:52 executing program 3: socket$nl_netfilter(0x10, 0x3, 0xc) syz_mount_image$ext4(0x0, &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, &(0x7f0000000000)) pipe2$9p(&(0x7f00000001c0)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) dup2(0xffffffffffffffff, 0xffffffffffffffff) mount$9p_fd(0x20000000, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000280), 0x0, &(0x7f00000002c0)=ANY=[@ANYBLOB='trans=fd,rfdno', @ANYRESHEX=r0, @ANYBLOB=',wfdno', @ANYRESHEX=r1, @ANYBLOB="2c75e36d73697a653d30783030303030303030211ce9f6b8e88140"]) sendmsg$nl_netfilter(0xffffffffffffffff, &(0x7f0000000480)={&(0x7f00000003c0)={0x10, 0x0, 0x0, 0x4000000}, 0xc, 0x0}, 0x64040080) r2 = socket$inet6_tcp(0xa, 0x1, 0x0) r3 = socket(0x0, 0x2, 0x0) getsockopt$sock_cred(r3, 0x1, 0x11, &(0x7f0000caaffb), &(0x7f0000cab000)=0xc) setsockopt$inet6_tcp_int(0xffffffffffffffff, 0x6, 0x0, &(0x7f0000000140)=0x200, 0x4) bind$inet6(r2, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) setsockopt$inet6_opts(0xffffffffffffffff, 0x29, 0x3b, 0x0, 0x0) ioctl$int_in(r2, 0x5452, &(0x7f0000000040)=0x81) sendto$inet6(r2, 0x0, 0x0, 0x2200c851, &(0x7f0000b63fe4)={0xa, 0x2, 0x0, @loopback}, 0x1c) recvmsg(r2, &(0x7f00000000c0)={0x0, 0x0, &(0x7f00000007c0)=[{0x0}, {0x0}, {&(0x7f0000000640)=""/178, 0xb2}], 0x3}, 0x102) ioctl$F2FS_IOC_MOVE_RANGE(r2, 0xc020f509, &(0x7f0000000440)={0xffffffffffffffff, 0x0, 0x9}) shutdown(r2, 0x1) openat$sndseq(0xffffffffffffff9c, &(0x7f0000000240), 0x880) poll(&(0x7f0000000340)=[{r0, 0x1060}], 0x1, 0x3ff) getpeername$netlink(0xffffffffffffffff, &(0x7f0000000080), &(0x7f0000000100)=0xc) r4 = open(&(0x7f00000002c0)='./bus\x00', 0x1c1042, 0x0) pwrite64(r4, &(0x7f0000000180)="13", 0x1, 0x4010040bffd) r5 = creat(0x0, 0x0) write$binfmt_misc(r5, &(0x7f00000000c0)=ANY=[], 0x4) fadvise64(r5, 0x2, 0x2, 0x4) [ 1288.800492][T18166] fuse: Bad value for 'fd' [ 1288.848465][ T24] audit: type=1804 audit(1676021212.899:298): pid=18166 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/702/file0" dev="sda1" ino=1171 res=1 errno=0 [ 1289.510999][T18176] cgroup: subsys name conflicts with all [ 1289.739767][T18179] 9pnet_fd: Insufficient options for proto=fd 09:26:55 executing program 1: add_key(&(0x7f0000000080)='trusted\x00', &(0x7f00000000c0)={'syz', 0x1}, &(0x7f0000000100)='#', 0x1, 0xfffffffffffffffb) 09:26:55 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:26:55 executing program 2: socket$nl_netfilter(0x10, 0x3, 0xc) syz_mount_image$ext4(0x0, &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, &(0x7f0000000000)) pipe2$9p(&(0x7f00000001c0)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) dup2(0xffffffffffffffff, 0xffffffffffffffff) mount$9p_fd(0x20000000, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000280), 0x0, &(0x7f00000002c0)=ANY=[@ANYBLOB='trans=fd,rfdno', @ANYRESHEX=r0, @ANYBLOB=',wfdno', @ANYRESHEX=r1, @ANYBLOB="2c75e36d73697a653d30783030303030303030211ce9f6b8e88140"]) sendmsg$nl_netfilter(0xffffffffffffffff, &(0x7f0000000480)={&(0x7f00000003c0)={0x10, 0x0, 0x0, 0x4000000}, 0xc, 0x0}, 0x64040080) r2 = socket$inet6_tcp(0xa, 0x1, 0x0) r3 = socket(0x0, 0x2, 0x0) getsockopt$sock_cred(r3, 0x1, 0x11, &(0x7f0000caaffb), &(0x7f0000cab000)=0xc) setsockopt$inet6_tcp_int(0xffffffffffffffff, 0x6, 0x0, &(0x7f0000000140)=0x200, 0x4) bind$inet6(r2, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) setsockopt$inet6_opts(0xffffffffffffffff, 0x29, 0x3b, 0x0, 0x0) ioctl$int_in(r2, 0x5452, &(0x7f0000000040)=0x81) sendto$inet6(r2, 0x0, 0x0, 0x2200c851, &(0x7f0000b63fe4)={0xa, 0x2, 0x0, @loopback}, 0x1c) recvmsg(r2, &(0x7f00000000c0)={0x0, 0x0, &(0x7f00000007c0)=[{0x0}, {0x0}, {&(0x7f0000000640)=""/178, 0xb2}], 0x3}, 0x102) ioctl$F2FS_IOC_MOVE_RANGE(r2, 0xc020f509, &(0x7f0000000440)={0xffffffffffffffff, 0x0, 0x9}) shutdown(r2, 0x1) openat$sndseq(0xffffffffffffff9c, &(0x7f0000000240), 0x880) poll(&(0x7f0000000340)=[{r0, 0x1060}], 0x1, 0x3ff) getpeername$netlink(0xffffffffffffffff, &(0x7f0000000080), &(0x7f0000000100)=0xc) r4 = open(&(0x7f00000002c0)='./bus\x00', 0x1c1042, 0x0) pwrite64(r4, &(0x7f0000000180)="13", 0x1, 0x4010040bffd) r5 = creat(0x0, 0x0) write$binfmt_misc(r5, &(0x7f00000000c0)=ANY=[], 0x4) fadvise64(r5, 0x2, 0x2, 0x4) [ 1291.814952][T18187] fuse: Invalid rootmode [ 1291.831785][ T24] audit: type=1804 audit(1676021215.879:299): pid=18187 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/703/file0" dev="sda1" ino=1164 res=1 errno=0 [ 1291.869236][T18186] 9pnet_fd: Insufficient options for proto=fd 09:26:58 executing program 4: syz_open_dev$sndctrl(0x0, 0x0, 0x0) syz_clone(0x44000000, 0x0, 0x0, 0x0, 0x0, &(0x7f00000005c0)) syz_genetlink_get_family_id$batadv(0x0, 0xffffffffffffffff) 09:26:58 executing program 3: socket$nl_netfilter(0x10, 0x3, 0xc) syz_mount_image$ext4(0x0, &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, &(0x7f0000000000)) pipe2$9p(&(0x7f00000001c0)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) dup2(0xffffffffffffffff, 0xffffffffffffffff) mount$9p_fd(0x20000000, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000280), 0x0, &(0x7f00000002c0)=ANY=[@ANYBLOB='trans=fd,rfdno', @ANYRESHEX=r0, @ANYBLOB=',wfdno', @ANYRESHEX=r1, @ANYBLOB="2c75e36d73697a653d30783030303030303030211ce9f6b8e88140"]) sendmsg$nl_netfilter(0xffffffffffffffff, &(0x7f0000000480)={&(0x7f00000003c0)={0x10, 0x0, 0x0, 0x4000000}, 0xc, 0x0}, 0x64040080) r2 = socket$inet6_tcp(0xa, 0x1, 0x0) r3 = socket(0x0, 0x2, 0x0) getsockopt$sock_cred(r3, 0x1, 0x11, &(0x7f0000caaffb), &(0x7f0000cab000)=0xc) setsockopt$inet6_tcp_int(0xffffffffffffffff, 0x6, 0x0, &(0x7f0000000140)=0x200, 0x4) bind$inet6(r2, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) setsockopt$inet6_opts(0xffffffffffffffff, 0x29, 0x3b, 0x0, 0x0) ioctl$int_in(r2, 0x5452, &(0x7f0000000040)=0x81) sendto$inet6(r2, 0x0, 0x0, 0x2200c851, &(0x7f0000b63fe4)={0xa, 0x2, 0x0, @loopback}, 0x1c) recvmsg(r2, &(0x7f00000000c0)={0x0, 0x0, &(0x7f00000007c0)=[{0x0}, {0x0}, {&(0x7f0000000640)=""/178, 0xb2}], 0x3}, 0x102) ioctl$F2FS_IOC_MOVE_RANGE(r2, 0xc020f509, &(0x7f0000000440)={0xffffffffffffffff, 0x0, 0x9}) shutdown(r2, 0x1) openat$sndseq(0xffffffffffffff9c, &(0x7f0000000240), 0x880) poll(&(0x7f0000000340)=[{r0, 0x1060}], 0x1, 0x3ff) getpeername$netlink(0xffffffffffffffff, &(0x7f0000000080), &(0x7f0000000100)=0xc) r4 = open(&(0x7f00000002c0)='./bus\x00', 0x1c1042, 0x0) pwrite64(r4, &(0x7f0000000180)="13", 0x1, 0x4010040bffd) r5 = creat(0x0, 0x0) write$binfmt_misc(r5, &(0x7f00000000c0)=ANY=[], 0x4) fadvise64(r5, 0x2, 0x2, 0x4) 09:26:58 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:26:58 executing program 2: socket$nl_netfilter(0x10, 0x3, 0xc) syz_mount_image$ext4(0x0, &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, &(0x7f0000000000)) pipe2$9p(&(0x7f00000001c0)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) dup2(0xffffffffffffffff, 0xffffffffffffffff) mount$9p_fd(0x20000000, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000280), 0x0, &(0x7f00000002c0)=ANY=[@ANYBLOB='trans=fd,rfdno', @ANYRESHEX=r0, @ANYBLOB=',wfdno', @ANYRESHEX=r1, @ANYBLOB="2c75e36d73697a653d30783030303030303030211ce9f6b8e88140"]) sendmsg$nl_netfilter(0xffffffffffffffff, &(0x7f0000000480)={&(0x7f00000003c0)={0x10, 0x0, 0x0, 0x4000000}, 0xc, 0x0}, 0x64040080) r2 = socket$inet6_tcp(0xa, 0x1, 0x0) r3 = socket(0x0, 0x2, 0x0) getsockopt$sock_cred(r3, 0x1, 0x11, &(0x7f0000caaffb), &(0x7f0000cab000)=0xc) setsockopt$inet6_tcp_int(0xffffffffffffffff, 0x6, 0x0, &(0x7f0000000140)=0x200, 0x4) bind$inet6(r2, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) setsockopt$inet6_opts(0xffffffffffffffff, 0x29, 0x3b, 0x0, 0x0) ioctl$int_in(r2, 0x5452, &(0x7f0000000040)=0x81) sendto$inet6(r2, 0x0, 0x0, 0x2200c851, &(0x7f0000b63fe4)={0xa, 0x2, 0x0, @loopback}, 0x1c) recvmsg(r2, &(0x7f00000000c0)={0x0, 0x0, &(0x7f00000007c0)=[{0x0}, {0x0}, {&(0x7f0000000640)=""/178, 0xb2}], 0x3}, 0x102) ioctl$F2FS_IOC_MOVE_RANGE(r2, 0xc020f509, &(0x7f0000000440)={0xffffffffffffffff, 0x0, 0x9}) shutdown(r2, 0x1) openat$sndseq(0xffffffffffffff9c, &(0x7f0000000240), 0x880) poll(&(0x7f0000000340)=[{r0, 0x1060}], 0x1, 0x3ff) getpeername$netlink(0xffffffffffffffff, &(0x7f0000000080), &(0x7f0000000100)=0xc) r4 = open(&(0x7f00000002c0)='./bus\x00', 0x1c1042, 0x0) pwrite64(r4, &(0x7f0000000180)="13", 0x1, 0x4010040bffd) r5 = creat(0x0, 0x0) write$binfmt_misc(r5, &(0x7f00000000c0)=ANY=[], 0x4) fadvise64(r5, 0x2, 0x2, 0x4) 09:26:58 executing program 1: syz_mount_image$btrfs(&(0x7f00000051c0), &(0x7f0000005200)='./file0\x00', 0x1000008, &(0x7f0000000280)={[{@datacow}, {@clear_cache}, {@nodatasum}, {@rescan_uuid_tree}, {}, {@space_cache_v1}]}, 0xfe, 0x51ab, &(0x7f0000005280)="$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") syz_open_procfs(0x0, 0x0) r0 = open(&(0x7f0000000080)='./file0\x00', 0x0, 0x0) ioctl$BTRFS_IOC_QUOTA_CTL(r0, 0xc0109428, &(0x7f0000000000)={0x1}) ioctl$BTRFS_IOC_QGROUP_CREATE(r0, 0x4010942a, &(0x7f00000010c0)={0x0, 0x5}) ioctl$BTRFS_IOC_QGROUP_CREATE(r0, 0x4010942a, &(0x7f0000001340)={0x1, 0x2}) r1 = openat(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x0, 0x0) r2 = openat(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x0, 0x0) ioctl$BTRFS_IOC_SNAP_CREATE(r1, 0x50009401, &(0x7f0000001380)={{r2}, "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"}) [ 1294.240096][T18200] fuse: Invalid rootmode [ 1294.251423][T18199] 9pnet_fd: Insufficient options for proto=fd [ 1294.270048][ T24] audit: type=1804 audit(1676021218.319:300): pid=18200 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/704/file0" dev="sda1" ino=1180 res=1 errno=0 [ 1294.309146][T18201] 9pnet_fd: Insufficient options for proto=fd 09:26:58 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:26:58 executing program 0: r0 = socket$inet_tcp(0x2, 0x1, 0x0) ioctl$sock_inet_tcp_SIOCINQ(r0, 0x8941, &(0x7f0000000040)) 09:26:59 executing program 2: socket$nl_netfilter(0x10, 0x3, 0xc) syz_mount_image$ext4(0x0, &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, &(0x7f0000000000)) pipe2$9p(&(0x7f00000001c0)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) dup2(0xffffffffffffffff, 0xffffffffffffffff) mount$9p_fd(0x20000000, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000280), 0x0, &(0x7f00000002c0)=ANY=[@ANYBLOB='trans=fd,rfdno', @ANYRESHEX=r0, @ANYBLOB=',wfdno', @ANYRESHEX=r1, @ANYBLOB="2c75e36d73697a653d30783030303030303030211ce9f6b8e88140"]) sendmsg$nl_netfilter(0xffffffffffffffff, &(0x7f0000000480)={&(0x7f00000003c0)={0x10, 0x0, 0x0, 0x4000000}, 0xc, 0x0}, 0x64040080) r2 = socket$inet6_tcp(0xa, 0x1, 0x0) r3 = socket(0x0, 0x2, 0x0) getsockopt$sock_cred(r3, 0x1, 0x11, &(0x7f0000caaffb), &(0x7f0000cab000)=0xc) setsockopt$inet6_tcp_int(0xffffffffffffffff, 0x6, 0x0, &(0x7f0000000140)=0x200, 0x4) bind$inet6(r2, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) setsockopt$inet6_opts(0xffffffffffffffff, 0x29, 0x3b, 0x0, 0x0) ioctl$int_in(r2, 0x5452, &(0x7f0000000040)=0x81) sendto$inet6(r2, 0x0, 0x0, 0x2200c851, &(0x7f0000b63fe4)={0xa, 0x2, 0x0, @loopback}, 0x1c) recvmsg(r2, &(0x7f00000000c0)={0x0, 0x0, &(0x7f00000007c0)=[{0x0}, {0x0}, {&(0x7f0000000640)=""/178, 0xb2}], 0x3}, 0x102) ioctl$F2FS_IOC_MOVE_RANGE(r2, 0xc020f509, &(0x7f0000000440)={0xffffffffffffffff, 0x0, 0x9}) shutdown(r2, 0x1) openat$sndseq(0xffffffffffffff9c, &(0x7f0000000240), 0x880) poll(&(0x7f0000000340)=[{r0, 0x1060}], 0x1, 0x3ff) getpeername$netlink(0xffffffffffffffff, &(0x7f0000000080), &(0x7f0000000100)=0xc) r4 = open(&(0x7f00000002c0)='./bus\x00', 0x1c1042, 0x0) pwrite64(r4, &(0x7f0000000180)="13", 0x1, 0x4010040bffd) r5 = creat(0x0, 0x0) write$binfmt_misc(r5, &(0x7f00000000c0)=ANY=[], 0x4) fadvise64(r5, 0x2, 0x2, 0x4) 09:26:59 executing program 3: socket$nl_netfilter(0x10, 0x3, 0xc) syz_mount_image$ext4(0x0, &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, &(0x7f0000000000)) pipe2$9p(&(0x7f00000001c0)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) dup2(0xffffffffffffffff, 0xffffffffffffffff) mount$9p_fd(0x20000000, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000280), 0x0, &(0x7f00000002c0)=ANY=[@ANYBLOB='trans=fd,rfdno', @ANYRESHEX=r0, @ANYBLOB=',wfdno', @ANYRESHEX=r1, @ANYBLOB="2c75e36d73697a653d30783030303030303030211ce9f6b8e88140"]) sendmsg$nl_netfilter(0xffffffffffffffff, &(0x7f0000000480)={&(0x7f00000003c0)={0x10, 0x0, 0x0, 0x4000000}, 0xc, 0x0}, 0x64040080) r2 = socket$inet6_tcp(0xa, 0x1, 0x0) r3 = socket(0x0, 0x2, 0x0) getsockopt$sock_cred(r3, 0x1, 0x11, &(0x7f0000caaffb), &(0x7f0000cab000)=0xc) setsockopt$inet6_tcp_int(0xffffffffffffffff, 0x6, 0x0, &(0x7f0000000140)=0x200, 0x4) bind$inet6(r2, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) setsockopt$inet6_opts(0xffffffffffffffff, 0x29, 0x3b, 0x0, 0x0) ioctl$int_in(r2, 0x5452, &(0x7f0000000040)=0x81) sendto$inet6(r2, 0x0, 0x0, 0x2200c851, &(0x7f0000b63fe4)={0xa, 0x2, 0x0, @loopback}, 0x1c) recvmsg(r2, &(0x7f00000000c0)={0x0, 0x0, &(0x7f00000007c0)=[{0x0}, {0x0}, {&(0x7f0000000640)=""/178, 0xb2}], 0x3}, 0x102) ioctl$F2FS_IOC_MOVE_RANGE(r2, 0xc020f509, &(0x7f0000000440)={0xffffffffffffffff, 0x0, 0x9}) shutdown(r2, 0x1) openat$sndseq(0xffffffffffffff9c, &(0x7f0000000240), 0x880) poll(&(0x7f0000000340)=[{r0, 0x1060}], 0x1, 0x3ff) getpeername$netlink(0xffffffffffffffff, &(0x7f0000000080), &(0x7f0000000100)=0xc) r4 = open(&(0x7f00000002c0)='./bus\x00', 0x1c1042, 0x0) pwrite64(r4, &(0x7f0000000180)="13", 0x1, 0x4010040bffd) r5 = creat(0x0, 0x0) write$binfmt_misc(r5, &(0x7f00000000c0)=ANY=[], 0x4) fadvise64(r5, 0x2, 0x2, 0x4) [ 1295.246912][T18216] fuse: Invalid rootmode [ 1295.314427][ T24] audit: type=1804 audit(1676021219.369:301): pid=18216 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/705/file0" dev="sda1" ino=1177 res=1 errno=0 09:26:59 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=0000000000000000010000', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:26:59 executing program 0: prctl$PR_SET_VMA(0x53564d41, 0x0, &(0x7f0000fff000/0x1000)=nil, 0x1000, &(0x7f0000000080)='!#\x00') [ 1295.786490][T18222] 9pnet_fd: Insufficient options for proto=fd [ 1295.934044][T18226] 9pnet_fd: Insufficient options for proto=fd [ 1296.053051][T18232] fuse: Bad value for 'rootmode' [ 1296.101179][ T24] audit: type=1804 audit(1676021220.149:302): pid=18232 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/706/file0" dev="sda1" ino=1179 res=1 errno=0 09:27:00 executing program 4: syz_genetlink_get_family_id$team(&(0x7f0000000300), 0xffffffffffffffff) getsockopt$bt_BT_RCVMTU(0xffffffffffffffff, 0x112, 0xd, 0x0, 0x0) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(0xffffffffffffffff, 0x89f0, 0x0) 09:27:00 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=0000000000000000010000', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:27:00 executing program 0: getsockopt$inet6_tcp_TCP_REPAIR_WINDOW(0xffffffffffffffff, 0x6, 0x1d, 0x0, 0x0) sendmsg$TIPC_NL_UDP_GET_REMOTEIP(0xffffffffffffffff, 0x0, 0x0) syz_genetlink_get_family_id$tipc2(&(0x7f0000000480), 0xffffffffffffffff) sendmsg$TIPC_NL_BEARER_ADD(0xffffffffffffffff, 0x0, 0x0) sendmsg$NL80211_CMD_SET_PMK(0xffffffffffffffff, 0x0, 0x0) bpf$ITER_CREATE(0x21, &(0x7f0000000cc0), 0x8) ioctl$sock_ipv6_tunnel_SIOCDELTUNNEL(0xffffffffffffffff, 0x89f2, &(0x7f0000000dc0)={'ip6tnl0\x00', &(0x7f0000000d40)={'syztnl2\x00', 0x0, 0x0, 0x0, 0x0, 0x1ff, 0x0, @mcast2, @mcast1, 0x0, 0x80}}) sendmsg$TIPC_NL_BEARER_DISABLE(0xffffffffffffffff, 0x0, 0x0) sendmsg$TIPC_NL_BEARER_DISABLE(0xffffffffffffffff, 0x0, 0x0) ioctl$ifreq_SIOCGIFINDEX_team(0xffffffffffffffff, 0x8933, 0x0) socket$inet6_tcp(0xa, 0x1, 0x0) socket$key(0xf, 0x3, 0x2) 09:27:00 executing program 3: syz_emit_ethernet(0x2a, &(0x7f0000000000)={@local, @random="a72b53bb7979", @val, {@ipv4}}, 0x0) [ 1297.071488][T18242] fuse: Bad value for 'rootmode' [ 1297.154730][ T24] audit: type=1804 audit(1676021221.209:303): pid=18242 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/707/file0" dev="sda1" ino=1168 res=1 errno=0 09:27:02 executing program 1: r0 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route(r0, &(0x7f0000000100)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000080)=@ipv4_getnexthop={0x24, 0x6a, 0x1, 0x0, 0x0, {}, [@NHA_GROUPS={0x4}, @NHA_ID={0x8}]}, 0x24}}, 0x0) 09:27:02 executing program 2: syz_mount_image$ext4(&(0x7f0000000780)='ext4\x00', &(0x7f00000007c0)='./file0\x00', 0x2000480, &(0x7f0000000000)=ANY=[@ANYRES64=0x0], 0x1, 0x75b, &(0x7f0000000840)="$eJzs3c1rHOUfAPDvbJKmv7Q/E0HQegoIGijdmBpbBQ8VDyJYKOjZdtlsQ81utmQ3pQkBLSJ4EVQ8CHrp2Zd68+rLVf8LD9JSNS1WPEhkNrPpttlNN22SRffzgad9npnZPPOdZ2aeZ3eGmQD61nj6Ty7iUER8kESMZtOTiBhq5AYjTqwvd2t1pZimJNbWXvstaSxzc3WlGC2fSR3ICo9FxPfvRhzOba63trQ8VyiXSwtZebJeOT9ZW1o+cq5SmC3NluaPTU1PHz3+7PFjOxfrHz8tH7z24ctPfXXir3cevfL+D0mciIPZvNY4dsp4jGfbZCjdhHd4aacr67Gk1yvAfUkPzYH1ozwOxWgMNHIAwH/ZWxGxBgD0mUT/DwB9pvk7wM3VlWIz9fYXib11/cWI2L8ef/P65vqcweya3f7GddCRm8kdV0aSiBjbgfrHI+Kzb974Ik2xS9chAdp5+1JEnBkb33z+Tzbds7BdT3exzPhdZec/2DvfpuOf59qN/3Ib459oM/4ZbnPs3o97H/+5qztQTUfp+O+FlnvbbrXEnxkbyEr/b4z5hpKz58ql9Nz2UERMxNBwWp7aoo6JG3/f6DSvdfz3+0dvfp7Wn/5/e4nc1cHhOz8zU6gXHiTmVtcvRTw+2C7+ZKP9kw7j31Nd1vHK8+992mleGn8abzNtjn93rV2OeLJt+9++oy3Z8v7EycbuMNncKdr4+udPRjrV39r+aUrrb34X2Atp+49sHf9Y0nq/Zm37dfx4efS7TvPuHX/7/X9f8nojvy+bdrFQry9MRexLXt08/ejtzzbLzeXT+CeeaH/8b7X/p98Jz3QZ/+C1X7+8//h3Vxr/zLbaf/uZK7fmBjrV3137TzdyE9mUbs5/3a7gg2w7AAAAAAAAAAAAAAAAAAAAAAAAAOhWLiIORpLLb+RzuXx+/R3ej8RIrlyt1Q+frS7Oz0TjXdljMZRrPupytOV5qFPZ8/Cb5aN3lZ+JiIcj4uPh/zXK+WK1PNPr4AEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgc6DD+/9Tvwz3eu0AgF2zv9crAADsOf0/APQf/T8A9B/9PwD0H/0/APQf/T8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC77NTJk2la+3N1pZiWZy4sLc5VLxyZKdXm8pXFYr5YXTifn61WZ8ulfLFaudffK1er56djfvHiZL1Uq0/WlpZPV6qL8/XT5yqF2dLp0tCeRAUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA21NbWp4rlMulBRkZGZmNTK/PTAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD/Dv8EAAD//z4HKi8=") r0 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000080)='pids.current\x00', 0x275a, 0x0) ioctl$EXT4_IOC_MOVE_EXT(r0, 0x40286608, &(0x7f0000000040)={0xc}) 09:27:02 executing program 4: r0 = socket$inet6_udplite(0xa, 0x2, 0x88) ioctl$sock_inet6_SIOCDIFADDR(r0, 0x8936, &(0x7f0000001640)={@local}) 09:27:02 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=0000000000000000010000', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:27:02 executing program 0: add_key(&(0x7f0000000ec0)='keyring\x00', &(0x7f0000000f00)={'syz', 0x0}, &(0x7f0000000f40)='8', 0x1, 0xfffffffffffffffe) 09:27:02 executing program 3: syz_mount_image$ext4(&(0x7f0000000780)='ext4\x00', &(0x7f00000007c0)='./file0\x00', 0x2000480, &(0x7f0000000000)=ANY=[@ANYRES64=0x0], 0x1, 0x75b, &(0x7f0000000840)="$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") r0 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000080)='pids.current\x00', 0x275a, 0x0) ioctl$EXT4_IOC_MOVE_EXT(r0, 0x400c6615, 0x0) [ 1298.334414][T18257] fuse: Bad value for 'rootmode' [ 1298.375643][ T24] audit: type=1804 audit(1676021222.429:304): pid=18257 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/708/file0" dev="sda1" ino=1177 res=1 errno=0 09:27:02 executing program 4: r0 = socket$inet6_udplite(0xa, 0x2, 0x88) ioctl$sock_inet6_SIOCDIFADDR(r0, 0x8936, &(0x7f0000001640)={@local}) 09:27:02 executing program 0: io_cancel(0x0, &(0x7f0000000800)={0x0, 0x0, 0x0, 0x0, 0x0, 0xffffffffffffffff, 0x0}, 0x0) 09:27:02 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000000000100000,use', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) [ 1298.647284][T18253] loop3: detected capacity change from 0 to 2048 [ 1298.856867][T18253] EXT4-fs (loop3): mounted filesystem 00000000-0000-0000-0000-000000000000 without journal. Quota mode: none. [ 1298.858312][T18254] loop2: detected capacity change from 0 to 2048 09:27:02 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) sendmsg$TIPC_CMD_SHOW_STATS(r0, &(0x7f0000000340)={0x0, 0x0, &(0x7f0000000300)={&(0x7f00000002c0)={0x1c}, 0x1c}}, 0x0) syz_genetlink_get_family_id$tipc(&(0x7f00000003c0), r0) 09:27:03 executing program 4: r0 = socket$inet6_udplite(0xa, 0x2, 0x88) ioctl$sock_inet6_SIOCDIFADDR(r0, 0x8936, &(0x7f0000001640)={@local}) [ 1299.074464][T18269] fuse: Unknown parameter 'use00000000000000000000' [ 1299.097112][ T24] audit: type=1804 audit(1676021223.149:305): pid=18269 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/709/file0" dev="sda1" ino=1178 res=1 errno=0 09:27:03 executing program 0: r0 = add_key$keyring(&(0x7f0000000000), &(0x7f0000000040)={'syz', 0x3}, 0x0, 0x0, 0xffffffffffffffff) r1 = add_key$fscrypt_provisioning(&(0x7f0000000340), &(0x7f0000000380)={'syz', 0x2}, &(0x7f00000003c0)={0x1, 0x0, @a}, 0x48, r0) keyctl$KEYCTL_RESTRICT_KEYRING(0x1d, r1, 0x0, &(0x7f0000000480)) 09:27:03 executing program 3: r0 = add_key$keyring(&(0x7f0000000000), &(0x7f0000000040)={'syz', 0x3}, 0x0, 0x0, 0xffffffffffffffff) add_key$fscrypt_provisioning(&(0x7f0000000340), &(0x7f0000000380)={'syz', 0x2}, &(0x7f00000003c0)={0x1, 0x0, @a}, 0x48, r0) keyctl$search(0xa, r0, &(0x7f00000007c0)='asymmetric\x00', &(0x7f0000000800)={'syz', 0x2}, 0x0) [ 1299.370229][ T5037] EXT4-fs (loop3): unmounting filesystem 00000000-0000-0000-0000-000000000000. [ 1299.377080][T18254] EXT4-fs (loop2): mounted filesystem 00000000-0000-0000-0000-000000000000 without journal. Quota mode: none. 09:27:03 executing program 2: r0 = add_key$keyring(&(0x7f0000000000), &(0x7f0000000040)={'syz', 0x3}, 0x0, 0x0, 0xffffffffffffffff) r1 = add_key$fscrypt_provisioning(&(0x7f0000000340), &(0x7f0000000380)={'syz', 0x2}, &(0x7f00000003c0)={0x1, 0x0, @a}, 0x48, r0) keyctl$KEYCTL_RESTRICT_KEYRING(0x1d, r1, &(0x7f0000000440)='trusted\x00', &(0x7f0000000480)) 09:27:03 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000000000100000,use', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:27:03 executing program 1: syz_mount_image$ext4(&(0x7f0000000780)='ext4\x00', &(0x7f00000007c0)='./file0\x00', 0x2000480, &(0x7f0000000000)=ANY=[@ANYRES64=0x0], 0x1, 0x75b, &(0x7f0000000840)="$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") mkdir(&(0x7f0000000300)='./bus\x00', 0x0) chdir(&(0x7f0000000200)='./bus\x00') r0 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000080)='pids.current\x00', 0x275a, 0x0) r1 = creat(&(0x7f0000000480)='./file0\x00', 0x0) ioctl$EXT4_IOC_MOVE_EXT(r0, 0xc028660f, &(0x7f0000000040)={0xc, r1}) 09:27:03 executing program 4: r0 = socket$inet6_udplite(0xa, 0x2, 0x88) ioctl$sock_inet6_SIOCDIFADDR(r0, 0x8936, &(0x7f0000001640)={@local}) [ 1299.796130][ T5051] EXT4-fs (loop2): unmounting filesystem 00000000-0000-0000-0000-000000000000. 09:27:04 executing program 0: syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) syz_usb_connect$printer(0x0, 0x2d, &(0x7f00000003c0)={{0x12, 0x1, 0x250, 0x0, 0x0, 0x0, 0x20, 0x525, 0xa4a8, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x1b, 0x1, 0x1, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x92, 0x2, 0x7, 0x1, 0x1, 0x0, "", {{{0x9, 0x5, 0x1, 0x2, 0x40}}}}}]}}]}}, &(0x7f0000000700)={0x0, 0x0, 0x1d, &(0x7f0000000440)={0x5, 0xf, 0x1d, 0x1, [@generic={0x18, 0x10, 0xa, "9c02f64962c94311bc8003eb1e41da2e50abea2d0b"}]}, 0x2, [{0x4, &(0x7f0000000500)=@string={0x4, 0x3, '~t'}}, {0x68, &(0x7f0000000600)=@string={0x68, 0x3, "5619ae202dbce3a7bca57b13a76e4bc2e517de621b05c2c1cf664809856d00169fb79ac0be8da200a4b26c254628dafa4a8f78e7e1c5f983c655ccbfa1a8e2cebcc0c777bfb393eb80b6387f6e9b143fe9ca7b97efe081f2941f3e6837606a353fc5f4db5b9e"}}]}) sendmsg$NLBL_MGMT_C_LISTDEF(0xffffffffffffffff, 0x0, 0x0) syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) [ 1299.986821][T18282] fuse: Unknown parameter 'use00000000000000000000' [ 1300.037016][ T24] audit: type=1804 audit(1676021224.089:306): pid=18282 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/710/file0" dev="sda1" ino=1161 res=1 errno=0 09:27:04 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000000000100000,use', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:27:04 executing program 3: syz_mount_image$ext4(&(0x7f0000000780)='ext4\x00', &(0x7f00000007c0)='./file0\x00', 0x2000480, &(0x7f0000003180)=ANY=[@ANYRES64=0x0, @ANYBLOB="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"], 0x1, 0x75b, &(0x7f0000000840)="$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") openat(0xffffffffffffffff, 0x0, 0x0, 0x0) r0 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f00000002c0)='cgroup.controllers\x00', 0x275a, 0x0) write$binfmt_script(r0, &(0x7f0000000300)=ANY=[], 0x7b9c0b) openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000080)='cpu.stat\x00', 0x275a, 0x0) 09:27:04 executing program 4: mkdir(&(0x7f0000000040)='./file0\x00', 0x0) unlinkat(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x200) 09:27:04 executing program 2: syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) syz_usb_connect$printer(0x0, 0x2d, &(0x7f00000003c0)={{0x12, 0x1, 0x250, 0x0, 0x0, 0x0, 0x20, 0x525, 0xa4a8, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x1b, 0x1, 0x1, 0x6, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x92, 0x2, 0x7, 0x1, 0x1, 0x0, "", {{{0x9, 0x5, 0x1, 0x2, 0x40, 0xfb}}}}}]}}]}}, &(0x7f0000000700)={0xa, &(0x7f0000000400)={0xa, 0x6, 0x250}, 0x1d, &(0x7f0000000440)={0x5, 0xf, 0x1d, 0x1, [@generic={0x18, 0x10, 0xa, "9c02f64962c94311bc8003eb1e41da2e50abea2d0b"}]}, 0x1, [{0x2, &(0x7f0000000500)=@string={0x2}}]}) write$FUSE_GETXATTR(0xffffffffffffffff, 0x0, 0x0) [ 1300.779228][T18288] loop1: detected capacity change from 0 to 2048 [ 1300.893204][ T8181] usb 1-1: new high-speed USB device number 35 using dummy_hcd [ 1300.947379][T18297] fuse: Unknown parameter 'use00000000000000000000' [ 1300.954819][T18288] EXT4-fs (loop1): mounted filesystem 00000000-0000-0000-0000-000000000000 without journal. Quota mode: none. [ 1301.038561][ T24] audit: type=1804 audit(1676021225.089:307): pid=18301 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/711/file0" dev="sda1" ino=1174 res=1 errno=0 [ 1301.081144][T18288] EXT4-fs (loop1): Online defrag not supported with bigalloc [ 1301.132992][ T8181] usb 1-1: Using ep0 maxpacket: 32 09:27:05 executing program 1: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000000000100000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_fuse_handle_req(r1, &(0x7f0000004200)="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", 0x2000, &(0x7f0000000c80)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000540)={0x78, 0x0, 0x0, {0x0, 0x0, 0x0, {0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x8000}}}, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) write(r3, &(0x7f0000004200)='t', 0x2) dup3(r0, r1, 0x0) dup3(r1, r3, 0x0) 09:27:05 executing program 4: epoll_create1(0x0) pselect6(0x40, &(0x7f0000000000)={0x8}, &(0x7f0000000040)={0x2}, &(0x7f0000000080)={0x4}, &(0x7f00000000c0)={0x0, 0x989680}, &(0x7f0000000200)={&(0x7f0000000100)={[0x1f]}, 0x8}) [ 1301.333973][ T8181] usb 1-1: config 1 interface 0 altsetting 146 bulk endpoint 0x1 has invalid maxpacket 64 [ 1301.344630][ T8181] usb 1-1: config 1 interface 0 altsetting 146 has 1 endpoint descriptor, different from the interface descriptor's value: 2 [ 1301.358312][ T8181] usb 1-1: config 1 interface 0 has no altsetting 0 09:27:05 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000000000100000,user_i', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) [ 1301.393512][T18296] loop3: detected capacity change from 0 to 2048 [ 1301.447861][ T5043] EXT4-fs (loop1): unmounting filesystem 00000000-0000-0000-0000-000000000000. [ 1301.581864][T18296] EXT4-fs (loop3): mounted filesystem 00000000-0000-0000-0000-000000000000 without journal. Quota mode: none. [ 1301.651591][ T8181] usb 1-1: New USB device found, idVendor=0525, idProduct=a4a8, bcdDevice= 0.40 [ 1301.661222][ T8181] usb 1-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 1301.669743][ T8181] usb 1-1: Product: syz [ 1301.674260][ T8181] usb 1-1: Manufacturer: ᥖ₮밭꟣ꖼ፻溧쉋៥拞ԛ쇂曏ै涅ᘀ랟삚趾¢늤╬⡆﫚轊엡菹嗆뿌ꢡ컢삼矇뎿뚀缸魮㼔쫩靻ᾔ栾怷㕪씿鹛 [ 1301.692642][ T8181] usb 1-1: SerialNumber: syz [ 1301.699218][ T20] usb 3-1: new high-speed USB device number 22 using dummy_hcd [ 1301.734474][T18293] raw-gadget.0 gadget.0: fail, usb_ep_enable returned -22 [ 1301.893782][T18312] fuse: Unknown parameter 'user_i00000000000000000000' [ 1301.919078][ T24] audit: type=1804 audit(1676021225.969:308): pid=18312 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/712/file0" dev="sda1" ino=1174 res=1 errno=0 [ 1301.945921][ T20] usb 3-1: Using ep0 maxpacket: 32 09:27:06 executing program 4: r0 = socket$inet6_tcp(0xa, 0x1, 0x0) setsockopt$inet6_tcp_TCP_CONGESTION(r0, 0x6, 0xd, &(0x7f0000000100)='cdg\x00', 0x4) [ 1302.005275][ T8181] usblp 1-1:1.0: usblp0: USB Unidirectional printer dev 35 if 0 alt 146 proto 1 vid 0x0525 pid 0xA4A8 [ 1302.043641][ T8181] usb 1-1: USB disconnect, device number 35 09:27:06 executing program 3: r0 = getuid() syz_mount_image$msdos(&(0x7f0000000140), &(0x7f0000000040)='./file0\x00', 0x0, &(0x7f0000000100)=ANY=[@ANYRES64=r0, @ANYRESDEC, @ANYRESHEX=r0], 0x1, 0x522, &(0x7f0000000a80)="$eJzs1b1u01AYBuCvaSiBqTNiOBILU1XYmAhCqVRhCQmUASYiNSwxQooXJ1MvgQvgwhi4CJSpW1BlF2jFWP+oeZ4ln/LaPuc9g/3p8ZfF2dfi849v32M03ovBOB4MLvbiMAZx5TwAgLvkYruNX9tK13sBANrh+w8Au+f9h49vXmXZ5F1Ko4jNeTktp9VvlZ+cZpPjdOngn7s2ZTnd/5M/S5Xr+b14WOfP/5sfxNMnVX6ZvX6b3cjvx1nj7QEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAYLcdpTSMiJRSOvz776Ysp/tVfpSu3Mir6eQ0mxzXF1zPh/Fo2FoNAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4BYUq/Vilufz5R0bIn5Gy4uO6iNtuem4XrYvJ9/k8LKJJ7+IiL4U7NHQ4UsJAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADoVLFaL2Z5Pl8WXe8EAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOiLYrVezPJ8vmxw6LojAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA0I3fAQAA///PCC46") r1 = openat(0xffffffffffffff9c, &(0x7f0000000040)='.\x00', 0x0, 0x0) getdents(r1, 0x0, 0x18) [ 1302.131908][ T8181] usblp0: removed [ 1302.143251][ T20] usb 3-1: config 1 interface 0 altsetting 146 bulk endpoint 0x1 has invalid maxpacket 64 [ 1302.153669][ T20] usb 3-1: config 1 interface 0 altsetting 146 has 1 endpoint descriptor, different from the interface descriptor's value: 2 [ 1302.167287][ T20] usb 3-1: config 1 interface 0 has no altsetting 0 09:27:06 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000000000100000,user_i', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) [ 1302.251327][ T5037] EXT4-fs (loop3): unmounting filesystem 00000000-0000-0000-0000-000000000000. [ 1302.375282][ T20] usb 3-1: language id specifier not provided by device, defaulting to English [ 1302.505988][ T20] usb 3-1: New USB device found, idVendor=0525, idProduct=a4a8, bcdDevice= 0.40 [ 1302.515809][ T20] usb 3-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 1302.524293][ T20] usb 3-1: Product: syz [ 1302.528706][ T20] usb 3-1: Manufacturer: syz [ 1302.533853][ T20] usb 3-1: SerialNumber: syz 09:27:06 executing program 0: r0 = openat$vhost_vsock(0xffffffffffffff9c, &(0x7f0000001a00), 0x2, 0x0) ioctl$VHOST_SET_FEATURES(r0, 0x4008af00, &(0x7f0000000040)) 09:27:06 executing program 4: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000080), 0x2, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f0000000280)={'\x00', 0x4009}) write$tun(r0, &(0x7f0000000140)=ANY=[@ANYBLOB="cf53755f7f04"], 0xfdef) [ 1302.693702][T18305] raw-gadget.1 gadget.2: fail, usb_ep_enable returned -22 09:27:06 executing program 1: open(&(0x7f0000000080)='./bus\x00', 0x141bc2, 0x1c0) r0 = inotify_init1(0x0) inotify_add_watch(r0, &(0x7f00000001c0)='./bus\x00', 0x410) r1 = open(&(0x7f00000000c0)='./bus\x00', 0x149a42, 0x0) writev(r1, &(0x7f0000000080)=[{&(0x7f0000001100)='.', 0x1}], 0x1) [ 1302.838765][T18322] fuse: Unknown parameter 'user_i00000000000000000000' [ 1302.901048][ T24] audit: type=1804 audit(1676021226.949:309): pid=18324 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/713/file0" dev="sda1" ino=1170 res=1 errno=0 [ 1303.011967][ T20] usblp 3-1:1.0: usblp0: USB Unidirectional printer dev 22 if 0 alt 146 proto 1 vid 0x0525 pid 0xA4A8 [ 1303.085170][ T20] usb 3-1: USB disconnect, device number 22 [ 1303.150921][ T20] usblp0: removed 09:27:07 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000000000100000,user_i', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) [ 1303.285718][T18323] loop3: detected capacity change from 0 to 2048 09:27:07 executing program 0: r0 = open(&(0x7f0000000080)='./bus\x00', 0x141bc2, 0x1c0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000000)='/proc/self/exe\x00', 0x0, 0x0) sendfile(r0, r1, 0x0, 0x8000d70d) r2 = open(&(0x7f00000000c0)='./bus\x00', 0x14da42, 0x0) openat$sysfs(0xffffffffffffff9c, 0x0, 0x0, 0x0) r3 = open(&(0x7f00000000c0)='./bus\x00', 0x149a42, 0x0) writev(r3, &(0x7f0000000080)=[{&(0x7f0000001100)='.', 0x1}], 0x1) r4 = openat(0xffffffffffffff9c, &(0x7f0000000040)='/proc/self/exe\x00', 0x0, 0x0) sendfile(r2, r4, 0x0, 0x80001d00c0d0) truncate(&(0x7f0000000140)='./bus\x00', 0x4) 09:27:07 executing program 2: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) r2 = eventfd2(0x0, 0x0) ioctl$KVM_IOEVENTFD(r1, 0x4040ae79, &(0x7f0000000180)={0x0, 0x0, 0x0, r2}) ioctl$KVM_IOEVENTFD(r1, 0x4040ae79, &(0x7f0000000080)={0x0, 0x0, 0x8, r2, 0x9}) 09:27:07 executing program 4: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000080), 0x2, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f0000000280)={'\x00', 0x4009}) write$tun(r0, &(0x7f0000000140)=ANY=[@ANYBLOB="cf53755f7f04"], 0xfdef) 09:27:07 executing program 1: r0 = open(&(0x7f0000000200)='.\x00', 0x0, 0x0) ioctl$F2FS_IOC_START_ATOMIC_WRITE(r0, 0xf501, 0x0) ioctl$FS_IOC_SETFLAGS(r0, 0x40086602, &(0x7f00000000c0)=0x4) ioctl$sock_inet6_SIOCDIFADDR(r0, 0x8936, &(0x7f0000000380)={@private0, 0x72}) openat$cgroup_ro(0xffffffffffffff9c, 0x0, 0x275a, 0x0) r1 = open(&(0x7f00000001c0)='./bus\x00', 0x1c5342, 0x1c0) r2 = openat(0xffffffffffffff9c, &(0x7f0000000000)='/proc/self/exe\x00', 0x0, 0x0) sendfile(r1, r2, 0x0, 0x8000d70d) ioctl$DRM_IOCTL_SYNCOBJ_FD_TO_HANDLE_FD(0xffffffffffffffff, 0xc01064c2, &(0x7f0000000000)) ioctl$DRM_IOCTL_SYNCOBJ_FD_TO_HANDLE_SYNC_FILE(0xffffffffffffffff, 0xc01064c2, 0x0) r3 = open(&(0x7f00000001c0)='./bus\x00', 0x1c5342, 0x1c0) r4 = openat(0xffffffffffffff9c, &(0x7f0000000000)='/proc/self/exe\x00', 0x0, 0x0) sendfile(r3, r4, 0x0, 0x8000d70d) ioctl$DRM_IOCTL_SYNCOBJ_FD_TO_HANDLE_SYNC_FILE(0xffffffffffffffff, 0xc01064c2, &(0x7f0000000280)={0x0, 0x1, r3}) ioctl$DRM_IOCTL_SYNCOBJ_RESET(0xffffffffffffffff, 0xc01064c4, 0x0) ioctl$sock_ipv4_tunnel_SIOCCHGTUNNEL(0xffffffffffffffff, 0x89f3, &(0x7f0000000580)={'gre0\x00', 0x0}) 09:27:07 executing program 3: r0 = getuid() syz_mount_image$msdos(&(0x7f0000000140), &(0x7f0000000040)='./file0\x00', 0x0, &(0x7f0000000100)=ANY=[@ANYRES64=r0, @ANYRESDEC, @ANYRESHEX=r0], 0x1, 0x522, &(0x7f0000000a80)="$eJzs1b1u01AYBuCvaSiBqTNiOBILU1XYmAhCqVRhCQmUASYiNSwxQooXJ1MvgQvgwhi4CJSpW1BlF2jFWP+oeZ4ln/LaPuc9g/3p8ZfF2dfi849v32M03ovBOB4MLvbiMAZx5TwAgLvkYruNX9tK13sBANrh+w8Au+f9h49vXmXZ5F1Ko4jNeTktp9VvlZ+cZpPjdOngn7s2ZTnd/5M/S5Xr+b14WOfP/5sfxNMnVX6ZvX6b3cjvx1nj7QEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAYLcdpTSMiJRSOvz776Ysp/tVfpSu3Mir6eQ0mxzXF1zPh/Fo2FoNAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4BYUq/Vilufz5R0bIn5Gy4uO6iNtuem4XrYvJ9/k8LKJJ7+IiL4U7NHQ4UsJAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADoVLFaL2Z5Pl8WXe8EAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOiLYrVezPJ8vmxw6LojAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA0I3fAQAA///PCC46") r1 = openat(0xffffffffffffff9c, &(0x7f0000000040)='.\x00', 0x0, 0x0) getdents(r1, 0x0, 0x18) [ 1303.748078][T18333] fuse: Unknown parameter 'user_i00000000000000000000' [ 1303.817849][ T24] audit: type=1804 audit(1676021227.869:310): pid=18335 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/714/file0" dev="sda1" ino=1151 res=1 errno=0 09:27:08 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000000000100000,user_id', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) [ 1304.255629][ T24] audit: type=1800 audit(1676021228.299:311): pid=18344 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.1" name="bus" dev="sda1" ino=1171 res=0 errno=0 09:27:08 executing program 4: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000080), 0x2, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f0000000280)={'\x00', 0x4009}) write$tun(r0, &(0x7f0000000140)=ANY=[@ANYBLOB="cf53755f7f04"], 0xfdef) [ 1304.490470][ T24] audit: type=1800 audit(1676021228.539:312): pid=18347 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.1" name="bus" dev="sda1" ino=1171 res=0 errno=0 [ 1304.531677][T18354] fuse: Unknown parameter 'user_id00000000000000000000' [ 1304.644407][ T24] audit: type=1804 audit(1676021228.599:313): pid=18354 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/715/file0" dev="sda1" ino=1183 res=1 errno=0 09:27:08 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000000000100000,user_id', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) [ 1304.844107][T18350] loop3: detected capacity change from 0 to 2048 09:27:08 executing program 2: openat$procfs(0xffffffffffffff9c, 0x0, 0x0, 0x0) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000001780)={0xffffffffffffffff, 0xffffffffffffffff}) sendto$unix(r1, &(0x7f0000000000)="93", 0x20000001, 0x0, 0x0, 0x0) socket$nl_generic(0x10, 0x3, 0x10) sendmsg$TIPC_NL_MON_PEER_GET(0xffffffffffffffff, 0x0, 0x0) r2 = socket$nl_generic(0x10, 0x3, 0x10) sendmsg$TIPC_NL_MON_PEER_GET(r2, &(0x7f0000000400)={0x0, 0x0, 0x0}, 0x0) sendmsg$TIPC_NL_MON_PEER_GET(0xffffffffffffffff, &(0x7f0000000400)={0x0, 0x0, 0x0}, 0x0) getpid() ioctl$sock_SIOCSPGRP(0xffffffffffffffff, 0x8902, &(0x7f0000000040)) socketpair$unix(0x1, 0x5, 0x0, 0x0) geteuid() getegid() read$FUSE(0xffffffffffffffff, 0x0, 0x0) r3 = socket$nl_generic(0x10, 0x3, 0x10) r4 = socket$nl_generic(0x10, 0x3, 0x10) syz_genetlink_get_family_id$tipc2(0x0, 0xffffffffffffffff) sendmsg$TIPC_NL_MON_PEER_GET(r4, &(0x7f0000000400)={0x0, 0x0, 0x0}, 0x0) write$binfmt_script(r4, &(0x7f00000000c0)={'#! ', './file0', [{0x20, ']\xbc)@#'}, {0x20, '$'}, {}, {0x20, '&'}, {0x20, '@(}'}, {0x20, '-{${!)#@\'/^:)@'}, {0x20, '($]/b'}], 0xa, "26e30fd0e58bed3d3b2928d4b9bc53bdfd759b62409caa5e7212ab75265f2fab7f19a5fcd416b21ae5c94bd29715681439a7d219afbcf04f9047bf63863df58a0c26550531998e5f8f4dc6314fdd8664a9cd0ef6781d6872f1e539eff85d5d81a0a22c0a7fde90d13ba71e1763a07f1c5fd61488ae05056044"}, 0xa8) syz_genetlink_get_family_id$tipc2(0x0, 0xffffffffffffffff) sendmsg$TIPC_NL_MON_PEER_GET(r3, &(0x7f0000000400)={0x0, 0x0, &(0x7f00000003c0)={0x0, 0x14}}, 0x0) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x4000) recvmsg$unix(r0, &(0x7f00000014c0)={0x0, 0x0, &(0x7f0000001400)=[{&(0x7f0000000240)=""/4096, 0x7ffff000}, {&(0x7f0000001240)=""/192, 0xc0}, {&(0x7f0000001300)=""/249, 0xf9}], 0x3}, 0x0) recvmsg$unix(r0, 0x0, 0x22) 09:27:09 executing program 0: r0 = open(&(0x7f0000000200)='.\x00', 0x0, 0x0) ioctl$F2FS_IOC_START_ATOMIC_WRITE(r0, 0xf501, 0x0) ioctl$FS_IOC_SETFLAGS(r0, 0x40086602, &(0x7f00000000c0)=0x4) ioctl$sock_inet6_SIOCDIFADDR(r0, 0x8936, &(0x7f0000000380)={@private0, 0x72}) r1 = openat$cgroup_ro(0xffffffffffffff9c, 0x0, 0x275a, 0x0) write$binfmt_script(0xffffffffffffffff, 0x0, 0x208e24b) sendmsg$IPSET_CMD_RENAME(r1, &(0x7f00000001c0)={&(0x7f0000000040)={0x10, 0x0, 0x0, 0x1}, 0xc, &(0x7f0000000180)={&(0x7f0000000080)=ANY=[@ANYBLOB="20000000050641010000000000000000"], 0x20}, 0x1, 0x0, 0x0, 0x4000}, 0x0) ioctl$DRM_IOCTL_SYNCOBJ_CREATE(0xffffffffffffffff, 0xc00864bf, 0x0) ioctl$DRM_IOCTL_SYNCOBJ_FD_TO_HANDLE_FD(r0, 0xc01064c2, &(0x7f0000000440)={0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f0000000380)='./file1\x00', 0x141842, 0x0) r4 = open(&(0x7f00000001c0)='./bus\x00', 0x1c5342, 0x1c0) r5 = openat(0xffffffffffffff9c, &(0x7f0000000000)='/proc/self/exe\x00', 0x0, 0x0) sendfile(r4, r5, 0x0, 0x8000d70d) ioctl$DRM_IOCTL_SYNCOBJ_FD_TO_HANDLE_FD(r3, 0xc01064c2, &(0x7f0000000000)={0x0, 0x0, r3}) ioctl$DRM_IOCTL_SYNCOBJ_FD_TO_HANDLE_SYNC_FILE(r4, 0xc01064c2, &(0x7f0000000280)={0x0, 0x1, r4}) ioctl$DRM_IOCTL_SYNCOBJ_RESET(r4, 0xc01064c4, &(0x7f0000000300)={&(0x7f00000002c0)=[0x0, r6, 0x0, r7, r6, r6], 0x6}) r8 = openat(0xffffffffffffff9c, &(0x7f0000000380)='./file1\x00', 0x141842, 0x0) r9 = open(&(0x7f00000001c0)='./bus\x00', 0x1c5342, 0x1c0) r10 = openat(0xffffffffffffff9c, &(0x7f0000000000)='/proc/self/exe\x00', 0x0, 0x0) sendfile(r9, r10, 0x0, 0x8000d70d) ioctl$DRM_IOCTL_SYNCOBJ_FD_TO_HANDLE_FD(r8, 0xc01064c2, &(0x7f0000000000)={0x0, 0x0, r8}) ioctl$DRM_IOCTL_SYNCOBJ_FD_TO_HANDLE_SYNC_FILE(r10, 0xc01064c2, &(0x7f0000000200)={0x0, 0x1, r9}) ioctl$DRM_IOCTL_SYNCOBJ_FD_TO_HANDLE_SYNC_FILE(0xffffffffffffffff, 0xc01064c2, 0x0) ioctl$DRM_IOCTL_SYNCOBJ_RESET(0xffffffffffffffff, 0xc01064c4, &(0x7f0000000300)={&(0x7f00000002c0)=[0x0, r2, 0x0, r11, 0x0, r11, r11], 0x7}) ioctl$sock_ipv4_tunnel_SIOCCHGTUNNEL(0xffffffffffffffff, 0x89f3, 0x0) ioctl$DRM_IOCTL_SYNCOBJ_RESET(0xffffffffffffffff, 0xc01064c4, &(0x7f00000004c0)={&(0x7f0000000480)=[0x0, 0x0, r12], 0x3}) 09:27:09 executing program 3: r0 = getuid() syz_mount_image$msdos(&(0x7f0000000140), &(0x7f0000000040)='./file0\x00', 0x0, &(0x7f0000000100)=ANY=[@ANYRES64=r0, @ANYRESDEC, @ANYRESHEX=r0], 0x1, 0x522, &(0x7f0000000a80)="$eJzs1b1u01AYBuCvaSiBqTNiOBILU1XYmAhCqVRhCQmUASYiNSwxQooXJ1MvgQvgwhi4CJSpW1BlF2jFWP+oeZ4ln/LaPuc9g/3p8ZfF2dfi849v32M03ovBOB4MLvbiMAZx5TwAgLvkYruNX9tK13sBANrh+w8Au+f9h49vXmXZ5F1Ko4jNeTktp9VvlZ+cZpPjdOngn7s2ZTnd/5M/S5Xr+b14WOfP/5sfxNMnVX6ZvX6b3cjvx1nj7QEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAYLcdpTSMiJRSOvz776Ysp/tVfpSu3Mir6eQ0mxzXF1zPh/Fo2FoNAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4BYUq/Vilufz5R0bIn5Gy4uO6iNtuem4XrYvJ9/k8LKJJ7+IiL4U7NHQ4UsJAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADoVLFaL2Z5Pl8WXe8EAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOiLYrVezPJ8vmxw6LojAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA0I3fAQAA///PCC46") r1 = openat(0xffffffffffffff9c, &(0x7f0000000040)='.\x00', 0x0, 0x0) getdents(r1, 0x0, 0x18) 09:27:09 executing program 1: r0 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000900), 0xffffffffffffffff) r1 = socket$nl_generic(0x10, 0x3, 0x10) sendmsg$NL80211_CMD_GET_STATION(r1, &(0x7f0000000280)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000000)={0x28, r0, 0x809, 0x0, 0x0, {{}, {@void, @val={0xc}}}, [@NL80211_ATTR_STA_FLAGS={0x8, 0xe, 0x0, 0x1, [@NL80211_STA_FLAG_TDLS_PEER={0x4}]}]}, 0x28}}, 0x0) 09:27:09 executing program 4: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000080), 0x2, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f0000000280)={'\x00', 0x4009}) write$tun(r0, &(0x7f0000000140)=ANY=[@ANYBLOB="cf53755f7f04"], 0xfdef) [ 1305.543888][T18364] fuse: Unknown parameter 'user_id00000000000000000000' [ 1305.589662][ T24] audit: type=1800 audit(1676021229.639:314): pid=18365 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.0" name="bus" dev="sda1" ino=1154 res=0 errno=0 [ 1305.611180][ T24] audit: type=1804 audit(1676021229.639:315): pid=18364 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/716/file0" dev="sda1" ino=1174 res=1 errno=0 09:27:09 executing program 1: getsockopt$inet6_int(0xffffffffffffffff, 0x29, 0x0, &(0x7f00000000c0), 0x0) unshare(0x0) ioctl$sock_ipv6_tunnel_SIOCGET6RD(0xffffffffffffffff, 0x89f8, 0x0) r0 = syz_io_uring_complete(0x0) mount$fuse(0x0, &(0x7f0000000540)='./file0\x00', &(0x7f0000000580), 0xa2018, &(0x7f00000005c0)={{}, 0x2c, {}, 0x2c, {'user_id', 0x3d, 0xee01}, 0x2c, {'group_id', 0x3d, 0xffffffffffffffff}, 0x2c, {[{@allow_other}, {@blksize={'blksize', 0x3d, 0x1800}}, {@allow_other}], [{@fscontext={'fscontext', 0x3d, 'root'}}, {@uid_eq}, {@func={'func', 0x3d, 'POLICY_CHECK'}}, {@subj_type={'subj_type', 0x3d, 'GPL\x00'}}]}}) setsockopt$inet6_MCAST_LEAVE_GROUP(0xffffffffffffffff, 0x29, 0x2d, &(0x7f0000000700)={0x427, {{0xa, 0x4e20, 0x0, @local, 0x8}}}, 0x88) ioctl$sock_ipv4_tunnel_SIOCADDTUNNEL(r0, 0x89f1, &(0x7f0000000b40)={'gre0\x00', &(0x7f0000000a80)={'gre0\x00', 0x0, 0xbb37, 0x40, 0x8, 0x36cb, {{0x12, 0x4, 0x1, 0x23, 0x48, 0x67, 0x0, 0x0, 0x0, 0x0, @dev={0xac, 0x14, 0x14, 0x3b}, @multicast2, {[@rr={0x7, 0x7, 0x32, [@dev={0xac, 0x14, 0x14, 0x1d}]}, @timestamp_prespec={0x44, 0x24, 0xbe, 0x3, 0x0, [{@initdev={0xac, 0x1e, 0x0, 0x0}}, {@loopback, 0xfffffff7}, {@multicast2}, {@dev}]}, @generic={0x89, 0x9, "554bf0f5957d3a"}]}}}}}) bpf$OBJ_GET_MAP(0x7, &(0x7f0000000c40)={&(0x7f0000000c00)='./file0\x00', 0x0, 0x8}, 0x10) openat$procfs(0xffffffffffffff9c, &(0x7f0000000d40)='/proc/key-users\x00', 0x0, 0x0) openat$procfs(0xffffffffffffff9c, &(0x7f0000000d80)='/proc/sysvipc/sem\x00', 0x0, 0x0) ioctl$sock_ipv6_tunnel_SIOCGET6RD(0xffffffffffffffff, 0x89f8, &(0x7f0000000fc0)={'erspan0\x00', &(0x7f0000000f00)={'erspan0\x00', 0x0, 0x0, 0x700, 0x9, 0x0, {{0x9, 0x4, 0x1, 0x8, 0x24, 0x68, 0x0, 0x0, 0x29, 0x0, @dev={0xac, 0x14, 0x14, 0x22}, @broadcast, {[@generic={0x0, 0x6, "76632ca9"}, @cipso={0x86, 0x8, 0x2, [{0x5, 0x2}]}]}}}}}) setsockopt$inet6_IPV6_IPSEC_POLICY(r0, 0x29, 0x22, &(0x7f0000001000)={{{@in=@local, @in=@empty, 0x4e20, 0x4, 0x4e21, 0x3, 0x8, 0x20, 0x20, 0x11}, {0x3c5d, 0x3, 0x74a, 0x4, 0xfffffffffffffffe, 0x0, 0x5}, {0x0, 0x0, 0x101}, 0x81, 0x6e6bb7}, {{@in6=@private1={0xfc, 0x1, '\x00', 0x1}, 0x4d3, 0xff}, 0x0, @in=@multicast2, 0x3502, 0x0, 0x2, 0x0, 0x3, 0x3}}, 0xe8) r1 = openat$procfs(0xffffffffffffff9c, &(0x7f0000001100)='/proc/asound/timers\x00', 0x0, 0x0) mmap$IORING_OFF_CQ_RING(&(0x7f0000ffb000/0x4000)=nil, 0x4000, 0x1000001, 0x11, r1, 0x8000000) 09:27:09 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000000000100000,user_id', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) [ 1305.888447][ T24] audit: type=1800 audit(1676021229.839:316): pid=18368 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.0" name="bus" dev="sda1" ino=1154 res=0 errno=0 09:27:10 executing program 4: r0 = ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) ioctl$KVM_SET_USER_MEMORY_REGION(r0, 0x4020ae46, 0x0) ioctl$KVM_SET_REGS(0xffffffffffffffff, 0x4090ae82, 0x0) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000280)='memory.swap.events\x00', 0x275a, 0x0) write$binfmt_script(r1, &(0x7f00000002c0)=ANY=[], 0x208e24b) ioctl$F2FS_IOC_RELEASE_VOLATILE_WRITE(r1, 0xf504, 0x0) ioctl$F2FS_IOC_ABORT_ATOMIC_WRITE(0xffffffffffffffff, 0xf505, 0x0) ioctl$KVM_RUN(0xffffffffffffffff, 0xae80, 0x0) sendto$inet(r0, &(0x7f0000000440), 0x0, 0x0, 0x0, 0x0) 09:27:10 executing program 2: r0 = open(&(0x7f00000000c0)='./bus\x00', 0x149a42, 0x0) ioctl$F2FS_IOC_WRITE_CHECKPOINT(r0, 0xf507, 0x0) [ 1306.500645][T18378] fuse: Unknown parameter 'user_id00000000000000000000' [ 1306.588387][ T24] audit: type=1804 audit(1676021230.639:317): pid=18380 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/717/file0" dev="sda1" ino=1182 res=1 errno=0 09:27:10 executing program 0: r0 = syz_open_dev$sndpcmp(&(0x7f0000000040), 0x0, 0x0) ioctl$SNDRV_PCM_IOCTL_HW_REFINE(r0, 0xc2604110, &(0x7f0000000080)={0x0, [[0xfffffffc], [0x0, 0x1], [0xfffffffa]], '\x00', [{0x0, 0x8}, {0x0, 0x20}, {0x0, 0x3d8}], '\x00', 0x400}) 09:27:10 executing program 1: mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x2, 0x8031, 0xffffffffffffffff, 0x0) madvise(&(0x7f0000000000/0x600000)=nil, 0x600003, 0x15) madvise(&(0x7f0000641000/0x4000)=nil, 0x4000, 0x13) getrandom(&(0x7f0000000180)=""/87, 0x57, 0x3) [ 1306.640518][T18375] loop3: detected capacity change from 0 to 2048 09:27:10 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYBLOB=',rootmode=00000000000000000100000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:27:10 executing program 3: r0 = getuid() syz_mount_image$msdos(&(0x7f0000000140), &(0x7f0000000040)='./file0\x00', 0x0, &(0x7f0000000100)=ANY=[@ANYRES64=r0, @ANYRESDEC, @ANYRESHEX=r0], 0x1, 0x522, &(0x7f0000000a80)="$eJzs1b1u01AYBuCvaSiBqTNiOBILU1XYmAhCqVRhCQmUASYiNSwxQooXJ1MvgQvgwhi4CJSpW1BlF2jFWP+oeZ4ln/LaPuc9g/3p8ZfF2dfi849v32M03ovBOB4MLvbiMAZx5TwAgLvkYruNX9tK13sBANrh+w8Au+f9h49vXmXZ5F1Ko4jNeTktp9VvlZ+cZpPjdOngn7s2ZTnd/5M/S5Xr+b14WOfP/5sfxNMnVX6ZvX6b3cjvx1nj7QEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAYLcdpTSMiJRSOvz776Ysp/tVfpSu3Mir6eQ0mxzXF1zPh/Fo2FoNAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4BYUq/Vilufz5R0bIn5Gy4uO6iNtuem4XrYvJ9/k8LKJJ7+IiL4U7NHQ4UsJAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADoVLFaL2Z5Pl8WXe8EAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOiLYrVezPJ8vmxw6LojAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA0I3fAQAA///PCC46") r1 = openat(0xffffffffffffff9c, &(0x7f0000000040)='.\x00', 0x0, 0x0) getdents(r1, 0x0, 0x18) 09:27:11 executing program 2: r0 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f00000001c0)='memory.current\x00', 0x275a, 0x0) write$binfmt_script(r0, &(0x7f0000000140)=ANY=[], 0x1670e68) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x2, 0x28011, r0, 0x0) r1 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000140), 0x0, 0x0) r2 = ioctl$KVM_CREATE_VM(r1, 0xae01, 0x0) r3 = dup(r2) r4 = ioctl$KVM_CREATE_VCPU(r3, 0xae41, 0x0) ioctl$KVM_SET_USER_MEMORY_REGION(r2, 0x4020ae46, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, r4, &(0x7f0000000000/0x18000)=nil, &(0x7f0000000100)=[@text64={0x40, 0x0}], 0x1, 0x0, 0x0, 0x0) bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x0, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="00000000000917"], 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) ioctl$KVM_RUN(r4, 0xae80, 0x0) bpf$MAP_GET_NEXT_KEY(0x4, &(0x7f0000000080)={0xffffffffffffffff, &(0x7f0000003000)="93", 0x0}, 0x20) sendmsg$NL80211_CMD_SET_BEACON(0xffffffffffffffff, 0x0, 0x1) madvise(&(0x7f0000000000/0x600000)=nil, 0x600003, 0x15) ftruncate(r0, 0x0) [ 1307.341950][T18393] fuse: Bad value for 'fd' [ 1307.358239][ T24] audit: type=1804 audit(1676021231.409:318): pid=18393 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/718/file0" dev="sda1" ino=1174 res=1 errno=0 09:27:11 executing program 0: mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x2, 0x8031, 0xffffffffffffffff, 0x0) mremap(&(0x7f000018d000/0x3000)=nil, 0x3000, 0x3000, 0x7, &(0x7f0000ffb000/0x3000)=nil) madvise(&(0x7f00007e8000/0x2000)=nil, 0x2000, 0x9) 09:27:11 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYBLOB=',rootmode=00000000000000000100000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:27:11 executing program 4: open(&(0x7f0000000080)='./bus\x00', 0x141bc2, 0x1c0) r0 = open(&(0x7f00000000c0)='./bus\x00', 0x14da42, 0x0) r1 = open(&(0x7f00000000c0)='./bus\x00', 0x149a42, 0x0) ioctl$FS_IOC_SETFLAGS(r1, 0x40086602, &(0x7f0000000000)=0x4) writev(r1, &(0x7f0000000080)=[{&(0x7f0000001100)='.', 0xfffffd3c}], 0x1) r2 = openat(0xffffffffffffff9c, &(0x7f0000000040)='/proc/self/exe\x00', 0x0, 0x0) sendfile(r0, r2, 0x0, 0x80001d00c0cd) [ 1308.129360][T18405] fuse: Bad value for 'fd' [ 1308.137180][T18397] loop3: detected capacity change from 0 to 2048 [ 1308.207549][ T24] audit: type=1804 audit(1676021232.259:319): pid=18405 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/719/file0" dev="sda1" ino=1182 res=1 errno=0 09:27:12 executing program 2: r0 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f00000001c0)='memory.current\x00', 0x275a, 0x0) write$binfmt_script(r0, &(0x7f0000000140)=ANY=[], 0x1670e68) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x2, 0x28011, r0, 0x0) fdatasync(r0) r1 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000140), 0x0, 0x0) r2 = ioctl$KVM_CREATE_VM(r1, 0xae01, 0x0) r3 = dup(0xffffffffffffffff) r4 = ioctl$KVM_CREATE_VCPU(r3, 0xae41, 0x0) ioctl$KVM_SET_USER_MEMORY_REGION(r2, 0x4020ae46, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, r4, &(0x7f0000000000/0x18000)=nil, &(0x7f0000000100)=[@text64={0x40, 0x0}], 0x1, 0x0, 0x0, 0x0) bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x0, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="00000000000917"], 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) ioctl$KVM_RUN(r4, 0xae80, 0x0) madvise(&(0x7f0000000000/0x600000)=nil, 0x600003, 0x15) ftruncate(0xffffffffffffffff, 0x0) 09:27:12 executing program 3: open(&(0x7f0000000080)='./bus\x00', 0x141bc2, 0x1c0) r0 = open(&(0x7f00000000c0)='./bus\x00', 0x14da42, 0x0) r1 = open(&(0x7f00000000c0)='./bus\x00', 0x0, 0x0) ioctl$FS_IOC_SETFLAGS(r1, 0x40086602, &(0x7f0000000000)=0x4) write$P9_RMKDIR(r0, &(0x7f0000000100)={0x14, 0x49, 0x0, {0x20, 0x2}}, 0x14) r2 = openat(0xffffffffffffff9c, &(0x7f0000000040)='/proc/self/exe\x00', 0x0, 0x0) sendfile(r0, r2, 0x0, 0x80001d00c0d0) 09:27:12 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYBLOB=',rootmode=00000000000000000100000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) [ 1309.004720][T18412] fuse: Bad value for 'fd' [ 1309.043813][ T24] audit: type=1804 audit(1676021233.099:320): pid=18412 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/720/file0" dev="sda1" ino=1179 res=1 errno=0 09:27:13 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX, @ANYBLOB=',rootmode=00000000000000000100000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) [ 1309.278457][ T24] audit: type=1804 audit(1676021233.329:321): pid=18417 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=open_writers comm="syz-executor.3" name="/root/syzkaller-testdir2019770825/syzkaller.VvTbJs/764/bus" dev="sda1" ino=1184 res=1 errno=0 09:27:13 executing program 4: r0 = open(&(0x7f0000000080)='./bus\x00', 0x141bc2, 0x1c0) r1 = open(&(0x7f00000000c0)='./bus\x00', 0x14da42, 0x0) r2 = open(&(0x7f00000000c0)='./bus\x00', 0x0, 0x0) ioctl$FS_IOC_SETFLAGS(r2, 0x40086602, &(0x7f0000000000)=0x4) r3 = openat(0xffffffffffffff9c, &(0x7f0000000040)='/proc/self/exe\x00', 0x0, 0x0) sendfile(r1, r3, 0x0, 0x80001d00c0d0) write$binfmt_aout(r0, &(0x7f0000000300)={{0x0, 0x3f}, "", ['\x00', '\x00', '\x00', '\x00']}, 0x420) [ 1309.799130][T18422] fuse: Bad value for 'fd' [ 1309.843106][ T24] audit: type=1804 audit(1676021233.899:322): pid=18422 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/721/file0" dev="sda1" ino=1153 res=1 errno=0 [ 1310.297856][ T24] audit: type=1804 audit(1676021234.349:323): pid=18425 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=open_writers comm="syz-executor.4" name="/root/syzkaller-testdir3644309647/syzkaller.ssHgzU/794/bus" dev="sda1" ino=1161 res=1 errno=0 09:27:15 executing program 1: r0 = openat(0xffffffffffffffff, &(0x7f0000000080)='/\x00', 0x0, 0x0) openat(r0, &(0x7f0000000000)='./bus\x00', 0x71040, 0x0) 09:27:15 executing program 2: prctl$PR_SET_VMA(0x53564d41, 0x0, &(0x7f0000ffc000/0x2000)=nil, 0x2000, &(0x7f0000000000)='!$\xad\xb0Z3\xb1\xae\xa6\xda\xfe)\x8f\x87q\xc5\xf0\xb3|\x04\x00\x00\x00\xb1!\xc7\xf6\xa4#.\xb5h\x11') 09:27:15 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX, @ANYBLOB=',rootmode=00000000000000000100000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:27:15 executing program 3: unshare(0x8000080) getsockopt$inet6_int(0xffffffffffffffff, 0x29, 0x3c, &(0x7f00000000c0), 0x0) unshare(0x0) ioctl$sock_ipv6_tunnel_SIOCGET6RD(0xffffffffffffffff, 0x89f8, &(0x7f0000000340)={'syztnl0\x00', &(0x7f0000000240)={'sit0\x00', 0x0, 0x0, 0x7, 0x5, 0x4, {{0x1a, 0x4, 0x1, 0x37, 0x68, 0x68, 0x0, 0x9, 0x2f, 0x0, @multicast1, @multicast2, {[@rr={0x7, 0x7, 0x0, [@broadcast]}, @timestamp_addr={0x44, 0x1c, 0x0, 0x1, 0x9, [{@rand_addr, 0x9}, {@initdev={0xac, 0x1e, 0x0, 0x0}}, {@broadcast, 0x80}]}, @ra={0x94, 0x4}, @lsrr={0x83, 0xf, 0x30, [@multicast2, @initdev={0xac, 0x1e, 0x0, 0x0}, @multicast2]}, @cipso={0x86, 0x1c, 0x3, [{0x7, 0x2}, {0x6, 0xc, "97cda9aec5dfb814e9be"}, {0x3, 0x6, "bd8477a0"}, {0x0, 0x2}]}]}}}}}) r1 = syz_io_uring_complete(0x0) mount$fuse(0x0, &(0x7f0000000540)='./file0\x00', 0x0, 0xa2018, &(0x7f00000005c0)={{}, 0x2c, {}, 0x2c, {'user_id', 0x3d, 0xee01}, 0x2c, {'group_id', 0x3d, 0xffffffffffffffff}, 0x2c, {[{@allow_other}, {@blksize={'blksize', 0x3d, 0x1800}}, {@default_permissions}, {@allow_other}], [{@fscontext={'fscontext', 0x3d, 'root'}}, {@subj_type={'subj_type', 0x3d, 'GPL\x00'}}]}}) r2 = socket$inet6_icmp_raw(0xa, 0x3, 0x3a) setsockopt$inet6_MCAST_LEAVE_GROUP(r2, 0x29, 0x2d, 0x0, 0x0) ioctl$sock_ipv4_tunnel_SIOCADDTUNNEL(r1, 0x89f1, 0x0) bpf$OBJ_GET_MAP(0x7, &(0x7f0000000c40)={&(0x7f0000000c00)='./file0\x00', 0x0, 0x8}, 0x10) bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000cc0)={0x11, 0x4, &(0x7f0000000980)=@raw=[@btf_id={0x18, 0x0, 0x3, 0x0, 0x1}, @map_idx_val], &(0x7f00000009c0)='GPL\x00', 0x6, 0x6a, &(0x7f0000000a00)=""/106, 0x41100, 0x5, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) openat$procfs(0xffffffffffffff9c, &(0x7f0000000d40)='/proc/key-users\x00', 0x0, 0x0) r3 = openat$procfs(0xffffffffffffff9c, &(0x7f0000000d80)='/proc/sysvipc/sem\x00', 0x0, 0x0) ioctl$SNDRV_PCM_IOCTL_DELAY(r3, 0x80084121, 0x0) ioctl$sock_ipv6_tunnel_SIOCGET6RD(0xffffffffffffffff, 0x89f8, &(0x7f0000000fc0)={'erspan0\x00', &(0x7f0000000f00)={'erspan0\x00', r0, 0x7800, 0x0, 0x9, 0x70e7, {{0x14, 0x4, 0x1, 0x0, 0x50, 0x68, 0x0, 0x0, 0x29, 0x0, @dev, @broadcast, {[@cipso={0x86, 0x6}, @timestamp_prespec={0x44, 0x34, 0xa5, 0x3, 0x0, [{@local}, {@empty}, {@private, 0x5}, {@remote, 0x5}, {@multicast2}, {@empty}]}]}}}}}) setsockopt$inet6_IPV6_IPSEC_POLICY(r1, 0x29, 0x22, 0x0, 0x0) r4 = openat$procfs(0xffffffffffffff9c, &(0x7f0000001100)='/proc/asound/timers\x00', 0x0, 0x0) mmap$IORING_OFF_CQ_RING(&(0x7f0000ffb000/0x4000)=nil, 0x4000, 0x0, 0x11, r4, 0x8000000) [ 1311.405784][T18433] fuse: Bad value for 'fd' [ 1311.500243][ T24] audit: type=1804 audit(1676021235.549:324): pid=18433 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/722/file0" dev="sda1" ino=1154 res=1 errno=0 09:27:16 executing program 0: mkdir(&(0x7f0000000080)='./file0\x00', 0xd1) mkdir(&(0x7f00000000c0)='./file0/file0\x00', 0x0) mkdir(&(0x7f0000000000)='./file0/file0\x00', 0x0) 09:27:16 executing program 4: open(&(0x7f0000000080)='./bus\x00', 0x141bc2, 0x1c0) r0 = open(&(0x7f00000000c0)='./bus\x00', 0x14da42, 0x0) r1 = open(&(0x7f00000000c0)='./bus\x00', 0x149a42, 0x0) ioctl$FS_IOC_SETFLAGS(r1, 0x40086602, &(0x7f0000000000)=0x4) write$P9_RMKDIR(r0, &(0x7f0000000100)={0x14}, 0x14) r2 = openat(0xffffffffffffff9c, &(0x7f0000000040)='/proc/self/exe\x00', 0x0, 0x0) sendfile(r0, r2, 0x0, 0x80001d00c0d0) 09:27:16 executing program 2: clock_gettime(0x0, &(0x7f0000000540)={0x0}) rt_sigtimedwait(&(0x7f0000000480), 0x0, &(0x7f0000000580)={r0}, 0x8) 09:27:16 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX, @ANYBLOB=',rootmode=00000000000000000100000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:27:16 executing program 3: r0 = socket$inet6_tcp(0xa, 0x1, 0x0) ioctl$sock_SIOCETHTOOL(r0, 0x8946, &(0x7f00000000c0)={'virt_wifi0\x00', &(0x7f0000000080)=ANY=[@ANYBLOB='D']}) 09:27:16 executing program 1: pipe2(&(0x7f00000001c0)={0xffffffffffffffff}, 0x0) ioctl$FIONREAD(r0, 0x4004667f, &(0x7f0000000280)) [ 1312.520550][T18447] fuse: Bad value for 'fd' [ 1312.636623][ T24] audit: type=1804 audit(1676021236.679:325): pid=18448 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/723/file0" dev="sda1" ino=1179 res=1 errno=0 09:27:16 executing program 1: timerfd_create(0x0, 0x0) syz_clone(0x0, &(0x7f0000001980), 0x0, 0x0, 0x0, 0x0) 09:27:16 executing program 3: r0 = open(&(0x7f0000000000)='./file0\x00', 0xa05c1, 0x0) write$FUSE_LSEEK(r0, &(0x7f0000004480)={0x18}, 0x18) 09:27:17 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000000000100000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:27:17 executing program 0: set_mempolicy(0x30756d0b558bd2ba, 0x0, 0x0) 09:27:17 executing program 2: madvise(&(0x7f0000bdc000/0x4000)=nil, 0x86ac726dff2f4713, 0xa) ptrace(0xffffffffffffffff, 0x0) r0 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace$setopts(0x4206, r0, 0x0, 0x0) ptrace$cont(0x7, r0, 0x0, 0x4) 09:27:17 executing program 4: syz_mount_image$fuse(0x0, &(0x7f0000000040)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) r0 = openat$dir(0xffffffffffffff9c, &(0x7f00000000c0)='./file0\x00', 0x0, 0x0) openat$incfs(r0, &(0x7f0000000140)='.pending_reads\x00', 0x22140, 0x0) 09:27:17 executing program 3: r0 = socket$unix(0x1, 0x1, 0x0) sendmmsg$unix(r0, &(0x7f00000074c0), 0x0, 0x4000) [ 1313.656038][T18458] fuse: Unknown parameter '0x0000000000000004' [ 1313.697942][ T24] audit: type=1804 audit(1676021237.749:326): pid=18458 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/724/file0" dev="sda1" ino=1171 res=1 errno=0 09:27:17 executing program 1: syz_mount_image$fuse(0x0, &(0x7f0000000180)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) r0 = openat$dir(0xffffffffffffff9c, &(0x7f00000000c0)='./file0\x00', 0x0, 0x0) mknodat(r0, &(0x7f0000000400)='./file0\x00', 0x0, 0x0) renameat(r0, &(0x7f0000000000)='./file0\x00', 0xffffffffffffff9c, &(0x7f0000000040)='./file0\x00') 09:27:17 executing program 0: set_mempolicy(0x30756d0b558bd2ba, 0x0, 0x0) 09:27:18 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000000000100000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:27:18 executing program 2: syz_mount_image$fuse(0x0, &(0x7f0000000180)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) r0 = openat$dir(0xffffffffffffff9c, &(0x7f00000000c0)='./file0\x00', 0x0, 0x0) mknodat(r0, &(0x7f0000000400)='./file0\x00', 0x0, 0x0) r1 = open$dir(&(0x7f0000000000)='./file0\x00', 0x0, 0x0) statx(r1, &(0x7f0000000040)='./file0\x00', 0x1000, 0x2, &(0x7f00000001c0)) 09:27:18 executing program 4: syz_mount_image$fuse(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x0, 0x0, 0x0, 0x0) r0 = openat$dir(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x0, 0x0) mkdirat(r0, &(0x7f0000000140)='./file1\x00', 0x118) 09:27:18 executing program 3: syz_mount_image$fuse(0x0, &(0x7f0000000180)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) r0 = openat$dir(0xffffffffffffff9c, &(0x7f00000000c0)='./file0\x00', 0x0, 0x0) utimensat(r0, 0x0, &(0x7f0000000200), 0x100) 09:27:18 executing program 0: set_mempolicy(0x30756d0b558bd2ba, 0x0, 0x0) 09:27:18 executing program 1: ioctl$TUNGETVNETLE(0xffffffffffffffff, 0x800454dd, &(0x7f0000000440)) ioctl$TUNGETFILTER(0xffffffffffffffff, 0x801054db, &(0x7f0000000580)=""/237) syz_clone(0xc0206200, 0x0, 0x0, &(0x7f00000016c0), 0x0, &(0x7f0000000080)) bpf$BPF_RAW_TRACEPOINT_OPEN_UNNAMED(0x11, 0x0, 0x0) [ 1314.639532][T18474] fuse: Unknown parameter '0x0000000000000004' [ 1314.687152][ T24] audit: type=1804 audit(1676021238.739:327): pid=18474 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/725/file0" dev="sda1" ino=1172 res=1 errno=0 09:27:19 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000000000100000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:27:19 executing program 4: r0 = bpf$PROG_LOAD(0x5, &(0x7f0000000200)={0xc, 0xe, &(0x7f0000000380)=ANY=[@ANYBLOB="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"], &(0x7f0000000340)='syzkaller\x00'}, 0x48) openat$cgroup_ro(0xffffffffffffff9c, 0x0, 0x26e1, 0x0) bpf$MAP_CREATE(0x0, 0x0, 0x0) bpf$BPF_GET_MAP_INFO(0xf, &(0x7f0000000000)={0xffffffffffffffff, 0x0, 0x0}, 0x10) openat$cgroup_ro(0xffffffffffffff9c, 0x0, 0x26e1, 0x0) openat$cgroup_ro(0xffffffffffffff9c, 0x0, 0x26e1, 0x0) bpf$MAP_CREATE(0x0, &(0x7f0000000180)=@base={0x0, 0x7, 0x0, 0x9}, 0x48) bpf$MAP_CREATE(0x0, &(0x7f0000001040)=@bloom_filter={0x1e, 0x0, 0x0, 0x1, 0x0, 0x1, 0x0, '\x00', 0x0, 0xffffffffffffffff, 0x0, 0x3, 0x0, 0xe}, 0x48) openat$cgroup_ro(0xffffffffffffff9c, 0x0, 0x26e1, 0x0) bpf$BPF_GET_PROG_INFO(0xf, 0x0, 0x0) bpf$BPF_GET_PROG_INFO(0xf, &(0x7f0000001300)={0xffffffffffffffff, 0x0, 0x0}, 0x10) openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000380)='memory.events\x00', 0x7a05, 0x1700) bpf$MAP_CREATE(0x0, 0x0, 0x0) openat$cgroup_ro(0xffffffffffffffff, 0x0, 0x0, 0x0) bpf$BPF_PROG_TEST_RUN(0xa, &(0x7f0000000080)={r0, 0x18000000000002a0, 0xe80, 0xf000, &(0x7f0000000100)="b9ff03006044238cb89e14f088a81bffffff00004000632977fbac141442e934a0a662079f4b4d2f87e5feca6aab845013f2325f1a3901050b038da1880b25181aa59d943be3f4aed50ea5a6b8686731cb89ef77123c899b699eeaa8eaa0073461119663906400f30c0600000000000059b6d3296e8ca31bce1d8392078b72f24996ae17dffc2e43c8174b54b620636894aaacf28ff62616363c70a440aec4014caf28c0adc043084617d7ecf41e9d134589d46e5dfc4ca5780d38cae870b9a1df48b238190da450296b0ac01496ace23eefc9d4246dd14afbf79a2283a0bb7e1d235f3df126c3acc240d75a058f6efa6d1f5f7ff4000000000000000000", 0x0, 0xfe, 0x60000000}, 0x2c) 09:27:19 executing program 2: bpf$PROG_LOAD_XDP(0x5, &(0x7f0000000200)={0xd, 0x4, &(0x7f0000000000)=@framed={{}, [@ldst={0x3, 0x0, 0x3, 0x0, 0x4}]}, &(0x7f0000000040)='syzkaller\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x8, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) 09:27:19 executing program 3: bpf$PROG_LOAD_XDP(0x5, &(0x7f0000000200)={0xd, 0x4, &(0x7f0000000000)=@framed={{}, [@ldst={0x2, 0x0, 0x3, 0x0, 0x4}]}, &(0x7f0000000040)='syzkaller\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x8, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) 09:27:19 executing program 0: set_mempolicy(0x30756d0b558bd2ba, 0x0, 0x0) [ 1315.573636][T18490] fuse: Unknown parameter '0x0000000000000004' [ 1315.616418][ T24] audit: type=1804 audit(1676021239.669:328): pid=18490 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/726/file0" dev="sda1" ino=1159 res=1 errno=0 09:27:19 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB, @ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000000000100000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:27:19 executing program 3: bpf$MAP_CREATE(0x0, &(0x7f0000000100)=@base={0x13, 0x10, 0x2, 0x0, 0x200}, 0x48) 09:27:20 executing program 2: syz_mount_image$fuse(0x0, &(0x7f0000000180)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) r0 = openat$dir(0xffffffffffffff9c, &(0x7f00000000c0)='./file0\x00', 0x0, 0x0) mknodat(r0, &(0x7f0000000400)='./file0\x00', 0x0, 0x0) statx(r0, &(0x7f00000011c0)='./file0\x00', 0x4000, 0x7ff, &(0x7f0000001200)) 09:27:20 executing program 0: r0 = openat$loop_ctrl(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) ioctl$BTRFS_IOC_BALANCE_V2(r0, 0x541b, 0x0) [ 1316.334483][T18502] fuse: Unknown parameter '0x0000000000000004' [ 1316.382911][ T24] audit: type=1804 audit(1676021240.429:329): pid=18502 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/727/file0" dev="sda1" ino=1160 res=1 errno=0 09:27:20 executing program 1: syz_mount_image$ntfs3(&(0x7f000001f740), &(0x7f000001f780)='./file0\x00', 0x4010, &(0x7f0000000700)=ANY=[], 0x1, 0x1f726, &(0x7f000007c700)="$eJzs3Qm8DXX/B/Df7Ps+R3aXbpKQfUmyr9m3kOz7ni0kLsm+Rki2JFuSUEkSSZRkl4QkSZu0S+L/Mvfc270XT81TT7/+4/Pu1XfOnXvOb75n+czMGffM+bLh1LqNqzVKSEhIICxLkp0n6SSRJHLZSr5sx+dd5pKnDCGEJ4RMSSzYumbP+tqVeWauTQ8MXZlnc3+t6TrzVYnsslt9ea7oqV2ZdmX58lLjLl37JXTtl9Crd/+Etgntevfu37Zdj44JHbr2614ooX6Pjm37dUzo2qtfx77pft2pR+8+fQYntO3VwVD79O3Yr19C216DE7p3HJzQv3dC/76DE9p2btu1V0KhQoUSDJXAn9RkBe0OAAAAAAAAAAAAAAAAAADg73H5MrnM0G4CAAAAAAAAAAAAAAAAAAAA/pJqNWtXLUy41J8ZwpDShCGzUz7YH5dyMeWaNiGkTXApc1BrxC8lLTcL9LhwmLneVLxWE9f4A4TChJAuqeOzpFZwiSFCME/4w+WQHvGB4tOU5fJcIqlDqpHG8Z+T4r0zpEK6Ri7FpxVSZlzkrjl1LyefEmF1unG4q+5PhQwPZAJJP3UYOZhevnz58rUeov+Nq/uEf7ewrzOZpJ+mf51x181/swz55+LpSDlFyD+R/z6p47OkYdj822kaTZN/OTX/dUhX0pf0jc+/3nqAy/i42tee5uaTz4jyz+b3r2JpNwBUsVfln/0P+Wf/P+U/tfnkadr81ya9SWdSjXQlPUjH+Pzr5T8lzKn5zzBuyjQPYTge+Yf/V67OPxfP/4kM+Rfj64CUWXY8Lyn5L/xf5j/9fj5D6ofOeXop40tcImlKepMeZADpSToG4yalLoclHVKXyCdduR8p7wf84LfF48nwyQLGJUzyUgQ3fvtgXvIVhCv77wksSXedjL8j8XVl4dTl88SNX+pHBpMhpDtpS3oEa6OU/ZEr6758qdcXiJ76SMefp/g9T0qdnzl1byXzn14P8X98FYgw/qr88/H8b77G+38+w/b/78r/X9rO6/GB4mf9S7udr0j6k/6kL6lCOpJO8fnp1wPcn14PjCNXrweCeSHXA9fbz0h5XFP3MzLcr5Rpbi5zcKO/Zz8D2/8b29XbfyHIP5f80siw/RcyHP/7T/k/bPdON02Zn/f3d86pt/v9fQRDqvz17X8wPsMVCn6uny5vv7/eU+5aat785EkBO/00t2AFy5hKCGkW3DB5vVE6fuwukdQkxUjh4H4w8QeGjz8++eL/p20yc4ajNgvjPTJ8hfgjnCzlOjVImgf8GtOU5efm/WAxq1P2G/jk/Qw5Q58pvaQc/4Eb2dXH/8T49r/+Nd7/i//w+/+0x/9Dvy/g04+d9n1BJdKV9Cc9SVvS57rb4xQZj6emXiU+zc1k+xu3x/80bP9vbFdv/6V4/tdfY/9f+h/t/6fNee2wOc/wD2kp4wtBznuT3qR/8PO/db9fik9T1zMZ/2EwPnXi/4Dz965nkP8b29X5l+P5H3SN/MvUjv9z/8Xx//TSHheoRNqSDqRycGywH0nOU/rjaGzqpaR0++dp/j4gHtzU/fOLudJP41KWxl5OvsG/az8B+b+xXZ1/Jci/kv5gf/ydqfL3/P1PQsYumD/c//9b/l0gWO6V/f9GpCNpTwaQvqRjhnynyUN8hNR888kPRh8m/fTKaFVII5KHYbIz8eMEJ8jvxwk2p77/vnK95NFckv44wer4/8n31o/f/+QNfoPEtcHjkzJNil9vKCGkJql51fUXHjteiWV+n6bcjw7XvD5Hzrnc+SvXSZmSDOOTNM83G79/O8jvxxeWpbl/Kde3M9y/2fH/SbBHlbz8fCkvrussO+P1rvcYZLze9e57xvvx71oP03L1+3/1P/z9n0rt/T/3X+Q/pdPkadr3/01IH1KZtCX94vm/1n758Pj093/3Z685zZNEcrBp9u+JkLz/kBA/DpdIapJepBPpHb9VyspP75qt+4PDjh7JeL//2dcltv83tqu3/1r8+H8Se/XxP+3v2f7bGbv4h7b/wXKv5L8qGUT6k46kF+kQbM+S3+sk57YZ88fH9Wswyf9fkSX5HpA2pG788UuuYfvLG181iFwiqUfakW6kZuoxir97/AZkQHBcpC3hSNb4+F2Cd1h/ffwr768ako6kD2lL+gZr2PSw/f03uXr7rxMSbP8TrnH8X/8fHP+j/Xc56d/3M6mXbozXJ7b/N7art//Gf8i/gfxHDPJ/Y7s6/+Z/yL+J/EcM8n9juzr/1n/Iv4X8Rwzyf2O7Ov92kH9CxpL0+WdSb/H79f6O/N8YOfu3Qv5vbFfn30H+byDI/43t6vy7yP8NBPm/sV2dfw/5v4Eg/ze2q/PvI/83EOT/xnZ1/mPI/w0E+b+xXZ3/TMj/DQT5v7Fdnf+bkP8bCPJ/Y7s6/8nn/zZIH/bqz/9l/hs+/5f3v/z8b93453/+3s+/JH+edVDwUCR/zrBZ6udZ66Xe1iEZPv+T5nPBCfEHKV/8Y01X/Y0Rk/4Op/0c7bnU5bJkT+pyG6S7btrlbo7/T4LjNIXjj3v887HxcdPe8ZTH4nIGaZ9/P/4Jx2ZpPo8bdpxr/V1VxvuL9fy/0fXzf63zf2eJSP7Tfr5uavxvEFNyWPga+fdI+hwmkKvvxP/P1zm2/ze26+c/6Rr5z/o3fP43TP5/P/8Pk3r+n//V51OnpiyP/f18g8nrgYapY2RcD1RIc36A7PFpQvzEvTohC3PEH9G0/l3rCZz/88aW/Pnf/Bnyf2V9MJbNeP6f08H099wnS8n9bK5HkLvS43umm6bMT7jG5/7Z/7jdT+4m4/kA/2g5Gce7styCwS07xb/vI3m/+Ure7TSf+z/3J87neyLl3D6peWdIm6BDQrLFf87YV8ZpyhcxZU3zPWDX6i/Hfzt+RnzG8Yuk+eXp1PXRntR9muT3QXL80pXb3hW/381Sf88H8zKnnDZZ5Eli7WZNalZJHjXNvOrXmFenXhXydcV4B/+O9eCN6vR18n/1+f8F8mkwvV7+/+h1edVGP2T+/+58JV3nvOApLaWe9yfDeCnT3CwbHMr8p3MzIt7W35ObT//6EPCX0H39fHrd/Le56vz/fy3/1/qqmf9J/jO6zvbveuf73Bx/TpJxZH18/pX9+dWEkLqkaVCvaBmcRbwPaRn/Fp+CpGtwVvHOpGPwfy9SnBQlJUix4L9SpDApQYqSlmnWROkvlyflScu/edT0ry8+w+vr0wyvL+Gvvr4uP5Xu9QX/btfP//l/2fY/Zf//z65nUr4258pym18n/9fa/qfIeN7/DF/DQ3Iz/H/c/l9ZZv/4+ntq6u/5YN5fXX8H7z00kQzo17FvoUFt+/fvW4TEJ9f4XVESnwTS5xLbf9r+fdt/Nsh/vmts/5tV//P5T/nen/8+/8nboz/Kf8blkMTkcfLdnDy9ev+/WIblkD+1ngm/nOTAXW89k/J9zKnrmQzjpUxzK8L/8H3Gp+SfeZ8B1/Pvy3/y9n9HyO1/xu/7yjgNk/8+abppnCGXf7SclJ5TzvmckkslNZeFSPvge0GTrxF2PyBl3JRpbsb8f3wcANt/2v5t+SfxDJYmGb8e9t/4/RXw10i0GwCqpBD5T95jRf6jRKbdAFAlh8i/ElTkP0oU2g0AVUqI/Ccf+Ub+o0Sl3QBQpYbIf/Jf3iL/UaLRbgD+aVnTHnTXQuQ/+e/akf8o0Wk3AFTpIfJvBBX5jxKDdgNAlREi/2ZQkf8oMWk3AFSZIfJvBRX5jxKLdgNAlRUi/8kf30X+o+Sqj2TDDcUOkf/gLFjIf6Q4tBsAqpwQ+U/+lk3kP0pc2g0AVW6I/Adnv0L+I8Wj3QBQ5YXIf/BJIuQ/UnzaDQBVfoj8x4KK/EdJjHYDQFUsRP4zBRX5j5JMtBsAqjKFyP9NQUX+o+Qm2g0AVTeFyH/y2TKR/yjJTLsBoCpziPxnCSryHyVZaDcAVGUJkf+sQUX+oyQr7QaAqqwh8p98Bn7kP0qy0W4AqMoWIv/J33iF/EdJdtoNAFXZQ+Q/+RvpkP8oyUG7AaAqR4j85wwq8h8lOWk3AFTlDJH/XEFF/qMkF+0GgKpcIfKf/C0lyH+UXOtbmeDGkRAi/7mDivxHSW7aDQBVuUPkP09Qkf8oyUO7AaAqT4j8J38hLfIfJTfTbgCoujlE/pO/oBr5j5JE2g0AVYkh8n9LUJH/KLmFdgNA1S0h8p83qMh/lOSl3QBQlTdE/m8NKvIfJbfSbgCoujVE/vMFFfmPkny0GwCq8oXI/21BRf6j5DbaDQBVt4XIf/6gIv9Rkp92A0BV/hD5vz2oyH+U3E67AaDq9hD5LxBU5D9KCtBuAKgqECL/BYOK/EdJQdoNAFUFQ+S/UFCR/ygpRLsBoKpQiPzfEVTkP0ruoN0AUHVHiPwXDiryHyWFaTcAVBUOkf8iQUX+o6QI7QaAqiIh8l80qMh/lBSl3QBQVTRE/osFFfmPkmK0GwCqiv35/IvJE+Q/SorTbgCoKh5i+18iqMh/lJSg3QBQVSJE/ksGFfmPkpK0GwCqSobIf6mgIv9RUop2A0BVqRD5D+Yg/5FSmnYDQFXpEPkvE1TkP0rK0G4AqCoTIv93BhX5j5I7aTcAVN0ZIv9lg4r8R0lZ2g0AVWVD5P+uoCL/UXIX7QaAqrtC5L9cUJH/KClHuwGgqlyI/N8dVOQ/Su6m3QBQdXeI/JcPKvIfJeVpNwBUlQ+R/wpBRf6jpALtBoCqCiHyXzGoyH+UVKTdAFBVMUT+KwUV+Y+SSrQbAKoqhch/5aAi/1FSmXYDQFXlEPmvElTkP0qq0G4AqKoSIv9Vg4r8R0lV2g0AVVVD5L9aUJH/KKlGuwGgqlqI/FcPKvIfJdVpNwBUVQ+R/xpBRf6jpAbtBoCqGiHyXzOoyH+U1KTdAFBVM0T+awUV+Y+SWrQbAKpqhcj/PUFF/qPkHtoNAFX3hMh/7aAi/1FSm3YDQFXtEPmvE1TkP0rq0G4AqKoTIv91g4r8R0ld2g0AVXVD5L9eUJH/KKlHuwGgql6I/NcPKvIfJfVpNwBU1Q+R/wZBRf6jpAHtBoCqBiHy3zCoyH+UNKTdAFDVMET+GwUV+Y+SRrQbAKoahch/46Ai/1HSmHYDQFXjEPlvElTkP0qa0G4AqGoSIv9Ng4r8R0lT2g0AVU1D5P/eoCL/UXIv7QaAqntD5L9ZUJH/KGlGuwGgqlmI/DcPKvIfJc1pNwBUNQ+R/xZBRf6jpAXtBoCqFiHyf19Qkf8ouY92A0DVfSHy3zKoyH+UtKTdAFDVMkT+7w8q8h8l99NuAKi6P0T+WwUV+Y+SVrQbAKpahch/66Ai/1HSmnYDQFXrEPlvE1TkP0ra0G4AqGoTIv9tg4r8R0lb2g0AVW1D5L9dUJH/KGlHuwGgql2I/LcPKvIfJe1pNwBUtQ+R/w5BRf6jpAPtBoCqDiHy3zGoyH+UdKTdAFDVMUT+OwUV+Y+STrQbAKo6hch/56Ai/1HSmXYDQFXnEPnvElTkP0q60G4AqOoSIv9dg4r8R0lX2g0AVV1D5L9bUJH/KOlGuwGgqluI/HcPKvIfJd1pNwBUdQ+R/x5BRf6jpAftBoCqHiHy3zOoyH+U9KTdAFDVM0T+ewUV+Y+SXrQbAKp6hch/76Ai/1HSm3YDQFXvEPnvE1TkP0r60G4AqOoTIv8PBBX5j5IHaDcAVD0QIv99g4r8R0lf2g0AVX1D5L9fUJH/KOlHuwGgql+I/PcPKvIfJf1pNwBU9Q+R/wFBRf6jZADtBoCqASHyPzCoyH904N3/jW5giPw/GFTkP0oepN0AUPVgiPwPCiryHyWDaDcAVA0Kkf/BQUX+o2Qw7QaAqsEh8j8kqMh/lAyh3QBQNSRE/h8KKvIfJQ/RbgCoeihE/ocGFfmPkqG0GwCqhobI/8NBRf6j5GHaDQBVD4fI/7CgIv9RMox2A0DVsBD5Hx5U5D9KhtNuAKgaHiL/SUFF/qMkiXYDQFVSiPyPCCryHyUjaDcAVI0Ikf+RQUX+o2Qk7QaAqpEh8v9IUJH/KHmEdgNA1SMh8j8qqMh/lIyi3QBQNSpE/h8NKvIfJY/SbgCoejRE/kcHFfmPktG0GwCqRofI/5igIv9RMoZ2A0DVmBD5HxtU5D9KxtJuAKgaGyL/44KK/EfJONoNAFXjQuR/fFCR/ygZT7sBoGp8iPxPCCryHyUTaDcAVE0Ikf+JQUX+o2Qi7QaAqokh8j8pqMh/lEyi3QBQNSlE/icHFfmPksm0GwCqJofI/5SgIv9RMoV2A0DVlBD5nxpU5D9KptJuAKiaGiL/04KK/EfJNNoNAFXTQuT/saAi/1HyGO0GgKrHQuR/elCR/yiZTrsBoGp6iPzPCCryHyUzaDcAVM0Ikf/Hg4r8R8njtBsAqh4Pkf+ZQUX+o2Qm7QaAqpkh8j8rqMh/lMyi3QBQNStE/mcHFfmPktm0GwCqZofI/xNBRf6j5AnaDQBVT4TI/5ygIv9RMod2A0DVnBD5fzKoyH+UPEm7AaDqyRD5nxtU5D9K5tJuAKiaGyL/84KK/EfJPNoNAFXzQuR/flCR/yiZT7sBoGp+iPwvCCryHyULaDcAVC0Ikf+FQUX+o2Qh7QaAqoUh8v9UUJH/KHmKdgNA1VMh8r8oqMh/lCyi3QBQtShE/p8OKvIfJU/TbgCoejpE/hcHFfmPksW0GwCqFofI/zNBRf6j5BnaDQBVz4TI/5KgIv9RsoR2A0DVkhD5XxpU5D9KltJuAKhaGiL/y4KK/EfJMtoNAFXLQuR/eVCR/yhZTrsBoGp5iPyvCCryHyUraDcAVK0Ikf9ng4r8R8mztBsAqp4Nkf+VQUX+o2Ql7QaAqpUh8v9cUJH/KHmOdgNA1XMh8r8qqMh/lKyi3QBQtSpE/p8PKvIfJc/TbgCoej5E/lcHFfmPktW0GwCqVofI/wtBRf6j5AXaDQBVL4TI/5qgIv9RsoZ2A0DVmhD5XxtU5D9K1tJuAKhaGyL/64KK/EfJOtoNAFXrQuT/xaAi/1HyIu0GgKoXQ+T/paAi/1HyEu0GgKqXQuT/5aAi/1HyMu0GgKqXQ+R/fVCR/yhZT7sBoGp9iPy/ElTkP0peod0AUPVKiPxvCCryHyUbaDcAVG0Ikf9Xg4r8R8mrtBsAql4Nkf+NQUX+o2Qj7QaAqo0h8v9aUJH/KHmNdgNA1Wsh8r8pqMh/lGyi3QBQtSlE/l8PKvIfJa/TbgCoej1E/jcHFfmPks20GwCqNofI/5agIv9RsoV2A0DVlhD5fyOoyH+UvEG7AaDqjRD53xpU5D9KttJuAKjaGiL/bwYV+Y+SN2k3AFS9GSL/24KK/EfJNtoNAFXbQuT/raAi/1HyFu0GgKq3QuR/e1CR/yjZTrsBoGp7iPzvCCryHyU7aDcAVO0Ikf+3g4r8R8nbtBsAqt4Okf93gor8R8k7tBsAqt4Jkf+dQUX+o2Qn7QaAqp0h8v9uUJH/KHmXdgNA1bsh8r8rqMh/lOyi3QBQtStE/t8LKvIfJe/RbgCoei9E/ncHFfmPkt20GwCqdofI/56gIv9Rsod2A0DVnhD53xtU5D9K9tJuAKjaGyL/+4KK/EfJPtoNAFX7QuR/f1CR/yjZT7sBoGp/iPwfCCryHyUHaDcAVB0Ikf+DQUX+o+Qg7QaAqoMh8n8oqMh/lByi3QBQdShE/t8PKvIfJe/TbgCoej9E/g8HFfmPksO0GwCqDofI/wdBRf6j5APaDQBVH4TI/5GgIv9RcoR2A0DVkRD5/zCoyH+UfEi7AaDqwxD5PxpU5D9KjtJuAKg6GiL/x4KK/EfJMdoNAFXHQuT/eFCR/yg5TrsBoOp4iPx/FFTkP0o+ot0AUPVRiPyfCCryHyUnaDcAVJ0Ikf+Pg4r8R8nHtBsAqj4Okf+TQUX+o+Qk7QaAqpMh8v9JUJH/KPmEdgNA1Sch8n8qqMh/lJyi3QBQdYowhE/ybUIS7OQ5PiGEJcSWg58yk8tMLsKwwQ9CAiEk4cplLfOVn+2r5hM/+fpM8nz3StX85OumnUd88jrjpV5PS70ecyLdPJKZLCduuuXn/n35C6+aDwAAAAAAAAB/Wtr36unftwNAlNSsW6VZPqJcNT8fIWS9SMgZK/lngxxmrnV7Pl67kIbBJSFek5abBXpcOMxcb0r0+ABq8kSM/yhziaQi6U/6k76kCulIOgVzGZJ8PFIOv5wM0i6nEmlLOpDKpAcZQPoFc6X470XShtQPt5yUfyth0i9HCpbTlfQnPUlb0odI8eW0IbXDjZ8QHzAh/fhCMH5v0pv0J11JD5J83FT7k/0b5D88TnZK/1XJINKfdCS9SAeSfJiV/S+eBz7dKybt81Cb9CadSbWg/45pH0TCkDakVrjl9IjftEf6x4nnEkkdUo00Tvc0MeHvR/w4eco07f1IHr8O6Ur6kr7Jx9HjyVLCv56ufj4SUp6PRqQjaU8GkL6kYzw+6n8xPhukmsSf0bSv1yakD6lM2pJ+pGP8Xwa5v6P/1PGbkt5B5nqSjqnjNSNV/ur4wTPCcIWCnxNSn9/G6cY9bPcObn+9acrLtEN83CvjFAyewU7x12ch0j7oP/ka2eLLyZjn2VyPYLzS43umm6bMz9h/AiF2weCWKcspHMzPeZ3xM46bcZpyP9Q096N5uvGLBM9/rj85fsrjkzp+YvK4+W5O/zj9Pn7RYP7/bvxi6R4/O/WVDH+eQbsBoArP/40Nz/+NDc//jc0gV95NNCYVSV1ShVQkDUkV0prUJHVJNVKPNCR1SEXSmNQk9Ujd/1EHdprLFeJ/e3hFjfg0MeigMWlIapJKpAlpTKqS1qQ2qRn0/b+XkOZyUprLl+MSSTVSk9QOuqpLKpI6pOo/0NXvCqe5XImQ+LsoQirFd4YTST1SidQiVUll0jh4bqv8o/1VuM7llLf4V15/V3prEjzDjUlz0ppUIVVJI1I5mFOfNA5eif8r9dNcvvbz25TUI7VJk+CZ/eef4zZpLldI+x4n9fFL398/md0r+mToL+WwXso0MVivXFnD0JF0nfm/P781g3VfVdKMtCYNST1S7x9Zr6SYmuZyhT/sryKpTWqTeqTyP/LcXrEwzeVr56NSkNsrr7b6/+NermX1H/bXkFQl9YNtW6MgIfVJveAx/Wee5c3X6S/lyU4kVUlFCrlNsSdDS3L8cso0uT96rv8J9eQVYGKQh+qkOqka7Ls0CR672qnbkkbBvkPVYK39P5HmSF7S9X4B8C91mbn8d8sVYvFJfzSY9AcDXBz+l+7+9XYPAG4I51zufNpN1dBgy8UnXXn/3Sw+L/kzQcXj7z18Mo64Gf4uKHPyvAyfB/qjn69okLg2GCspxPIXMFcvP5j3Xyx/4bHjlVjm9811h/jyC6fZH09efvbkq3DpPuMU1D+zHAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgP9PzrncecL8/vNQQghD+KQahJBm8Xk+IYQlxQkb/2kccQmTfBvBDSaZk+clX0FIIIQksOQPf76iQeLaYKykEMtfwFy9/GDef7H8hceOV2KZYKGBDvHlFyaEVEi3/OzJV+F8cpnJlbL8oP6Z5QD8OzGEJRzhiUBEIhGZKEQlGtGJQUxiEZs4xCUe8UmMZCI3kcwkC8lKspHsJAfJSXKRBJKb5CE3k0RyC8lLbiX5yG0kP7mdFCAFSSFyBylMipCipBgpTkqQkqQUKU3KkDtJWXIXKUfuJuVJBVKRVCKVSRVSlVQj1UkNUpPUIveQ2qQOqUvqkfqkAWlIGpHGpAlpSu4lzUhz0oLcR1qS+0kr0pq0+a9u/xAZSh4mw8hwkkRGkJHkETKKPEpGkzFkLBlHxpMJZCKZRCaTKWQqmUYeI9PJDPI4mUlmkdnkCTKHPEnmknlkPllAFpKnyCLyNFlMniFLyFKyjCwnK8izZCV5jqwiz5PV5AWyhqwl68iL5CXyMllPXiEbyKtkI3mNbCKvk81kC3mDbCVvkm3kLbKd7CBvk3fITvIu2UXeI7vJHrKX7CP7yQFykBwi75PD5ANyhHxIjpJj5Dj5KOTtf85w+0EMYQjDMizDMzwjMiIjMzKjMiqjMzpjMiZjMzbjMi7jMz6TicnEZGYyM1mZrEx2JjuTk8nJJDAJTB4mD5PIJDJ5mbxMPiYfk5/JzxRgCjCFmEJMYaYIU5QpyhRnijMlmZJMaaYMU4Ypy5RlyjHlmPJMeaYiU5GpzFRmqjJVmepMdaYmU4upxdRmajN1mbpMfaY+05BpyDRmGjNNmaZMM6YZ04JpwbRkWjKtmFZMG6YN045px3RgOjCdmE5MF6YL043pxvRgejC9mF5MH6YP05fpy/Rn+jMDmYHMIGYwM5h5iHmIeZh5mBnOVGZHMCOZkcwoZhQzmhnDjGHGMeOZCcwEZhIzmZnCTGWmMdOY6cwM5idmJjOLmc3MZsqxTzJzmXlMAruAWcgsZBYxi5jFzGJmCbOUWcosZ1YwzzIrmZXMKuZ55nnmBWYNs5ZZy7zIvMi8zKxn1jMbmFeZjcxGZhPzM7OZ2cK8wWxl3mS2MW8y25kdzHbmHWYn8w6zi9nF7GZ2M3uZvcx+Zj9zkDnIvM+8z3zAfMB8yHzITGaOM8eZE8wJ5iRzkjnFnGJOM6eZM8wZ5gvmC+Yr5ivmLHOWOcd8y3zHfMv8wPzA/MT8zJxnzjMXmAvMReYic4m5dCX87BU8y7MiK7IyK7Mqq7I6q7Mma7I2a7Mu67I+67OZ2ExsZjYzm5XNymZns7M52ZxsApubzcPmYRPZRDYvm5fNx+Zj87P52QJsAbYQW4gtzBZmi7JF2eJsCbYkW4otzZZh72TLsmXZcuzdbHm2AluRrchWZquwVdlqbDW2BluDrcXWYmuztdm6bF22d4GeBRqyI5jRTBP2yjPTjJ3CtGCnMi3Z+9lWbGt2OtOWbcfOYDqwHdlObGd2FjOT6ca2K9CD7cn2YucyfdheBeYx/dj+7AJmIPsgO4gdzA5hH2KHsh0KDGOHs0uYEexIdjkzin2UHc2OYVcxVdgrz1hVdhI7mZ3CTmWnsS8z09kZ7OPsTHYWO5t9gp3DPsnOZeex89kF7EL2KXYR+zS7mH2GXcIuZZexy9kV7LPsSvY5dhX7PLuafYFdw65l17Evsi+xL7Pr2VfYDeyr7Eb2NXYT+zq7md3CvsFuZd9kt7FvsdvZHezb7DvsTvZddhf7Hrub3cPuZfex+9kD7EH2EPs+e5j9gD3CfsgeZY+xx9mP2BPsx+xJ9hP2FPspe5r9jD3Dfs5+wX7JfsV+zZ5lv2HPsd+y37Hfsz+wP7I/sT+z59lf2Avsr+xF9jf2EnuZJRzDsRzH8ZzAiZzEyZzCqZzG6ZzBmZzF2ZzDuZzH+VyMy8TdxGXmsnBZuWxcdi4Hl5PLxSVwubk83M1cIncLl5e7lcvH3cbl527nCnAFuULcHVxhrghXlCvGFedKcCW5Ulxprgx3J1eWu4srx93NlecqcBW5SlxlrgpXlavGVedqcDW5Wtw9XG2uDleXq8fV5xpwDblGXGOuCdeUu5drxjXnWnD3cS25+7lWXGuuDdeWa8e15zpwHblOXGeuC9eV68Z153pwPbleXG+uD9eH68v15fpzA7iB3EBuEDeYG8L9xl3iLnPDuOFcEjeCG8k9wo3iHuVGc2O4sdw4bjw3gZvITeImc1O4qdw07jFuOjeDe5ybyc3iZnNPcHO4J7m53DxuPreAW8g9xS3inuYWc89wS7il3DJuObeCe5YbHR9p9Z+4/RvXuP3EYOm7uT3cXm4ft587wB3kDnG7ucPcYe4Id4Q7yh3ljnPHuRPcCe4kd5I7xZ3iTnOnuTPcGe4L7gvuK+4r7ix3ljvHfcv9wn3P/cD9yP3E/cz9zP3CXeAucBfjjwHhGZ7lOZ7nBV7kJV7mFV7lNV7nDd7kLd7mHd7lPd7nY3wm/iY+M5+Fz8pn47PzOficfC4+gc/N5+Fv5hP5W/i8/K18Pv42Pj9/O1+AL8gX4u/4y7f/o/7a8G34dnw7vgPfge/Ed+K78F34bnw3vgffg+/F9+L78H34vnxfvj/fnx/ID+QH8YP4IfwQfig/lB/GD+OT+CR+JP8IP4p/lB/Nj+HH8uP48fx4fiI/kZ/MT+an8lP5x/jH+Bn8DH4mP5Ofzc/m5/Bz+Ln8XH4+P59fyC/kF/GL+MX8Yn4Jv4Rfxi/jV/Ar+JX8Sn4Vv4pfza/m1/Br+HX8Ov4l/iV+Pb+e38Bv4DfyG/lN/CZ+M7+F38Jv5bfy2/ht/HZ+O/82/za/k9/J7+J38Zv5Pfwefh+/jz/AH+AP8Yf4w/xh/gh/hD/KH+WP88f5E/wJ/iR/kj/Fn+JP86f5M/wZ/gv+C/4r/iv+LH+WP8ef47/jv+N/4H/gf+J/4s/z5/kL/AX+In+Rv8RfurLbJ7ACK/ACL4iCKMiCLKiCKuiCLpiCKdiCLbiCK/iCL2QSMgmZhcxCViGrkF3ILuQUcgoJQoKQR8gjJAq3CHmFW4V8wm1CfuF2oYBQUCgk3CEUFooIRYViQnGhhFBSKCWUFsoIdwplhbuEcsLdQnmhglBRqCRUFqoIVYVqQnWhhlBTqCXcI9QW6gh1hXpCfaGB0FBoJDQWmghNhXuFZkJzoYVwn9BSuF9oJbQW2vyt448RxgrjhPHCBGGiMEmYLEwRpgrThMeE6cIM4XFhpjBLmC08IcwRnhTmCvOE+cICYaHwlLBIeFpYLDwjLBGWCsuE5cIK4VlhpfCcsEp4XlgtvCCsEdYK64QXhZeEl4X1wivCBuFVYaPwmrBJeF3YLGwR3hC2Cm8K24S3hO3CDuFt4R1hp/CusEt4T9gt7BH2CvuE/cIB4aBwSHhfOCx8IBwRPhSOCseE48JHwgnhY+Gk8IlwSvhUOC18JpwRPhe+EL4UvhK+Fs4K3wjnhG+F74TvhR+EH4WfhJ+F88IvwgXhV+Gi8JtwSbgsEJERWZETeVEQRVESZVERVVETddEQTdESbdERXdETfTEmZhJvEjOLWcSsYjYxu5hDzCnmEhPE3GIe8WYxUbxFzCveKuYTbxPzi7eLBcSCYiHxDrGwWEQsKhYTi4slxJJiKbG0WEa8Uywr3iWWE+8Wy4sVxIpiJbGyWEWsKlYTq4s1xJpiLfEesbZYR6wr1hPriw3EhmIjsbHYRGwq3is2E5uLLcT7xJbi/WIrsbXYRmwrthPbix3EjmInsbPYRewqdhO7iz3EnmIvsbfYR3xA7Cv2E/uLA8SB4oPiIHGwOER8SBwqPiwOE4eLSeIIcaT4iDhKfFQcLY4Rx4rjxPHiBHGiOEmcLE4Rp4rTxMfE6eIM8XFxpjhLnC0+Ic4RnxTnivPE+eICcaH4lLhIfFpcLD4jLhGXisvE5eIK8VlxpficuEp8XlwtviCuEdeK68QXxZfEl8X14iviBvFVcaP4mrhJfF3cLG4R3xC3im+K28S3xO3iDvFt8R1xp/iuuEt8T9wt7hH3ivvE/eIB8aB4SHxfPCx+IB4RPxSPisfE4+JH4gnxY/Gk+Il4SvxUPC1+Jp4RPxe/EL8UvxK/Fs+K34jnxG/F78TvxR/EH8WfxJ/F8+Iv4gXxV/Gi+Jt4SbwsEomRWImTeEmQREmSZEmRVEmTdMmQTMmSbMmRXMmTfCkmZZJukjJLWaSsUjYpu5RDyinlkhKk3FIe6WYpUbpFyivdKuWTbpPyS7dLBaSCUiHpDqmwVEQqKhWTikslpJJSKam0VEa6Uyor3SWVk+6WyksVpIpSJamyVEWqKlWTqks1pJpSLekeqbZUR6or1ZPqSw2khlIjqbHURGoq3Ss1k5pLLaT7pJbS/VIrqbXURmortZPaSx2kjlInqbPUReoqdZO6Sz2knlIvqbfUR3pA6iv1k/pLA6SB0oPSIGmwNER6SBoqPSwNk4ZLSdIIaaT0iDRKelQaLY2RxkrjpPHSBGmiNEmaLE2RpkrTpMek6dIM6XFppjRLmi09Ic2RnpTmSvOk+dICaaH0lLRIelpaLD0jLZGWSsuk5dIK6VlppfSctEp6XlotvSCtkdZK66QXpZekl6X10ivSBulVaaP0mrRJel3aLG2R3pC2Sm9K26S3pO3SDult6R1pp/SutEt6T9ot7ZH2Svuk/dIB6aB0SHpfOix9IB2RPpSOSsek49JH0gnpY+mk9Il0SvpUOi19Jp2RPpe+kL6UvpK+ls5K30jnpG+l76TvpR+kH6WfpJ+l89Iv0gXpV+mi9Jt0SbosEZmRWZmTeVmQRVmSZVmRVVmTddmQTdmSbdmRXdmTfTkmZ5JvkjPLWeSscjY5u5xDzinnkhPk3HIe+WY5Ub5FzivfKueTb5Pzy7fLBeSCciH5DrmwXEQuKheTi8sl5JJyKbm0XEa+Uy4r3yWXk++Wy8sV5IpyJbmyXEWuKleTq8s15JpyLfkeubZcR64r15Pryw3khnIjubHcRG4q3ys3k5vLLeT75Jby/XIrubXcRm4rt5Pbyx3kjnInubPcRe4qd5O7yz3knnIvubfcR35A7iv3k/vLA+SB8oPyIHmwPER+SB4qPywPk4fLSfIIeaT8iDxKflQeLY+Rx8rj5PHyBHmiPEmeLE+Rp8rT5Mfk6fIM+XF5pjxLni0/Ic+Rn5TnyvPk+fICeaH8lLxIflpeLD8jL5GXysvk5fIK+Vl5pfycvEp+Xl4tvyCvkdfK6+QX5Zfkl+X18ivyBvlVeaP8mrxJfl3eLG+R35C3ym/K2+S35O3yDvlt+R15p/yuvEt+T94t75H3yvvk/fIB+aB8SH5fPix/IB+RP5SPysfk4/JH8gn5Y/mk/Il8Sv5UPi1/Jp+RP5e/kL+Uv5K/ls/K38jn5G/l7+Tv5R/kH+Wf5J/l8/Iv8gX5V/mi/Jt8Sb4sE4VRWIVTeEVQREVSZEVRVEVTdMVQTMVSbMVRXMVTfCWmZFJuUjIrWZSsSjYlu5JDyankUhKU3Eoe5WYlUblFyavcquRTblPyK7crBZSCSiHlDqWwUkQpqhRTiisllJJKKaW0Uka5Uymr3KWUU+5WyisVlIpKJaWyUkWpqlRTqis1lJpKLeUepbZSR6mr1FPqKw2UhkojpbHSRGmq3Ks0U5orLZT7lJbK/UorpbXSRmmrtFPaKx2UjkonpbPSRemqdFO6Kz2UnkovpbfSR3lA6av0U/orA5SByoPKIGWwMkR5SBmqPKwMU4YrScoIZaTyiDJKeVQZrYxRxirjlPHKBGWiMkmZrExRpirTlMeU6coM5XFlpjJLma08ocxRnlTmKvOU+coCZaHylLJIeVpZrDyjLFGWKsuU5coK5VllpfKcskp5XlmtvKCsUdYq65QXlZeUl5X1yivKBuVVZaPymrJJeV3ZrGxR3lC2Km8q25S3lO3KDuVt5R1lp/Kuskt5T9mt7FH2KvuU/coB5aBySHlfOax8oBxRPlSOKseU48pHygnlY+Wk8olySvlUOa18ppxRPle+UL5UvlK+Vs4q3yjnlG+V75TvlR+UH5WflJ+V88ovygXlV+Wi8ptySbmsEJVRWZVTeVVQRVVSZVVRVVVTddVQTdVSbdVRXdVTfTWmZlJvUjOrWdSsajY1u5pDzanmUhPU3Goe9WY1Ub1FzavequZTb1Pzq7erBdSCaiH1DrWwWkQtqhZTi6sl1JJqKbW0Wka9Uy2r3qWWU+9Wy6sV1IpqJbWyWkWtqlZTq6s11JpqLfUetbZaR62r1lPrqw3UhmojtbHaRG2q3qs2U5urLdT71Jbq/WortbXaRm2rtlPbqx3UjmontbPaRe2qdlO7qz3UnmovtbfaR31A7av2U/urA9SB6oPqIHWwOkR9SB2qPqwOU4erSeoIdaT6iDpKfVQdrY5Rx6rj1PHqBHWiOkmdrE5Rp6rT1MfU6eoM9XF1pjpLna0+oc5Rn1TnqvPU+eoCdaH6lLpIfVpdrD6jLlGXqsvU5eoK9Vl1pfqcukp9Xl2tvqCuUdeq69QX1ZfUl9X16ivqBvVVdaP6mrpJfV3drG5R31C3qm+q29S31O3qDvVt9R11p/quukt9T92t7lH3qvvU/eoB9aB6SH1fPax+oB5RP1SPqsfU4+pH6gn1Y/Wk+ol6Sv1UPa1+pp5RP1e/UL9Uv1K/Vs+q36jn1G/V79Tv1R/UH9Wf1J/V8+ov6gX1V/Wi+pt6Sb2sEo3RWI3TeE3QRE3SZE3RVE3TdM3QTM3SbM3RXM3TfC2mZdJu0jJrWbSsWjYtu5ZDy6nl0hK03Foe7WYtUbtFy6vdquXTbtPya7drBbSCWiHtDq2wVkQrqhXTimsltJJaKa20Vka7Uyur3aWV0+7WymsVtIpaJa2yVkWrqlXTqms1tJpaLe0erbZWR6ur1dPqaw20hlojrbHWRGuq3as105prLbT7tJba/VorrbXWRmurtdPaax20jlonrbPWReuqddO6az20nlovrbfWR3tA66v10/prA7SB2oPaIG2wNkR7SBuqPawN04ZrSdoIbaT2iDZKe1QbrY3RxmrjtPHaBG2iNkmbrE3RpmrTtMe06doM7XFtpjZLm609oc3RntTmavO0+doCbaH2lLZIe1pbrD2jLdGWasu05doK7Vltpfactkp7XlutvaCt0dZq67QXtZe0l7X12ivaBu1VbaP2mrZJe13brG3R3tC2am9q27S3tO3aDu1t7R1tp/autkt7T9ut7dH2avu0/doB7aB2SHtfO6x9oB3RPtSOase049pH2gntY+2k9ol2SvtUO619pp3RPte+0L7UvtK+1s5q32jntG+177TvtR+0H7WftJ+189ov2gXtV+2i9pt2SbusEZ3RWZ3TeV3QRV3SZV3RVV3Tdd3QTd3Sbd3RXd3TfT2mZ9Jv0jPrWfSsejY9u55Dz6nn0hP03Hoe/WY9Ub9Fz6vfqufTb9Pz67frBfSCeiH9Dr2wXkQvqhfTi+sl9JJ6Kb20Xka/Uy+r36WX0+/Wy+sV9Ip6Jb2yXkWvqlfTq+s19Jp6Lf0evbZeR6+r19Pr6w30hnojvbHeRG+q36s305vrLfT79Jb6/XorvbXeRm+rt9Pb6x30jnonvbPeRe+qd9O76z30nnovvbfeR39A76v30/vrA/SB+oP6IH2wPkR/SB+qP6wP04frSfoIfaT+iD5Kf1QfrY/Rx+rj9PH6BH2iPkmfrE/Rp+rT9Mf06foM/XF9pj5Ln60/oc/Rn9Tn6vP0+foCfaH+lL5If1pfrD+jL9GX6sv05foK/Vl9pf6cvkp/Xl+tv6Cv0dfq6/QX9Zf0l/X1+iv6Bv1VfaP+mr5Jf13frG/R39C36m/q2/S39O36Dv1t/R19p/6uvkt/T9+t79H36vv0/foB/aB+SH9fP6x/oB/RP9SP6sf04/pH+gn9Y/2k/ol+Sv9UP61/pp/RP9e/0L/Uv9K/1s/q3+jn9G/17/Tv9R/0H/Wf9J/18/ov+gX9V/2i/pt+Sb+sE4MxWIMzeEMwREMyZEMxVEMzdMMwTMMybMMxXMMzfCNmZDJuMjIbWYysRjYju5HDyGnkMhKM3EYe42Yj0bjFyGvcauQzbjPyG7cbBYyCRiHjDqOwUcQoahQzihsljJJGKaO0Uca40yhr3GWUM+42yhsVjIpGJaOyUcWoalQzqhs1jJpGLeMeo7ZRx6hr1DPqGw2MhkYjo7HRxGhq3Gs0M5obLYz7jJbG/UYro7XRxmhrtDPaGx2MjkYno7PRxehqdDO6Gz2MnkYvo7fRx3jA6Gv0M/obA4yBxoPGIGOwMcR4yBhqPGwMM4YbScYIY6TxiDHKeNQYbYwxxhrjjPHGBGOiMcmYbEwxphrTjMeM6cYM43FjpjHLmG08YcwxnjTmGvOM+cYCY6HxlLHIeNpYbDxjLDGWGsuM5cYK41ljpfGcscp43lhtvGCsMdYa64wXjZeMl431xivGBuNVY6PxmrHJeN3YbGwx3jC2Gm8a24y3jO3GDuNt4x1jp/Gusct4z9ht7DH2GvuM/cYB46BxyHjfOGx8YBwxPjSOGseM48ZHxgnjY+Ok8YlxyvjUOG18ZpwxPje+ML40vjK+Ns4a3xjnjG+N74zvjR+MH42fjJ+N88YvxgXjV+Oi8ZtxybhsEJMxWZMzeVMwRVMyZVMxVVMzddMwTdMybdMxXdMzfTNmZjJvMjObWcysZjYzu5nDzGnmMhPM3GYe82Yz0bzFzGveauYzbzPzm7ebBcyCZiHzDrOwWcQsahYzi5slzJJmKbO0Wca80yxr3mWWM+82y5sVzIpmJbOyWcWsalYzq5s1zJpmLfMes7ZZx6xr1jPrmw3MhmYjs7HZxGxq3ms2M5ubLcz7zJbm/WYrs7XZxmxrtjPbmx3MjmYns7PZxexqdjO7mz3MnmYvs7fZx3zA7Gv2M/ubA8yB5oPmIHOwOcR8yBxqPmwOM4ebSeYIc6T5iDnKfNQcbY4xx5rjzPHmBHOiOcmcbE4xp5rTzMfM6eYM83FzpjnLnG0+Yc4xnzTnmvPM+eYCc6H5lLnIfNpcbD5jLjGXmsvM5eYK81lzhPmcucp83lxtvmCuMdea68wXzZfMl8315ivmBvNVc6P5mrnJfN3cbG4x3zC3mm+a28y3zO3mDvNt8x1zp/muuct8z9xt7jH3mvvM/eYB86B5yHzfPGx+YB4xPzSPmsfM4+ZH5gnzY/Ok+Yl5yvzUPG1+Zp4xPze/ML80vzK/Ns+a35jnzG/N78zvzR/MH82fzJ/N8+Yv5gXzV/Oi+Zt5ybxsEouxWIuzeEuwREuyZEuxVEuzdMuwTMuybMuxXMuzfCtmZbJusjJbWaysVjYru5XDymnlshKs3FYe62Yr0brFymvdauWzbrPyW7dbBayCViHrDquwVcQqahWzilslrJJWKau0Vca60ypr3WWVs+62ylsVrIpWJauyVcWqalWzqls1rJpWLeseq7ZVx6pr1bPqWw2shlYjq7HVxGpq3Ws1s5pbLaz7rJbW/VYrq7XVxmprtbPaWx2sjlYnq7PVxepqdbO6Wz2snlYvq7fVx3rA6mv1s/pbA6yB1oPWIGuwNcR6yBpqPWwNs4ZbSdYIa6T1iDXKetQabY2xxlrjrPHWBGuiNcmabE2xplrTrMes6dYM63FrpjXLmm09Yc2xnrTmWvOs+dYCa6H1lLXIetpabD1jLbGWWsus5dYK61lrpfWctcp63lptvWCtsdZa66wXrZesl6311ivWButVa6P1mrXJet3abG2x3rC2Wm9a26y3rO3WDutt6x1rp/Wutct6z9pt7bH2Wvus/dYB66B1yHrfOmx9YB2xPrSOWses49ZH1gnrY+uk9Yl1yvrUOm19Zp2xPre+sL60vrK+ts5a31jnrG+t76zvrR+sH62frJ+t89Yv1gXrV+ui9Zt1ybpsEZuxWZuzeVuwRVuyZVuxVVuzdduwTduybduxXduzfTtmZ7JvsjPbWeysdjY7u53DzmnnshPs3HYe+2Y70b7Fzmvfauezb7Pz27fbBeyCdiH7DruwXcQuahezi9sl7JJ2Kbu0Xca+0y5r32WXs++2y9sV7Ip2JbuyXcWualezq9s17Jp2Lfseu7Zdx65r17Pr2w3shnYju7HdxG5q32s3s5vbLez77Jb2/XYru7Xdxm5rt7Pb2x3sjnYnu7Pdxe5qd7O72z3snnYvu7fdx37A7mv3s/vbA+yB9oP2IHuwPcR+yB5qP2wPs4fbSfYIe6T9iD3KftQebY+xx9rj7PH2BHuiPcmebE+xp9rT7Mfs6fYM+3F7pj3Lnm0/Yc+xn7Tn2vPs+fYCe6H9lL3IftpebD9jL7GX2svs5fYK+1l7pf2cvcp+3l5tv2Cvsdfa6+wX7Zfsl+319iv2BvtVe6P9mr3Jft3ebG+x37C32m/a2+y37O32Dvtt+x17p/2uvct+z95t77H32vvs/fYB+6B9yH7fPmx/YB+xP7SP2sfs4/ZH9gn7Y/uk/Yl9yv7UPm1/Zp+xP7e/sL+0v7K/ts/a39jn7G/t7+zv7R/sH+2f7J/t8/Yv9gX7V/ui/Zt9yb5sE4dxWIdzeEdwREdyZEdxVEdzdMdwTMdybMdxXMdzfCfmZHJucjI7WZysTjYnu5PDyenkchKc3E4e52Yn0bnFyevc6uRzbnPyO7c7BZyCTiHnDqewU8Qp6hRzijslnJJOKae0U8a50ynr3OWUc+52yjsVnIpOJaeyU8Wp6lRzqjs1nJpOLecep7ZTx6nr1HPqOw2chk4jp7HTxGnq3Os0c5o7LZz7nJbO/U4rp7XTxmnrtHPaOx2cjk4np7PTxenqdHO6Oz2cnk4vp7fTx3nA6ev0c/o7A5yBzoPOIGewM8R5yBnqPOwMc4Y7Sc4IZ6TziDPKedQZ7YxxxjrjnPHOBGeiM8mZ7ExxpjrTnMec6c4M53FnpjPLme084cxxnnTmOvOc+c4CZ6HzlLPIedpZ7DzjLHGWOsuc5c4K51lnpfOcs8p53lntvOCscdY665wXnZecl531zivOBudVZ6PzmrPJed3Z7Gxx3nC2Om8625y3nO3ODudt5x1np/Ous8t5z9nt7HH2Ovuc/c4B56BzyHnfOex84BxxPnSOOsec485HzgnnY+ek84lzyvnUOe185pxxPne+cL50vnK+ds463zjnnG+d75zvnR+cH52fnJ+d884vzgXnV+ei85tzybnsEJdxWZdzeVdwRVdyZVdxVVdzdddwTddybddxXddzfTfmZnJvcjO7WdysbjY3u5vDzenmchPc3G4e92Y30b3Fzeve6uZzb3Pzu7e7BdyCbiH3DrewW8Qt6hZzi7sl3JJuKbe0W8a90y3r3uWWc+92y7sV3IpuJbeyW8Wt6lZzq7s13JpuLfcet7Zbx63r1nPruw3chm4jt7HbxG3q3us2c5u7Ldz73Jbu/W4rt7Xbxm3rtnPbux3cjm4nt7Pbxe3qdnO7uz3cnm4vt7fbx33A7ev2c/u7A9yB7oPuIHewO8R9yB3qPuwOc4e7Se4Id6T7iDvKfdQd7Y5xx7rj3PHuBHeiO8md7E5xp7rT3Mfc6e4M93F3pjvLne0+4c5xn3TnuvPc+e4Cd6H7lLvIfdpd7D7jLnGXusvc5e4K91l3pfucu8p93l3tvuCucde669wX3Zfcl9317ivuBvdVd6P7mrvJfd3d7G5x33C3um+629y33O3uDvdt9x13p/uuu8t9z93t7nH3uvvc/e4B96B7yH3fPex+4B5xP3SPusfc4+5H7gn3Y/ek+4l7yv3UPe1+5p5xP3e/cL90v3K/ds+637jn3G/d79zv3R/cH92f3J/d8+4v7gX3V/ei+5t7yb3sEo/xWI/zeE/wRE/yZE/xVE/zdM/wTM/ybM/xXM/zfC/mZfJu8jJ7WbysXjYvu5fDy+nl8hK83F4e72Yv0bvFy+vd6uXzbvPye7d7BbyCXiHvDq+wV8Qr6hXzinslvJJeKa+0V8a70yvr3eWV8+72ynsVvIpeJa+yV8Wr6lXzqns1vJpeLe8er7ZXx6vr1fPqew28hl4jr7HXxGvq3es185p7Lbz7vJbe/V4rr7XXxmvrtfPaex28jl4nr7PXxevqdfO6ez28nl4vr7fXx3vA6+v18/p7A7yB3oPeIG+wN8R7yBvqPewN84Z7Sd4Ib6T3iDfKe9Qb7Y3xxnrjvPHeBG+iN8mb7E3xpnrTvMe86d4M73FvpjfLm+094c3xnvTmevO8+d4Cb6H3lLfIe9pb7D3jLfGWesu85d4K71lvpfect8p73lvtveCt8dZ667wXvZe8l7313iveBu9Vb6P3mrfJe93b7G3x3vC2em9627y3vO3eDu9t7x1vp/eut8t7z9vt7fH2evu8/d4B76B3yHvfO+x94B3xPvSOese8495H3gnvY++k94l3yvvUO+195p3xPve+8L70vvK+9s5633jnvG+977zvvR+8H72fvJ+9894v3gXvV++i95t3ybvsEZ/xWZ/zeV/wRV/yZV/xVV/zdd/wTd/ybd/xXd/zfT/mZ/Jv8jP7WfysfjY/u5/Dz+nn8hP83H4e/2Y/0b/Fz+vf6ufzb/Pz+7f7BfyCfiH/Dr+wX8Qv6hfzi/sl/JJ+Kb+0X8a/0y/r3+WX8+/2y/sV/Ip+Jb+yX8Wv6lfzq/s1/Jp+Lf8ev7Zfx6/r1/Pr+w38hn4jv7HfxG/q3+s385v7Lfz7/Jb+/X4rv7Xfxm/rt/Pb+x38jn4nv7Pfxe/qd/O7+z38nn4vv7ffx3/A7+v38/v7A/yB/oP+IH+wP8R/yB/qP+wP84f7Sf4If6T/iD/Kf9Qf7Y/xx/rj/PH+BH+iP8mf7E/xp/rT/Mf86f4M/3F/pj/Ln+0/4c/xn/Tn+vP8+f4Cf6H/lL/If9pf7D/jL/GX+sv85f4K/1l/pf+cv8p/3l/tv+Cv8df66/wX/Zf8l/31/iv+Bv9Vf6P/mr/Jf93f7G/x3/C3+m/62/y3/O3+Dv9t/x1/p/+uv8t/z9/t7/H3+vv8/f4B/6B/yH/fP+x/4B/xP/SP+sf84/5H/gn/Y/+k/4l/yv/UP+1/5p/xP/e/8L/0v/K/9s/63/jn/G/97/zv/R/8H/2f/J/98/4v/gX/V/+i/5t/yb/skxgTY2NcjI8JMTEmxeSYElNjWkyPGTEzZsXsmBNzY17Mj8VimWI3xTLHssSyxrLFssdyxHLGcsUSYrljeWI3xxJjt8Tyxm6N5YvdFssfuz1WIFYwVih2R6xwrEisaKxYrHisRKxkrFSsdKxM7M5Y2dhdsXKxu2PlYxViFWOVYpVjVWJVY9Vi1WM1YjVjtWL3xGrH6sTqxurF6scaxBrGGsUax5rEmsbujTWLNY+1iN0Xaxm7P9Yq1jrWJtY21i7WPtYh1jHWKdY51iXWNdYt1j3WI9Yz1ivWO9Yn9kCsb6xfrH9sQGxg7MHYoNjg2JDYQ7GhsYdjw2LDY0mxEbGRsUdio2KPxkbHxsTGxsbFxscmxCbGJsUmx6b8H+329LtJ0Dd+fmzPXG2zurq72tfYtm3btm3btm3rO7Zt2zOb+znY5Nn7YJPN/urslUpVPu8/4BObEJsYmxSbHJsSmxqbFpsemxGbGZsVmx2bE5sbmxebH1sQWxhbFFscWxJbGlsWWx5bEVsZWxVbHVsTWxtbF1sf2xDbGNsU2xzbEtsa2xbbHtsR2xnbFdsd2xPbG9sX2x87EDsYOxQ7HDsSOxo7FjseOxE7GTsVOx1LiJ2JnY2di52PXYhdjF2KXY5diV2NXYtdj92I3Yzdit2O3Yndjd2L3Y89iD2MPYo9jj2JPY09iz2PvYi9jL2KvY69ib2NvYu9j32IfYx9in2OfYl9jX2LfY/9iP2M/Yr9jv2J/Y39iyXCEmNJsKRYMiw5lgJLiaXCUmNpsLRYOiw9lgHLiGXCMmNZsKxYNiyGYRiOERiJURiNMRiLcRiPCZiISZiMKZiKaZiOAczAIGZiFmZjCHMwF/MwHwuwEIuwOJYdy4HlxHJhubE8WF4sH5YfK4AVxAphhbEiWFGsGFYcK4GVxEphpbEyWFmsHFYeq4BVxCphlbEqWFWsGlYdq4HVxGphtbE6WF2sHlYfa4A1xBphjbEmWFOsGdYca4G1xFphrbE2WFusHdYe64B1xDphnbEuWFesG9Yd64H1xHphvbE+WF+sH9YfG4ANxAZhg7Eh2FBsGDYcG4GNxEZho7Ex2FhsHDYem4BNxCZhk7Ep2FRsGjYdm4HNxGZhs7E52FxsHjYfW4AtxBZhi7El2FJsGbYcW4GtxFZhq7E12FpsHbYe24BtxDZhm7Et2FZsG7Yd24HtxHZhu7E92F5sH7YfO4AdxA5hh7Ej2FHsGHYcO4GdxE5hp7EE7Ax2FjuHnccuYBexS9hl7Ap2FbuGXcduYDexW9ht7A52F7uH3cceYA+xR9hj7An2FHuGPcdeYC+xV9hr7A32FnuHvcc+YB+xT9hn7Av2FfuGfcd+YD+xX9hv7A/2F/uHJcIT40nwpHgyPDmeAk+Jp8JT42nwtHg6PD2eAc+IZ8Iz41nwrHg2PIZjOI4TOIlTOI0zOItzOI8LuIhLuIwruIpruI4D3MAhbuIWbuMId3AX93AfD/AQj/A4nh3PgefEc+G58Tx4Xjwfnh8vgBfEC+GF8SJ4UbwYXhwvgZfES+Gl8TJ4WbwcXh6vgFfEK+GV8Sp4VbwaXh2vgdfEa+G18Tp4XbweXh9vgDfEG+GN8SZ4U7wZ3hxvgbfEW+Gt8TZ4W7wd3h7vgHfEO+Gd8S54V7wb3h3vgffEe+G98T54X7wf3h8fgA/EB+GD8SH4UHwYPhwfgY/ER+Gj8TH4WHwcPh6fgE/EJ+GT8Sn4VHwaPh2fgc/EZ+Gz8Tn4XHwePh9fgC/EF+GL8SX4UnwZvhxfga/EV+Gr8TX4Wnwdvh7fgG/EN+Gb8S34Vnwbvh3fge/Ed+G78T34Xnwfvh8/gB/ED+GH8SP4UfwYfhw/gZ/ET+Gn8QT8DH4WP4efxy/gF/FL+GX8Cn4Vv4Zfx2/gN/Fb+G38Dn4Xv4ffxx/gD/FH+GP8Cf4Uf4Y/x1/gL/FX+Gv8Df4Wf4e/xz/gH/FP+Gf8C/4V/4Z/x3/gP/Ff+G/8D/4X/4cnIhITSYikRDIiOZGCSEmkIlITaYi0RDoiPZGByEhkIjITWYisRDYiRmAEThAESVAETTAES3AETwiESEiETCiESmiETgDCICBhEhZhE4hwCJfwCJ8IiJCIiDiRnchB5CRyEbmJPEReIh+RnyhAFCQKEYWJIkRRohhRnChBlCRKEaWJMkRZohxRnqhAVCQqEZWJKkRVohpRnahB1CRqEbWJOkRdoh5Rn2hANCQaEY2JJkRTohnRnGhBtCRaEa2JNkRboh3RnuhAdCQ6EZ2JLkRXohvRnehB9CR6Eb2JPkRfoh/RnxhADCQGEYOJIcRQYhgxnBhBjCRGEaOJMcRYYhwxnphATCQmEZOJKcRUYhoxnZhBzCRmEbOJOcRcYh4xn1hALCQWEYuJJcRSYhmxnFhBrCRWEauJNcRaYh2xnthAbCQ2EZuJLcRWYhuxndhB7CR2EbuJPcReYh+xnzhAHCQOEYeJI8RR4hhxnDhBnCROEaeJBOIMcZY4R5wnLhAXiUvEZeIKcZW4RlwnbhA3iVvEbeIOcZe4R9wnHhAPiUfEY+IJ8ZR4RjwnXhAviVfEa+IN8ZZ4R7wnPhAfiU/EZ+IL8ZX4RnwnfhA/iV/Eb+IP8Zf4RyQiE5NJyKRkMjI5mYJMSaYiU5NpyLRkOjI9mYHMSGYiM5NZyKxkNjJGYiROEiRJUiRNMiRLciRPCqRISqRMKqRKaqROAtIgIWmSFmmTiHRIl/RInwzIkIzIOJmdzEHmJHORuck8ZF4yH5mfLEAWJAuRhckiZFGyGFmcLEGWJEuRpckyZFmyHFmerEBWJCuRlckqZFWyGlmdrEHWJGuRtck6ZF2yHlmfbEA2JBuRjckmZFOyGdmcbEG2JFuRrck2ZFuyHdme7EB2JDuRnckuZFeyG9md7EH2JHuRvck+ZF+yH9mfHEAOJAeRg8kh5FByGDmcHEGOJEeRo8kx5FhyHDmenEBOJCeRk8kp5FRyGjmdnEHOJGeRs8k55FxyHjmfXEAuJBeRi8kl5FJyGbmcXEGuJFeRq8k15FpyHbme3EBuJDeRm8kt5FZyG7md3EHuJHeRu8k95F5yH7mfPEAeJA+Rh8kj5FHyGHmcPEGeJE+Rp8kE8gx5ljxHnicvkBfJS+Rl8gp5lbxGXidvkDfJW+Rt8g55l7xH3icfkA/JR+Rj8gn5lHxGPidfkC/JV+Rr8g35lnxHvic/kB/JT+Rn8gv5lfxGfid/kD/JX+Rv8g/5l/xHJqISU0mopFQyKjmVgkpJpaJSU2motFQ6Kj2VgcpIZaIyU1morFQ2KkZhFE4RFElRFE0xFEtxFE8JlEhJlEwplEpplE4ByqAgZVIWZVOIciiX8iifCqiQiqg4lZ3KQeWkclG5qTxUXioflZ8qQBWkClGFqSJUUaoYVZwqQZWkSlGlqTJUWaocVZ6qQFWkKlGVqSpUVaoaVZ2qQdWkalG1qTpUXaoeVZ9qQDWkGlGNqSZUU6oZ1ZxqQbWkWlGtqTZUW6od1Z7qQHWkOlGdqS5UV6ob1Z3qQfWkelG9qT5UX6of1Z8aQA2kBlGDqSHUUGoYNZwaQY2kRlGjqTHUWGocNZ6aQE2kJlGTqSnUVGoaNZ2aQc2kZlGzqTnUXGoeNZ9aQC2kFlGLqSXUUmoZtZxaQa2kVlGrqTXUWmodtZ7aQG2kNlGbqS3UVmobtZ3aQe2kdlG7qT3UXmoftZ86QB2kDlGHqSPUUeoYdZw6QZ2kTlGnqQTqDHWWOkedpy5QF6lL1GXqCnWVukZdp25QN6lb1G3qDnWXukfdpx5QD6lH1GPqCfWUekY9p15QL6lX1GvqDfWWeke9pz5QH6lP1GfqC/WV+kZ9p35QP6lf1G/qD/WX+kclohPTSeikdDI6OZ2CTkmnolPTaei0dDo6PZ2BzkhnojPTWeisdDY6RmM0ThM0SVM0TTM0S3M0Twu0SEu0TCu0Smu0TgPaoCFt0hZt04h2aJf2aJ8O6JCO6Didnc5B56Rz0bnpPHReOh+dny5AF6QL0YXpInRRuhhdnC5Bl6RL0aXpMnRZuhxdnq5AV6Qr0ZXpKnRVuhpdna5B16Rr0bXpOnRduh5dn25AN6Qb0Y3pJnRTuhndnG5Bt6Rb0a3pNnRbuh3dnu5Ad6Q70Z3pLnRXuhvdne5B96R70b3pPnRfuh/dnx5AD6QH0YPpIfRQehg9nB5Bj6RH0aPpMfRYehw9np5AT6Qn0ZPpKfRUeho9nZ5Bz6Rn0bPpOfRceh49n15AL6QX0YvpJfRSehm9nF5Br6RX0avpNfRaeh29nt5Ab6Q30ZvpLfRWehu9nd5B76R30bvpPfReeh+9nz5AH6QP0YfpI/RR+hh9nD5Bn6RP0afpBPoMfZY+R5+nL9AX6Uv0ZfoKfZW+Rl+nb9A36Vv0bfoOfZe+R9+nH9AP6Uf0Y/oJ/ZR+Rj+nX9Av6Vf0a/oN/ZZ+R7+nP9Af6U/0Z/oL/ZX+Rn+nf9A/6V/0b/oP/Zf+RydiEjNJmKRMMiY5k4JJyaRiUjNpmLRMOiY9k4HJyGRiMjNZmKxMNibGYAzOEAzJUAzNMAzLcAzPCIzISIzMKIzKaIzOAMZgIGMyFmMziHEYl/EYnwmYkImYOJOdycHkZHIxuZk8TF4mH5OfKcAUZAoxhZkiTFGmGFOcKcGUZEoxpZkyTFmmHFOeqcBUZCoxlZkqTFWmGlOdqcHUZGoxtZk6TF2mHlOfacA0ZBoxjZkmTFOmGdOcacG0ZFoxrZk2TFumHdOe6cB0ZDoxnZkuTFemG9Od6cH0ZHoxvZk+TF+mH9OfGcAMZAYxg5khzFBmGDOcGcGMZEYxo5kxzFhmHDOemcBMZCYxk5kpzFRmGjOdmcHMZGYxs5k5zFxmHjOfWcAsZBYxi5klzFJmGbOcWcGsZFYxq5k1zFpmHbOe2cBsZDYxm5ktzFZmG7Od2cHsZHYxu5k9zF5mH7OfOcAcZA4xh5kjzFHmGHOcOcGcZE4xp5kE5gxzljnHnGcuMBeZS8xl5gpzlbnGXGduMDeZW8xt5g5zl7nH3GceMA+ZR8xj5gnzlHnGPGdeMC+ZV8xr5g3zlnnHvGc+MB+ZT8xn5gvzlfnGfGd+MD+ZX8xv5g/zl/nHJGITs0nYpGwyNjmbgk3JpmJTs2nYtGw6Nj2bgc3IZmIzs1nYrGw2NsZiLM4SLMlSLM0yLMtyLM8KrMhKrMwqrMpqrM4C1mAha7IWa7OIdViX9VifDdiQjdg4m53NweZkc7G52TxsXjYfm58twBZkC7GF2SJsUbYYW5wtwZZkS7Gl2TJsWbYcW56twFZkK7GV2SpsVbYaW52twdZka7G12TpsXbYeW59twDZkG7GN2SZsU7YZ25xtwbZkW7Gt2TZsW7Yd257twHZkO7Gd2S5sV7Yb253twfZd3ovtzfZhG1v92P7sAHYgO4gdzA5hh7LD2OHsCHYkO4odzY5hx7Lj2PHsBHYiO4mdzE5hp7LT2OnsDHYmO4udzc5h57Lz2PnsAnYhu4hdzC5hl7LL2OXsCnYlu4pdza5h17Lr2PXsBnYju4ndzG5ht7Lb2O3sDnYnu4vdze5h97L72P3sAfYge4g9zB5hj7LH2OPsCfYke4o9zSawZ9iz7Dn2PHuBvcheYi+zV9ir7DX2OnuDvcneYm+zd9i77D32PvuAfcg+Yh+zT9in7DP2OfuCfcm+Yl+zb9i37Dv2PfuB/ch+Yj+zX9iv7Df2O/uD/cn+Yn+zf9i/7D82EZeIS8Il4ZJxybgUXAouFZeKS8Ol4dJx6bgMXAYuE5eJy8Jl4bJx2TiMwziCIziKoziGY7j/HIETOImTOIVTOI3TOMABDnKQsziLQxziXM7lfM7nQi7k4lycy8Hl4HJxubg8XB4uH5ePK8AV4ApxhbgiXBGuGFeMK8GV4EpxpbgyXBmuHFeOq8BV4CpxlbgqXBWuGleNq8HV4Gpxtbg6XB2uHlePa8A14BpxjbgmXBOuGdeMa8G14Fpxrbg2XBuuHdeO68B14DpxnbguXBeuG9eN68H14Hpxvbg+XB+uH9ePG8AN4AZxg7gh3BBuGDeMG8GN4EZxo7gx3BhuHDeOm8BN4CZxk7gp3BRuGjedm8HN5GZxs7k53FxuHjefW8At4BZxi7gl3BJuGbeMW8Gt4FZxq7g13BpuHbeO28Bt4DZxm7gt3BZuG7eN28Ht4HZxu7g93B5uH7ePO8Ad4A5xh7gj3BHuGHeMO8Gd4E5xp7gELoE7y53lznPnuYvcRe4yd5m7yl3lrnPXuZvcTe42d5u7y93l7nP3uYfcQ+4x95h7yj3lnnPPuZfcS+4195p7y73l3nPvuY/cR+4z95n7yn3lvnPfuZ/cT+4395v7y/3lUvGp+TR8Wj4dn57PwGfk/58meJKneJpneJbHePx/meN5HvAGD3mTt3ibR7zzX87B5+Rz8bn5PHxePh+f/79cgi/Jl+JL82X4snwxvvj/cjm+PF+Br8lX4mvzVfi6fDW+Pl+Dr8nX4mvzdfi6fD2+Pt+Cb8m34lvzbfi2fDu+/X95F7+bP8Gf5E/xp/mb/C3+O/+Df8m/4n/yv/h+fH9+BD+SH8WP5sfwY/lx/Pj/8gx+Jj+Ln83P4efy8/j5/+UV/Ep+Fb+aX8Ov5dfx6//LO/id/CZ+D7+F38pv47f/j/8z0x5+L7+P388f4A/yh/gE/gh/lD/GH/+/Z03gz/Bn+XP8df4Gf5G/xF/mr/BX+Wv/4/903Obv8Hf5e/xz/gX/kH/EP+Zf80/5Z//j//S95t/wb/l3/Hv+A/+R/81/5r/wX/lv/9P/n/bf/B/+L/+PTyQkFpIISYVkQnIhhZBSSCWkFtIIaYV0Qnohg5BRyCRkFrIIWYVsQkzABFwgBFKgBFpgBFbgBF4QBFGQBFlQBFXQBF0AgiFAwRQswRaQ4Aiu4Am+EAihEAlxIbuQQ8gp5BJyC3mEvEI+Ib9QQCgoFBIKC0WEokIxobhQQigplBJKC2WEskI5obxQQagoVBIqC1WEqkI1obpQQ6gp1BJqC3WEukI9ob7QQGgoNBIaC02EpkIzobnQQmgptBJaC22EtkI7ob3QQegodBI6C12ErkI3obvQQ+gp9BJ6C32EvkI/ob8wQBgoDBIGC0OEocIwYbgwQhgpjBJGC2OEscI4YbwwQZgoTBImC1OEqcI0YbowQ5gpzBJmC3OEucI8Yb6wQFgoLBIWC0uEpcIyYbmwQlgprBJWC2uEtcI6Yb2wQdgobBI2C1uErcI2YbuwQ9gp7BJ2C3uEvcI+Yb9wQDgoHBIOC0eEo8Ix4bhwQjgpnBJOCwnCGeGscE44L1wQLgqXhMvCFeGqcE24LtwQbgq3hNvCHeGucE+4LzwQHgqPhMfCE+Gp8Ex4LrwQXgqvhNfCG+Gt8E54L3wQPgqfhM/CF+Gr8E34LvwQfgq/hN/CH+Gv8E9IJCYWk4hJxWRicjGFmFJMJaYW04hpxXRiejGDmFHMJGYWs4hZxWxiTMREXCREUqREWmREVuREXhREUZREWVREVdREXQSiIULRFC3RFpHoiK7oib4YiKEYiXExu5hDzCnmEnOLecS8Yj4xv1hALCgWEguLRcSiYjGxuFhCLCmWEkuLZcSyoi+WFyuIFcVKYmWxilhVrCZWF2uINcVaYm2xjlhXrCfWFxuIDcVGYmOxidhUbCY2F1uILcVWYmuxjdhWbCe2/3+9HyAOFAeJg8XB4lBxmDhcHCGOFEeJo8Ux4lhxnDhenCBOFCeJk8Up4lRxmjhdnCHOFGeJs8U54lxxnjhfXCAuFBeJi8Ul4lJxmbhcXCGuFFeJq8U14lpxnbhe3CBuFDeJm8Ut4lZxm7hd3CHuFHeJu8U94l5xn7hfPCAeFA+Jh8Uj4lHxmHhcPCGeFE+Jp8UE8Yx4VjwnnhcviBfFS+Jl8Yp4VbwmXhdviDfFW+Jt8Y54V7wn3hcfiA/FR+Jj8Yn4VHwmPhdfiC/FV+Jr8Y34Vnwnvhc/iB/FT+Jn8Yv4Vfwmfhd/iD/FX+Jv8Y/4V/wnJpISS0mkpFIyKbmUQkoppZJSS2mktFI6Kb2UQcooZZIyS1mkrFI2KSZhEi4REilREi0xEitxEi8JkihJkiwpkippki4ByZCgZEqWZEtIciRX8iRfCqRQiqS4lF3KIeWUckm5pTxSXimflF8qIBWUCkmFpSJSUamYVFwqIZWUSkmlpTJSWamcVF6qIFWUKkmVpSpSVamaVF2qIdWUakm1pTpSXameVF9qIDWUGkmNpSZSU6mZ1FxqIbWUWkmtpTZSW6md1F7qIHWUOkmdpS5SV6mb1F3qIfWUekm9pT5SX6mf1F8aIA2UBkmDpSHSUGmYNFwaIY2URkmjpTHSWGmcNF6aIE2UJkmTpSnSVGmaNF2aIc2UZkmzpTnSXGmeNF9aIC2UFkmLpSXSUmmZtFxaIa2UVkmrpTXSWmmdtF7aIG2UNkmbpS3SVmmbtF3aIe2Udkm7pT3SXmmftF86IB2UDkmHpSPSUemYdFw6IZ2UTkmnpQTpjHRWOiedly5IF6VL0mXpinRVuiZdl25IN6Vb0m3pjnRXuifdlx5ID6VH0mPpifRUeiY9l15IL6VX0mvpjfRWeie9lz5IH6VP0mfpi/RV+iZ9l35IP6Vf0m/pj/RX+iclkhPLSeSkcjI5uZxCTimnklPLaeS0cjo5vZxBzihnkjPLWeSscjY5JmMyLhMyKVMyLTMyK3MyLwuyKEuyLCuyKmuyLgPZkKFsypZsy0h2ZFf2ZF8O5FCO5LicXc4h55RzybnlPHJeOZ+cXy4gF5QLyYXlInJRuZhcXC4hl5RLyaXlMnJZuZxcXq4gV5QryZXlKnJVuZpcXa4h15RrybXlOnJduZ5cX24gN5QbyY3lJnJTuZncXG4ht5Rbya3lNnJbuZ3cXu4gd5Q7yZ3lLnJXuZvcXe4h95R7yb3lPnJfuZ/cXx4gD5QHyYPlIfJQeZg8XB4hj5RHyaPlMfJYeZw8Xp4gT5QnyZPlKfJUeZo8XZ4hz5RnybPlOfJceZ48X14gL5QXyYvlJfJSeZm8XF4hr5RXyavlNfJaeZ28Xt4gb5Q3yZvlLfJWeZu8Xd4h75R3ybvlPfJeeZ+8Xz4gH5QPyYflI/JR+Zh8XD4hn5RPyaflBPmMfFY+J5+XL8gX5UvyZfmKfFW+Jl+Xb8g35VvybfmOfFe+J9+XH8gP5UfyY/mJ/FR+Jj+XX8gv5Vfya/mN/FZ+J7+XP8gf5U/yZ/mL/FX+Jn+Xf8g/5V/yb/mP/Ff+JydSEitJlKRKMiW5kkJJqaRSUitplLRKOiW9kkHJqGRSMitZlKxKNiWmYAquEAqpUAqtMAqrcAqvCIqoSIqsKIqqaIquAMVQoGIqlmIrSHEUV/EUXwmUUImUuJJdyaHkVHIpuZU8Sl4ln5JfKaAUVAophZUiSlGlmFJcKaGUVEoppZUySlmlnFJeqaBUVCoplZUqSlWlmlJdqaHUVGoptZU6Sl2lnlJfaaA0VBopjZUmSlOlmdJcaaG0VFoprZU2SlulndJe6aB0VDopnZUuSlelm9Jd6aH0VHopvZU+Sl+ln9JfGaAMVAYpg5UhylBlmDJcGaGMVEYpo5UxylhlnDJemaBMVCYpk5UpylRlmjJdmaHMVGYps5U5ylxlnjJfWaAsVBYpi5UlylJlmbJcWaGsVFYpq5U1ylplnbJe2aBsVDYpm5UtylZlm7Jd2aHsVHYpu5U9yl5ln7JfOaAcVA4ph5UjylHlmHJcOaGcVE4pp5UE5YxyVjn3/+nNS+WV8lp5o7xV3invlQ/KR+WT8ln5onxVvinflR/KT+WX8lv5o/xV/imJ1MRqEjWpmkxNrqZQU6qp1NRqGjWtmk5Nr2ZQM6qZ1MxqFjWrmk2NqZiKq4RKqpRKq4zKqpzKq4IqqpIqq4qqqpqqq0A1VKiaqqXaKlId1VU91VcDNVQjNa5mV3OoOdVcam41j5pXzafmVwuoBdVCamG1iFpULaYWV0uoJdVSamm1jFpWLaeWVyuoFdVKamW1ilpVraZWV2uoNdVaam21jlpXrafWVxuoDdVGamO1idpUbaY2V1uoLdVWamu1jdpWbae2VzuoHdVOame1i9pV7aZ2V3uoPdVeam+1j9pX7af2VweoA9VB6mB1iDpUHaYOV0eoI9VR6mh1jDpWHaeOVyeoE9VJ6mR1ijpVnaZOV2eoM9VZ6mx1jjpXnafOVxeoC9VF6mJ1ibpUXaYuV1eoK9VV6mp1jbpWXaeuVzeoG9VN6mZ1i7pV3aZuV3eoO9Vd6m51j7pX3afuVw+oB9VD6mH1iHpUPaYeV0+oJ9VT6mk1QT2jnlXPqefVC+pF9ZJ6Wb2iXlWvqdfVG+pN9ZZ6W72j3lXvqffVB+pD9ZH6WH2iPlWfqc/VF+pL9ZX6Wn2jvlXfqe/VD+pH9ZP6Wf2iflW/qd/VH+pP9Zf6W/2j/lX/qYm0xFoSLamWTEuupdBSaqm01FoaLa2WTkuvZdAyapm0zFoWLauWTYtpmIZrhEZqlEZrjMZqnMZrgiZqkiZriqZqmqZrQDM0qJmapdka0hzN1TzN1wIt1CItrmXXcmg5tVxabi2PllfLp+XXCmgFtUJaYa2IVlQrphXXSmgltVJaaa2MVlYrp5XXKmgVtUpaZa2KVlWrplXXamg1tVpaba2OVlerp9XXGmgNtUZaY62J1lRrpjXXWmgttVZaa62N1lZrp7XXOmgdtU5aZ62L1lXrpnXXemg9tV5ab62P1lfrp/XXBmgDtUHaYG2INlQbpg3XRmgjtVHaaG2MNlYbp43XJmgTtUnaZG2KNlWbpk3XZmgztVnabG2ONlebp83XFmgLtUXaYm2JtlRbpi3XVmgrtVXaam2NtlZbp63XNmgbtU3aZm2LtlXbpm3Xdmg7tV3abm2Ptlfbp+3XDmgHtUPaYe2IdlQ7ph3XTmgntVPaaS1BO6Od1c5p57UL2kXtknZZu6Jd1a5p17Ub2k3tlnZbu6Pd1e5p97UH2kPtkfZYe6I91Z5pz7UX2kvtlfZae6O91d5p77UP2kftk/ZZ+6J91b5p37Uf2k/tl/Zb+6P91f5pifTEehI9qZ5MT66n0FPqqfTUeho9rZ5OT69n0DPqmfTMehY9q55Nj+mYjuuETuqUTuuMzuqczuuCLuqSLuuKruqarutAN3Som7ql2zrSHd3VPd3XAz3UIz2uZ9dz6Dn1XHpuPY+eV8+n59cL6AX1QnphvYheVC+mF9dL6CX1UnppvYxeVi+nl9cr6BX1SnplvYpeVa+mV9dr6DX1WnptvY5eV6+n19cb6A31RnpjvYneVG+mN9db6C31VnprvY3eVm+nt9c76B31TnpnvYveVe+md9d76D31XnpvvY/eV++n99cH6AP1QfpgfYg+VB+mD9dH6CP1UfpofYw+Vh+nj9cn6BP1SfpkfYo+VZ+mT9dn6DP1WfpsfY4+V5+nz9cX6Av1RfpifYm+VF+mL9dX6Cv1VfpqfY2+Vl+nr9c36Bv1TfpmfYu+Vd+mb9d36Dv1XfpufY++V9+n79cP6Af1Q/ph/Yh+VD+mH9dP6Cf1U/ppPUE/o5/Vz+nn9Qv6Rf2Sflm/ol/Vr+nX9Rv6Tf2Wflu/o9/V7+n39Qf6Q/2R/lh/oj/Vn+nP9Rf6S/2V/lp/o7/V3+nv9Q/6R/2T/ln/on/Vv+nf9R/6T/2X/lv/o//V/+mJQGKQBCQFyUBykAKkBKlAapAGpAXpQHqQAWQEmUBmkAVkBdlADGAABwQgAQVowAAWcIAHAhCBBGSgABVoQAcAGAACE1jABgg4wAUe8EEAQhCBOMgOcoCcIBfIDfKAvCAfyA8KgIKgECgMioCioBgoDkqAkqAUKA3KgLKgHCgPKoCKoBKoDKqAqqAaqA5qgJqgFqgN6oC6oB6oDxqAhqARaAyagKagGWgOWoCWoBVoDdqAtqAdaA86gI6gE+gMuoCuoBvoDnqAnqAX6A36gL6gH+gPBoCBYBAYDIaAoWAYGA5GgJFgFBgNxoCxYBwYDyaAiWASmAymgKlgGpgOZoCZYBaYDeaAuWAemA8WgIVgEVgMloClYBlYDlaAlWAVWA3WgLVgHVgPNoCNYBPYDLaArWAb2A52gJ1gF9gN9oC9YB/YDw6Ag+AQOAyOgKPgGDgOToCT4BQ4DRLAGXAWnAPnwQVwEVwCl8EVcBVcA9fBDXAT3AK3wR1wF9wD98ED8BA8Ao/BE/AUPAPPwQvwErwCr8Eb8Ba8A+/BB/ARfAKfwRfwFXwD38EP8BP8Ar/BH/AX/AOJjMRGEiOpkcxIbqQwUhqpjNRGGiOtkc5Ib2QwMhqZjMxGFiOrkc2IGZiBG4RBGpRBG4zBGpzBG4IhGpIhG4qhGpqhG8AwDGiYhmXYBjIcwzU8wzcCIzQiI25kN3IYOY1cRm4jj5HXyGfkNwoYBY1CRmGjiFHUKGYUN0oYJY1SRmmjjFHWKGeUNyoYFY1KRmWjilHVqGZUN2oYNY1aRm2jjlHXqGfUNxoYDY1GRmOjidHUaGY0N1oYLY1WRmujjdHWaGe0NzoYHY1ORmeji9HV6GZ0N3oYPY1eRm+jj9HX6Gf0NwYYA41BxmBjiDHUGGYMN0YYI41RxmhjjDHWGGeMNyYYE41JxmRjijHVmGZMN2YYM41ZxmxjjjHXmGfMNxYYC41FxmJjibHUWGYsN1YYK41VxmpjjbHWWGesNzYYG41NxmZji7HV2GZsN3YYO41dxm5jj7HX2GfsNw4YB41DxmHjiHHUOGYcN04YJ41TxmkjwThjnDXOGeeNC8ZF45Jx2bhiXDWuGdeNG8ZN45Zx27hj3DXuGfeNB8ZD45Hx2HhiPDWeGc+NF8ZL45Xx2nhjvDXeGe+ND8ZH45Px2fhifDW+Gd+NH8ZP45fx2/hj/DX+GYlgYpgEJoXJYHKYAqaEqWBqmAamhelgepgBZoSZYGaYBWaF2WAMYhCHBCQhBWnIQBZykIcCFKEEZahAFWpQhwAaEEITWtCGCDrQhR70YQBDGME4zA5zwJwwF8wN88C8MB/MDwvAgrAQLAyLwKKwGCwOS8CSsBQsDcvAsrAcLA8rwIqwEqwMq8CqsBqsDmvAmrAWrA3rwLqwHqwPG8CGsBFsDJvAprAZbA5bwJawFWwN28C2sB1sDzvAjrAT7Ay7wK6wG+wOe8CesBfsDfvAvrAf7A8HwIFwEBwMh8ChcBgcDkfAkXAUHA3HwLFwHBwPJ8CJcBKcDKfAqXAanA5nwJlwFpwN58C5cB6cDxfAhXARXAyXwKVwGVwOV8CVcBVcDdfAtXAdXA83wI1wE9wMt8CtcBvcDnfAnXAX3A33wL1wH9wPD8CD8BA8DI/Ao/AYPA5PwJPwFDwNE+AZeBaeg+fhBXgRXoKX4RV4FV6D1+ENeBPegrfhHXgX3oP34QP4ED6Cj+ET+BQ+g8/hC/gSvoKv4Rv4Fr6D7+EH+BF+gp/hF/gVfoPf4Q/4E/6Cv+Ef+Bf+g4nMxGYSM6mZzExupjBTmqnM1GYaM62ZzkxvZjAzmpnMzGYWM6uZzYyZmImbhEmalEmbjMmanMmbgimakimbiqmamqmbwDRMaJqmZdomMh3TNT3TNwMzNCMzbmY3c5g5zVxmbjOPmdfMZ+Y3C5gFzUJmYbOIWdQsZhY3S5glzVJmabOMWdYsZ5Y3K5gVzUpmZbOKWdWsZlY3a5g1zVpmbbOOWdesZ9Y3G5gNzUZmY7OJ2dRsZjY3W5gtzVZma7ON2dZsZ7Y3O5gdzU5mZ7OL2dXsZnY3e5g9zV5mb7OP2dfsZ/Y3B5gDzUHmYHOIOdQcZg43R5gjzVHmaHOMOdYcZ443J5gTzUnmZHOKOdWcZk43Z5gzzVnmbHOOOdecZ843F5gLzUXmYnOJudRcZi43V5grzVXmanONudZcZ643N5gbzU3mZnOLudXcZm43d5g7zV3mbnOPudfcZ+43D5gHzUPmYfOIedQ8Zh43T5gnzVPmaTPBPGOeNc+Z580L5kXzknnZvGJeNa+Z180b5k3zlnnbvGPeNe+Z980H5kPzkfnYfGI+NZ+Zz80X5kvzlfnafGO+Nd+Z780P5kfzk/nZ/GJ+Nb+Z380f5k/zl/nb/GP+Nf+ZiazEVhIrqZXMSm6lsFJaqazUVhorrZXOSm9lsDJamazMVhYrq5XNilmYhVuERVqURVuMxVqcxVuCJVqSJVuKpVqapVvAMixomZZl2RayHOv/7P/1rQZWA6uR1dhqYuVKki9Jc6u51dJqabW2WlttrXZWe6uD1dHqZHWyulhdra5Wd6uH1dPqZfW2+lh9rX5Wf2uANcAaZA2yhlhDrGHWMGuENcIaZY2yxlhjrHHWOGuCNcGaZE2yplhTrGnWNGuGNcOaZc2y5lhzrHnWPGuBtcBaZC2yllhLrGXWMmuFtcJaZa2y1lhrrHXWOmuDtcHaZG2ytlhbrG3WNmuHtcPaZe2y9lh7rH3WPuuAdcA6ZB2yjlhHrGPWMeuEdcI6ZZ2yEqwE66x11jpvnbcuWhety9Zl66p11bpuXbduWjet29Zt665117pv3bceWg+tx9YT66n1zHpuvbBeWq+s19Yb6631znpvfbA+Wp+sz9YX66v1zfpu/bB+Wr+s39Yf66/1z/r/c39Rs3Ub2IYNbdO2bNtGtmO7tmf7dmCHdmTH7ex2DjunncvObeex89r57Px2AbugXcgubBexi9rF7OJ2CbukXcoubZexy9rl7PJ2BbuiXcmubFexq9rV7Op2DbumXcuubdex69r17Pp2A7uh3chubDexm9rN7OZ2C7ul3cpubbex29rt7PZ2B7uj3cnubHexu9rd7O52D7un3cvubfex+9r97P72AHugPcgebA+xh9rD7OH2CHukPcoebY+xx9rj7PH2BHuiPcmebE+xp9rT7On2DHumPcuebc+x59rz7Pn2AnuhvchebC+xl9rL7OX2Cnulvcpeba+x19rr7PX2BnujvcnebG+xt9rb7O32Dnunvcvebe+x99r77P32Afugfcg+bB+xj9rH7OP2Cfukfco+bSfYZ+yz9jn7vH3Bvmhfsi/bV+yr9jX7un3Dvmnfsm/bd+y79j37vv3Afmg/sh/bT+yn9jP7uf3Cfmm/sl/bb+y39jv7vf3B/mh/sj/bX+yv9jf7u/3D/mn/sn/bf+y/9j87EUqMkqCkKBlKjlKglCgVSo3SoLQoHUqPMqCMKBPKjLKgrCgbiiEM4YhAJKIQjRjEIg7xSEAikpCMFKQiDekIIANBZCIL2QghB7nIQz4KUIgiFEfZUQ6UE+VCuVEelBflQ/lRAVQQFUKFURFUFBVDxVEJVBKVQqVRGVQWlUPlUQVUEVVClVEVVBVVQ9VRDVQT1UK1UR1UF9VD9VED1BA1Qo1RE9QUNUPNUQvUErVCrVEb1Ba1Q+1RB9QRdUKdURfUFXVD3VEP1BP1Qr1RH9QX9UP90QA0EA1Cg9EQNBQNQ8PRCDQSjUKj0Rg0Fo1D49EENBFNQpPRFDQVTUPT0Qw0E81Cs9EcNBfNQ/PRArQQLUKL0RK0FC1Dy9EKtBKtQqvRGrQWrUPr0Qa0EW1Cm9EWtBVtQ9vRDrQT7UK70R60F+1D+9EBdBAdQofREXQUHUPH0Ql0Ep1Cp1ECOoPOonPoPLqALqJL6DK6gq6ia+g6uoFuolvoNrqD7qJ76D56gB6iR+gxeoKeomfoOXqBXqJX6DV6g96id+g9+oA+ok/oM/qCvqJv6Dv6gX6iX+g3+oP+on8okZPYSeIkdZI5yZ0UTkonlZPaSeOkddI56Z0MTkYnk5PZyeJkdbI5MQdzcIdwSIdyaIdxWIdzeEdwREdyZEdxVEdzdAc4hgMd07Ec20GO47iO5/hO4IRO5MSd7E4OJ6eTy8nt5HHyOvmc/E4Bp6BTyCnsFHGKOsWc4k4Jp6RTyintlHHKOuWc8k4Fp6JTyansVHGqOtWc6k4Np6ZTy6nt1HHqOvWc+k4Dp6HTyGnsNHGaOs2c5k4Lp6XTymnttHHaOu2c9k4Hp6PTyensdHG6Ot2c7k4Pp6fTy+nt9HH6Ov2c/s4AZ6AzyBnsDHGGOsOc4c4IZ6QzyhntjHHGOuOc8c4EZ6IzyZnsTHGmOtOc6c4MZ6Yzy5ntzHHmOvOc+c4CZ6GzyFnsLHGWOsuc5c4KZ6WzylntrHHWOuuc9c4GZ6OzydnsbHG2Otuc7c4OZ6ezy9nt7HH2Ovuc/c4B56BzyDnsHHGOOsec484J56RzyjntJDhnnLPOOee8c8G56FxyLjtXnKvONee6c8O56dxybjt3nLvOPee+88B56DxyHjtPnKfOM+e588J56bxyXjtvnLfOO+e988H56HxyPjtfnK/ON+e788P56fxyfjt/nL/OPyeRm9hN4iZ1k7nJ3RRuSjeVm9pN46Z107np3QxuRjeTm9nN4mZ1s7kxF3Nxl3BJl3Jpl3FZl3N5V3BFV3JlV3FVV3N1F7iGC13TtVzbRa7juq7n+m7ghm7kxt3sbg43p5vLze3mcfO6+dz8bgG3oFvILewWcYu6xdzibgm3pFvKLe2Wccu65dzybgW3olvJrexWcau61dzqbg23plvLre3Wceu69dz6bgO3odvIbew2cZu6zdzmbgu3pdvKbe22cdu67dz2bge3o9vJ7ex2cbu63dzubg+3p9vL7e32cfu6/dz+7gB3oDvIHewOcYe6w9zh7gh3pDvKHe2Occe649zx7gR3ojvJnexOcae609zp7gx3pjvLne3Ocee689z57gJ3obvIXewucZe6y9zl7gp3pbvKXe2ucde669z17gZ3o7vJ3exucbe629zt7g53p7vL3e3ucfe6+9z97gH3oHvIPewecY+6x9zj7gn3pHvKPe0muGfcs+4597x7wb3oXnIvu1fcq+4197p7w73p3nJvu3fcu+499777wH3oPnIfu0/cp+4z97n7wn3pvnJfu2/ct+479737wf3ofnI/u1/cr+4397v7w/3p/nJ/u3/cv+4/N5GX2EviJfWSecm9FF5KL5WX2kvjpfXSeem9DF5GL5OX2cviZfWyeTEP83CP8EiP8miP8ViP83hP8ERP8mRP8VRP83QPeIYHPdOzPNtDnuO5nuf5XuCFXuTFvexeDi+nl8vL7eXx8nr5vPxeAa+gV8gr7BXxinrFvOJeCa+kV8or7ZXxynrlvPJeBa+iV8mr7FXxqnrVvOpeDa+mV8ur7dXx6nr1vPpeA6+h18hr7DXxmnrNvOZeC6+l18pr7bXx2nrtvPZeB6+j18nr7HXxunrdvO5eD6+n18vr7fXx+nr9vP7eAG+gN8gb7A3xhnrDvOHeCG+kN8ob7Y3xxnrjvPHeBG+iN8mb7E3xpnrTvOneDG+mN8ub7c3x5nrzvPneAm+ht8hb7C3xlnrLvOXeCm+lt8pb7a3x1nrrvPXeBm+jt8nb7G3xtnrbvO3eDm+nt8vb7e3x9nr7vP3eAe+gd8g77B3xjnrHvOPeCe+kd8o77SV4Z7yz3jnvvHfBu+hd8i57V7yr3jXvunfDu+nd8m57d7y73j3vvvfAe+g98h57T7yn3jPvuffCe+m98l57b7y33jvvvffB++h98j57X7yv3jfvu/fD++n98n57f7y/3j8vkZ/YT+In9ZP5yf0Ufko/lZ/aT+On9dP56f0MfkY/k5/Zz+Jn9bP5MR/zcZ/wSZ/yaZ/xWZ/zeV/wRV/yZV/xVV/zdR/4hg9907d820e+47u+5/t+4Id+5Mf97H4OP6efy8/t5/Hz+vn8/H4Bv6BfyC/sF/GL+sX84n4Jv6Rfyi/tl/HL+uX88n4Fv6Jfya/sV/Gr+tX86n4Nv6Zfy6/t1/Hr+vX8+n4Dv6HfyG/sN/Gb+s385n4Lv6Xfym/tt/Hb+u389n4Hv6Pfye/sd/G7+t387n4Pv6ffy+/t9/H7+v38/v4Af6A/yB/sD/GH+sP84f4If6Q/yh/tj/HH+uP88f4Ef6I/yZ/sT/Gn+tP86f4Mf6Y/y5/tz/Hn+vP8+f4Cf6G/yF/sL/GX+sv85f4Kf6W/yl/tr/HX+uv89f4Gf6O/yd/sb/G3+tv87f4Of6e/y9/t7/H3+vv8/f4B/6B/yD/sH/GP+sf84/4J/6R/yj/tJ/hn/LP+Of+8f8G/6F/yL/tX/Kv+Nf+6f8O/6d/yb/t3/Lv+Pf++/8B/6D/yH/tP/Kf+M/+5/8J/6b/yX/tv/Lf+O/+9/8H/6H/yP/tf/K/+N/+7/8P/6f/yf/t//L/+Pz9RkDhIEiQNkgXJgxRByiBVkDpIE6QN0gXpgwxBxiBTkDnIEmQNsgWxAAvwgAjIgArogAnYgAv4QAjEQArkQAnUQAv0AARGAAMzsAI7QIETuIEX+EEQhEEUxIPsQY4gZ5AryB3kCfIG+YL8QYGgYFAoKBwUCYoGxYLiQYmgZFAqKB2UCcoG5YLyQYWgYlApqBxUCaoG1YLqQY2gZlArqB3UCeoG9YL6QYOgYdAoaBw0CZoGzYLmQYugZdAqaB20CdoG7YL2QYegY9Ap6Bx0CboG3YLuQY+gZ9Ar6B30CfoG/YL+wYBgYDAoGBwMCYYGw4LhwYhgZDAqGB2MCcYG44LxwYRgYjApmBxMCaYG04LpwYxgZjArmB3MCeYG84L5wYJgYbAoWBwsCZYGy4LlwYpgZbAqWB2sCdYG64L1wYZgY7Ap2BxsCbYG24LtwY5gZ7Ar2B3sCfYG+4L9wYHgYHAoOBwcCY4Gx4LjwYngZHAqOB0kBGeCs8G54HxwIbgYXAouB1eCq8G14HpwI7gZ3ApuB3eCu8G94H7wIHgYPAoeB0+Cp8Gz4HnwIngZvApeB2+Ct8G74H3wIfgYfAo+B1+Cr8G34HvwI/gZ/Ap+B3+Cv8G/IFGYOEwSJg2ThcnDFGHKMFWYOkwTpg3ThenDDGHGMFOYOcwSZg2zhbEQC/GQCMmQCumQCdmQC/lQCMVQCuVQCdVQC/UQhEYIQzO0QjtEoRO6oRf6YRCGYRTGw+xhjjBnmCvMHeYJ84b5wvxhgbBgWCgsHBYJi4bFwuJhibBkWCosHZYJy4blwvJhhbBiWCmsHFYJq4bVwuphjbBmWCusHdYJ64b1wvphg7Bh2ChsHDYJm4bNwuZhi7Bl2CpsHbYJ24btwvZhh7Bj2CnsHHYJu4bdwu5hj7Bn2CvsHfYJ+4b9wv7hgHBgOCgcHA4Jh4bDwuHhiHBkOCocHY4Jx4bjwvHhhHBiOCmcHE4Jp4bTwunhjHBmOCucHc4J54bzwvnhgnBhuChcHC4Jl4bLwuXhinBluCpcHa4J14brwvXhhnBjuCncHG4Jt4bbwu3hjnBnuCvcHe4J94b7wv3hgfBgeCg8HB4Jj4bHwuPhifBkeCo8HSaEZ8Kz4bnwfHghvBheCi+HV8Kr4bXwengjvBneCm+Hd8K74b3wfvggfBg+Ch+HT8Kn4bPwefgifBm+Cl+Hb8K34bvwffgh/Bh+Cj+HX8Kv4bfwe/gj/Bn+Cn+Hf8K/4b8wUZQ4ShIljZJFyaMUUcooVZQ6ShOljdJF6aMMUcYoU5Q5yhJljbJFsQiL8IiIyIiK6IiJ2IiL+EiIxEiK5EiJ1EiL9AhERgQjM7IiO0KRE7mRF/lREIVRFMWj7FGOKGeUK8od5YnyRvmi/FGBqGBUKCocFYmKRsWi4lGJqGRUKiodlYnKRuWi8lGFqGJUKaocVYmqRtWi6lGNqGZUK6od1YnqRvWi+lGDqGHUKGocNYmaRs2i5lGLqGXUKmodtYnaRu2i9lGHqGPUKeocdYm6Rt2i7lGPqGfUK+od9Yn6Rv2i/tGAaGA0KBocDYmGRsOi4dGIaGQ0KhodjYnGRuOi8dGEaGI0KZocTYmmRtOi6dGMaGY0K5odzYnmRvOi+dGCaGG0KFocLYmWRsui5dGKaGW0KlodrYnWRuui9dGGaGO0KdocbYm2Rtui7dGOaGe0K9od7Yn2Rvui/dGB6GB0KDocHYmORsei49GJ6GR0KjodJURnorPRueh8dCG6GF2KLkdXoqvRteh6dCO6Gd2Kbkd3orvRveh+9CB6GD2KHkdPoqfRs+h59CJ6Gb2KXkdvorfRu+h99CH6GH2KPkdfoq/Rt+h79CP6Gf2Kfkd/or/RvyhRPHE8STxpPFk8eTxFPGU8VTx1PE08bTxdPH08QzxjPFM8czxLPGs8WzwWx+J4nIiTcSpOx5k4G+fifFyIi3EpLseVuBrX4nocxI04jJtxK27HUdyJu3Ev7seDeBiP4vF49niOeM54rnjueJ543ni+eP54gXjBeKF44XiReNF4sXjxeIl4yXipeOl4mXjZeLl4+XiFeMV4pXjleJV41Xi1ePV4jXjNeK147XideN14vXj9eIN4w3ijeON4k/+LAHgAFCIJAAD6s23b1tq2Zmazbdu2bdt12bZt23bdw5pizbDmWAusJdYKa421wdpi7bD2WAesI9YJ64x1wbpi3bDuWA+sJ9YL6431wfpi/bD+2ABsIDYIG4wNwYZiw7Dh2AhsJDYKG42NwcZi47Dx2ARsIjYJm4xNwaZi07Dp2AxsJjYLm43NweZi87D52AJsIbYIW4wtwZZiy7Dl2ApsJbYKW42twdZi67D12AZsI7YJ24z9h23BtmLbsO3YDmwntgvbje3B9mL7sP3YAewgdgg7jB3BjmLHsOPYCewkdgo7jZ3BzmLnsPPYBewidgm7jF3BrmLXsOvYDewmdgu7jd3B7mL3sPvYA+wh9gh7jD3BnmLPsOfYC+wl9gp7jb3B3mLvsPfYB+wj9gn7jH3BvmLfsO/YD+wn9gv7jf3B/mL/sAQ8EZ4YT4InxZPhyfEUeEo8FZ4aT4OnxdPh6fEMeEY8E54Zz4JnxbPh2fEceE48F54bz4PnxfPh+fECeEG8EF4YL4IXxYvhxfESeEm8FF4aL4OXxcvh5fEKeEW8El4Zr4JXxavhGI7jBE7iFE7jDM7iHM7jAi7iEi7jCq7iGq7jBm7iFm7jDu7iHu7jAR7iEQ5wiCM8xqvjNfCaeC28Nl4Hr4vXw+vjDfCGeCO8Md4Eb4o3w5vjLfCWeCu8Nd4Gb4u3w9vjHfCOeCe8M94F74p3w7vjPfCeeC+8N94H74v3w/vjA/CB+CB8MD4EH4oPw4fjI/CR+Ch8ND4GH4uPw8fjE/CJ+CR8Mj4Fn4pPw6fjM/CZ+Cx8Nj4Hn4vPw+fjC/CF+CJ8Mb4EX4ovw5fjK/CV+Cp8Nb4GX4uvw9fjG/CN+CZ8M/4fvgXfim/Dt+M78J34Lnw3vgffi+/D9+MH8IP4IfwwfgQ/ih/Dj+Mn8JP4Kfw0fgY/i5/Dz+MX8Iv4JfwyfgW/il/Dr+M38Jv4Lfw2fge/i9/D7+MP8If4I/wx/gR/ij/Dn+Mv8Jf4K/w1/gZ/i7/D3+Mf8I/4J/wz/gX/in/Dv+M/8J/4L/w3/gf/i//DE4hERGIiCZGUSEYkJ1IQKYlURGoiDZGWSEekJzIQGYlMRGYiC5GVyEZkJ3IQOYlcRG4iD5GXyEfkJwoQBYlCRGGiCFGUKEYUJ0oQJYlSRGmiDFGWKEeUJyoQFYlKRGWiClGVqEZgBE4QBElQBE0wBEtwBE8IhEhIhEwohEpohE4YhElYhE04hEt4hE8EREhEBCAggYiYqE7UIGoStYjaRB2iLlGPqE80IBoSjYjGRBOiKdGMaE60IFoSrYjWRBuiLdGOaE90IDoSnYjORBeiK9GN6E70IHoSvYjeRB+iL9GP6E8MIAYSg4jBxBBiKDGMGE6MIEYSo4jRxBhiLDGOGE9MICYSk4jJxBRiKjGNmE7MIGYSs4jZxBxiLjGPmE8sIBYSi4jFxBJiKbGMWE6sIFYSq4jVxBpiLbGOWE9sIDYSm4jNxH/EFmIrsY3YTuwgdhK7iN3EHmIvsY/YTxwgDhKHiMPEEeIocYw4TpwgThKniNPEGeIscY44T1wgLhKXiMvEFeIqcY24TtwgbhK3iNvEHeIucY+4TzwgHhKPiMfEE+Ip8Yx4TrwgXhKviNfEG+It8Y54T3wgPhKfiM/EF+Ir8Y34TvwgfhK/iN/EH+Iv8Y9IIBORickkZFIyGZmcTEGmJFORqck0ZFoyHZmezEBmJDORmcksZFYyG5mdzEHmJHORuck8ZF4yH5mfLEAWJAuRhckiZFGyGFmcLEGWJEuRpckyZFmyHFmerEBWJCuRlckqZFWyGomROEmQJEmRNMmQLMmRPCmQIimRMqmQKqmROmmQJmmRNumQLumRPhmQIRmRgIQkImOyOlmDrEnWImuTdci6ZD2yPtmAbEg2IhuTTcimZDOyOdmCbEm2IluTbci2ZDuyPdmB7Eh2IjuTXciuZDeyO9mD7En2InuTfci+ZD+yPzmAHEgOIgeTQ8ih5DByODmCHEmOIkeTY8ix5DhyPDmBnEhOIieTU8ip5DRyOjmDnEnOImeTc8i55DxyPrmAXEguIheTS8il5DJyObmCXEmuIleTa8i15DpyPbmB3EhuIjeT/5FbyK3kNnI7uYPcSe4id5N7yL3kPnI/eYA8SB4iD5NHyKPkMfI4eYI8SZ4iT5NnyLPkOfI8eYG8SF4iL5NXyKvkNfI6eYO8Sd4ib5N3yLvkPfI++YB8SD4iH5NPyKfkM/I5+YJ8Sb4iX5NvyLfkO/I9+YH8SH4iP5NfyK/kN/I7+YP8Sf4if5N/yL/kPzKBSkQlppJQSalkVHIqBZWSSkWlptJQaal0VHoqA5WRykRlprJQWalsVHYqB5WTykXlpvJQeal8VH6qAFWQKkQVpopQRaliVHGqBFWSKkWVpspQZalyVHmqAlWRqkRVpqpQValqFEbhFEGRFEXRFEOxFEfxlECJlETJlEKplEbplEGZlEXZlEO5lEf5VECFVEQBClKIiqnqVA2qJlWLqk3VoepS9aj6VAOqIdWIakw1oZpSzajmVAuqJdWKak21odpS7aj2VAeqI9WJ6kx1obpS3ajuVA+qJ9WL6k31ofpS/aj+1ABqIDWIGkwNoYZSw6jh1AhqJDWKGk2NocZS46jx1ARqIjWJmkxNoaZS06jp1AxqJjWLmk3NoeZS86j51AJqIbWIWkwtoZZSy6jl1ApqJbWKWk2todZS66j11AZqI7WJ2kz9R22htlLbqO3UDmontYvaTe2h9lL7qP3UAeogdYg6TB2hjlLHqOPUCeokdYo6TZ2hzlLnqPPUBeoidYm6TF2hrlLXqOvUDeomdYu6Td2h7lL3qPvUA+oh9Yh6TD2hnlLPqOfUC+ol9Yp6Tb2h3lLvqPfUB+oj9Yn6TH2hvlLfqO/UD+on9Yv6Tf2h/lL/qAQ6EZ2YTkInpZPRyekUdEo6FZ2aTkOnpdPR6ekMdEY6E52ZzkJnpbPR2ekcdE46F52bzkPnpfPR+ekCdEG6EF2YLkIXpYvRxekSdEm6FF2aLkOXpcvR5ekKdEW6El2ZrkJXpavRGI3TBE3SFE3TDM3SHM3TAi3SEi3TCq3SGq3TBm3SFm3TDu3SHu3TAR3SEQ1oSCM6pqvTNeiadC26Nl2HrkvXo+vTDeiGdCO6Md2Ebko3o5vTLeiWdCu6Nd2Gbku3o9vTHeiOdCe6M92F7kp3o7vTPeiedC+6N92H7kv3o/vTA+iB9CB6MD2EHkoPo4fTI+iR9Ch6ND2GHkuPo8fTE+iJ9CR6Mj2FnkpPo6fTM+iZ9Cx6Nj2HnkvPo+fTC+iF9CJ6Mb2EXkovo5fTK+iV9Cp6Nb2GXkuvo9fTG+iN9CZ6M/0fvYXeSm+jt9M76J30Lno3vYfeS++j99MH6IP0IfowfYQ+Sh+jj9Mn6JP0Kfo0fYY+S5+jz9MX6Iv0JfoyfYW+Sl+jr9M36Jv0Lfo2fYe+S9+j79MP6If0I/ox/YR+Sj+jn9Mv6Jf0K/o1/YZ+S7+j39Mf6I/0J/oz/YX+Sn+jv9M/6J/0L/o3/Yf+S/+jE5hETGImCZOUScYkZ1IwKZlUTGomDZOWScekZzIwGZlMTGYmC5OVycZkZ3IwOZlcTG4mD5OXycfkZwowBZlCTGGmCFOUKcYUZ0owJZlSTGmmDFOWKceUZyowFZlKTGWmClOVqcZgDM4QDMlQDM0wDMtwDM8IjMhIjMwojMpojM4YjMlYjM04jMt4jM8ETMhEDGAgg5iYqc7UYGoytZjaTB2mLlOPqc80YBoyjZjGTBOmKdOMac60YFoyrZjWTBumLdOOac90YDoynZjOTBemK9ON6c70YHoyvZjeTB+mL9OP6c8MYAYyg5jBzBBmKDOMGc6MYEYyo5jRzBhmLDOOGc9MYCYyk5jJzBRmKjONmc7MYGYys5jZzBxmLjOPmc8sYBYyi5jFzBJmKbOMWc6sYFYyq5jVzBpmLbOOWc9sYDYym5jNzH/MFmYrs43ZzuxgdjK7mN3MHmYvs4/ZzxxgDjKHmMPMEeYoc4w5zpxgTjKnmNPMGeYsc445z1xgLjKXmMvMFeYqc425ztxgbjK3mNvMHeYuc4+5zzxgHjKPmMfME+Yp84x5zrxgXjKvmNfMG+Yt8455z3xgPjKfmM/MF+Yr8435zvxgfjK/mN/MH+Yv849JYBOxidkkbFI2GZucTcGmZFOxqdk0bFo2HZuezcBmZDOxmdksbFY2G5udzcHmZHOxudk8bF42H5ufLcAWZAuxhdkibFG2GFucLcGWZEuxpdkybFm2HFuercBWZCuxldkqbFW2GouxOEuwJEuxNMuwLMuxPCuwIiuxMquwKquxOmuwJmuxNuuwLuuxPhuwIRuxgIUsYmO2OluDrcnWYmuzddi6bD22PtuAbcg2YhuzTdimbDO2OduCbcm2Yluzbdi2bDu2PduB7ch2YjuzXdiubDe2O9uD7cn2Ynuzfdi+bD+2PzuAHcgOYgezQ9ih7DB2ODuCHcmOYkezY9ix7Dh2PDuBnchOYiezU9ip7DR2OjuDncnOYmezc9i57Dx2PruAXcguYhezS9il7DJ2ObuCXcmuYleza9i17Dp2PbuB3chuYjez/7Fb2K3sNnY7u4Pdye5id7N72L3sPnY/e4A9yB5iD7NH2KPsMfY4e4I9yZ5iT7Nn2LPsOfY8e4G9yF5iL7NX2KvsNfY6e4O9yd5ib7N32LvsPfY++4B9yD5iH7NP2KfsM/Y5+4J9yb5iX7Nv2LfsO/Y9+4H9yH5iP7Nf2K/sN/Y7+4P9yf5if7N/2L/sPzaBS8Ql5pJwSblkXHIuBZeSS8Wl5tJwabl0XHouA5eRy8Rl5rJwWblsXHYuB5eTy8Xl5vJwebl8XH6uAFeQK8QV5opwRbliXHGuBFeSK8WV5spwZblyXHmuAleRq8RV5qpwVblqHMbhHMGRHMXRHMOxHMfxnMCJnMTJnMKpnMbpnMGZnMXZnMO5nMf5XMCFXMQBDnKIi7nqXA2uJleLq83V4epy9bj6XAOuIdeIa8w14ZpyzbjmXAuuJdeKa8214dpy7bj2XAeuI9eJ68x14bpy3bjuXA+uJ9eL68314fpy/bj+3ABuIDeIG8wN4YZyw7jh3AhuJDeKG82N4cZy47jx3ARuIjeJm8xN4aZy07jp3AxuJjeLm83N4eZy87j53AJuIbeIW8wt4ZZyy7jl3ApuJbeKW82t4dZy67j13AZuI7eJ28z9x23htnLbuO3cDm4nt4vbze3h9nL7uP3cAe4gd4g7zB3hjnLHuOPcCe4kd4o7zZ3hznLnuPPcBe4id4m7zF3hrnLXuOvcDe4md4u7zd3h7nL3uPvcA+4h94h7zD3hnnLPuOfcC+4l94p7zb3h3nLvuPfcB+4j94n7zH3hvnLfuO/cD+4n94v7zf3h/nL/uAQ+EZ+YT8In5ZPxyfkUfEo+FZ+aT8On5dPx6fkMfEY+E5+Zz8Jn5bPx2fkcfE4+F5+bz8Pn5fPx+fkCfEG+EF+YL8IX5YvxxfkSfEm+FF+aL8OX5cvx5fkKfEW+El+Zr8JX5avxGI/zBE/yFE/zDM/yHM/zAi/yEi/zCq/yGq/zBm/yFm/zDu/yHu/zAR/yEQ94yCM+5qvzNfiafC2+Nl+Hr8vX4+vzDfiGfCO+Md+Eb8o345vzLfiWfCu+Nd+Gb8u349vzHfiOfCe+M9+F78p347vzPfiefC++N9+H78v34/vzA/iB/CB+MD+EH8oP44fzI/iR/Ch+ND+GH8uP48fzE/iJ/CR+Mj+Fn8pP46fzM/iZ/Cx+Nj+Hn8vP4+fzC/iF/CJ+Mb+EX8ov45fzK/iV/Cp+Nb+GX8uv49fzG/iN/CZ+M/8gYQu/ld/Gb+d38Dv5Xfxufg+/l9/H7+cP8Af5Q/xh/gh/lD/GH+dP8Cf5U/xp/gx/lj/Hn+cv8Bf5S/xl/gp/lb/GX+dv8Df5W/xt/g5/l7/H3+cf8A/5R/xj/gn/lH/GP+df8C/5V/xr/g3/ln/Hv+c/8B/5T/xn/gv/lf/Gf+d/8D/5X/xv/g//l//HJwiJhMRCEiGpkExILqQQUgqphNRCGiGtkE5IL2QQMgqZhMxCFiGrkE3ILuQQcgq5hNxCHiGvkE/ILxQQCgqFhMJCEaGoUEwoLpQQSgqlhNJCGaGsUE4oL1QQKgqVhMpCFaGqUE3ABFwgBFKgBFpgBFbgBF4QBFGQBFlQBFXQBF0wBFOwBFtwBFfwBF8IhFCIBCBAAQmxUF2oIdQUagm1hTpCXaGeUF9oIDQUGgmNhSZCU6GZ0FxoIbQUWgmthTZCW6Gd0F7oIHQUOgmdhS5CV6Gb0F3oIfQUegm9hT5CX6Gf0F8YIAwUBgmDhSHCUGGYMFwYIYwURgmjhTHCWGGcMF6YIEwUJgmThSnCVGGaMF2YIcwUZgmzhTnCXGGeMF9YICwUFgmLhSXCUmGZsFxYIawUVgmrhTXCWmGdsF7YIGwUNgmbhf+ELcJWYZuwXdgh7BR2CbuFPcJeYZ+wXzggHBQOCYeFI8JR4ZhwXDghnBROCaeFM8JZ4ZxwXrggXBQuCZeFK8JV4ZpwXbgh3BRuCbeFO8Jd4Z5wX3ggPBQeCY+FJ8JT4ZnwXHghvBReCa+FN8Jb4Z3wXvggfBQ+CZ+FL8JX4ZvwXfgh/BR+Cb+FP8Jf4Z+QICYSE4tJxKRiMjG5mEJMKaYSU4tpxLRiOjG9mEHMKGYSM4tZxKxiNjG7mEPMKeYSc4t5xLxiPjG/WEAsKBYSC4tFxKJiMbG4WEIsKZYSS4tlxLJiObG8WEGsKFYSK4tVxKpiNRETcZEQSZESaZERWZETeVEQRVESZVERVVETddEQTdESbdERXdETfTEQQzESgQhFJMZidbGGWFOsJdYW64h1xXpifbGB2FBsJDYWm4hNxWZic7GF2FJsJbYW24htxXZie7GD2FHsJHYWu4hdxW5id7GH2FPsJfYW+4h9xX5if3GAOFAcJA4Wh4hDxWHicHGEOFIcJY4Wx4hjxXHieHGCOFGcJE4Wp4hTxWnidHGGOFOcJc4W54hzxXnifHGBuFBcJC4Wl4hLxWXicnGFuFJcJa4W14hrxXXienGDuFHcJG4W/xO3iFvFbeJ2cYe4U9wl7hb3iHvFfeJ+8YB4UDwkHhaPiEfFY+Jx8YR4UjwlnhbPiGfFc+J58YJ4UbwkXhaviFfFa+J18YZ4U7wl3hbviHfFe+J98YH4UHwkPhafiE/FZ+Jz8YX4UnwlvhbfiG/Fd+J78YP4Ufwkfha/iF/Fb+J38Yf4U/wl/hb/iH/Ff2KClEhKLCWRkkrJpORSCimllEpKLaWR0krppPRSBimjlEnKLGWRskrZpOxSDimnlEvKLeWR8kr5pPxSAamgVEgqLBWRikrFpOJSCamkVEoqLZWRykrlpPJSBamiVEmqLFWRqkrVJEzCJUIiJUqiJUZiJU7iJUESJUmSJUVSJU3SJUMyJUuyJUdyJU/ypUAKpUgCEpSQFEvVpRpSTamWVFuqI9WV6kn1pQZSQ6mR1FhqIjWVmknNpRZSS6mV1FpqI7WV2kntpQ5SR6mT1FnqInWVukndpR5ST6mX1FvqI/WV+kn9pQHSQGmQNFgaIg2VhknDpRHSSGmUNFoaI42VxknjpQnSRGmSNFmaIk2VpknTpRnSTGmWNFuaI82V5knzpQXSQmmRtFhaIi2VlknLpRXSSmmVtFpaI62V1knrpQ3SRmmTtFn6T9oibZW2SdulHdJOaZe0W9oj7ZX2SfulA9JB6ZB0WDoiHZWOScelE9JJ6ZR0WjojnZXOSeelC9JF6ZJ0WboiXZWuSdelG9JN6ZZ0W7oj3ZXuSfelB9JD6ZH0WHoiPZWeSc+lF9JL6ZX0WnojvZXeSe+lD9JH6ZP0WfoifZW+Sd+lH9JP6Zf0W/oj/ZX+SQlyIjmxnEROKieTk8sp5JRyKjm1nEZOK6eT08sZ5IxyJjmznEXOKmeTs8s55JxyLjm3nEfOK+eT88sF5IJyIbmwXEQuKheTi8sl5JJyKbm0XEYuK5eTy8sV5IpyJbmyXEWuKleTMRmXCZmUKZmWGZmVOZmXBVmUJVmWFVmVNVmXDdmULdmWHdmVPdmXAzmUIxnIUEZyLFeXa8g15VpybbmOXFeuJ9eXG8gN5UZyY7mJ3FRuJjeXW8gt5VZya7mN3FZuJ7eXO8gd5U5yZ7mL3FXuJneXe8g95V5yb7mP3FfuJ/eXB8gD5UHyYHmIPFQeJg+XR8gj5VHyaHmMPFYeJ4+XJ8gT5UnyZHmKPFWeJk+XZ8gz5VnybHmOPFeeJ8+XF8gL5UXyYnmJvFReJi+XV8gr5VXyanmNvFZeJ6+XN8gb5U3yZvk/eYu8Vd4mb5d3yDvlXfJueY+8V94n75cPyAflQ/Jh+Yh8VD4mH5dPyCflU/Jp+Yx8Vj4nn5cvyBflS/Jl+Yp8Vb4mX5dvyDflW/Jt+Y58V74n35cfyA/lR/Jj+Yn8VH4mP5dfyC/lV/Jr+Y38Vn4nv5c/yB/lT/Jn+Yv8Vf4mf5d/yD/lX/Jv+Y/8V/4nJyiJlMRKEiWpkkxJrqRQUiqplNRKGiWtkk5Jr2RQMiqZlMxKFiWrkk3JruRQciq5lNxKHiWvkk/JrxRQCiqFlMJKEaWoUkwprpRQSiqllNJKGaWsUk4pr1RQKiqVlMpKFaWqUk3BFFwhFFKhFFphFFbhFF4RFFGRFFlRFFXRFF1JkZCQYCm24iiu4im+EiihEilAgQpSYqW6UkOpqdRSait1lLpKPaW+0kBpqDRSGitNlKZKM6W50kJpqbRSWittlLZKO6W90kHpqHRSOitdlK5KN6W70kPpqfRSeit9lL5KP6W/MkAZqAxSBitDlKHKMGW4MkIZqYxSRitjlLHKOGW8MkGZqExSJitTlKnKNGW6MkOZqcxSZitzlLnKPGW+skBZqCxSFitLlKXKMmW5skJZqaxSVitrlLXKOmW9skHZqGxSNiv/KVuUrco2ZbuyQ9mp7FJ2K3uUvco+Zb9yQDmoHFIOK0eUo8ox5bhyQjmpnFJOK2eUs8o55bxyQbmoXFIuK1eUq8o15bpyQ7mp3FJuK3eUu8o95b7yQHmoPFIeK0+Up8oz5bnyQnmpvFJeK2+Ut8o75b3yQfmofFI+K1+Ur8o35bvyQ/mp/FJ+K3+Uv8o/JUFNpCZWk6hJ1WRqcjWFmlJNpaZW06hp1XRqejWDmlHNpGZWs6hZ1WxqdjWHmlPNpeZW86h51XxqfrWAWlAtpBZWi6hF1WJqcbWEWlItpZZWy6hl1XJqebWCWlGtpFZWq6hV1WoqpuIqoZIqpdIqo7Iqp/KqoIqqpMqqoqqqpuqqoZqqpdqqo7qqp/pqoIZqpAIVqkiN1epqDbWmWkutrdZR66r11PpqA7Wh2khtrDZRm6rN1OZqC7Wl2kptrbZR26rt1PZqB7Wj2kntrHZRu6rd1O5qD7Wn2kvtrfZR+6r91P7qAHWgOkgdrA5Rh6rD1OHqCHWkOkodrY5Rx6rj1PHqBHWiOkmdrE5Rp6rT1OnqDHWmOkudrc5R56rz1PnqAnWhukhdrC5Rl6rL1OXqCnWlukpdra5R16rr1PXqBnWjukndrP6nblG3qtvU7eoOdae6S92t7lH3qvvU/eoB9aB6SD2sHlGPqsfU4+oJ9aR6Sj2tnlHPqufU8+oF9aJ6Sb2sXlGvqtfU6+oN9aZ6S72t3lHvqvfU++oD9aH6SH2sPlGfqs/U5+oL9aX6Sn2tvlHfqu/U9+oH9aP6Sf2sflG/qt/U7+oP9af6S/2t/lH/qv/UBC2RllhLoiXVkmnJtRRaSi2VllpLo6XV0mnptQxaRi2TllnLomXVsmnZtRxaTi2XllvLo+XV8mn5tQJaQa2QVlgrohXVimnFtRJaSa2UVloro5XVymnltQpaRa2SVlmrolXVqmmYhmuERmqURmuMxmqcxmuCJmqSJmuKpmqapmuGZmqWZmuO5mqe5muBFmqRBjSoIS3Wqms1tJpaLa22Vkerq9XT6msNtIZaI62x1kRrqjXTmmsttJZaK6211kZrq7XT2msdtI5aJ62z1kXrqnXTums9tJ5aL6231kfrq/XT+msDtIHaIG2wNkQbqg3ThmsjtJHaKG20NkYbq43TxmsTtInaJG2yNkWbqk3TpmsztJnaLG22Nkebq83T5msLtIXaIm2xtkRbqi3TlmsrtJXaKm21tkZbq63T1msbtI3aJm2z9p+2RduqbdO2azu0ndoubbe2R9ur7dP2awe0g9oh7bB2RDuqHdOOaye0k9op7bR2RjurndPOaxe0i9ol7bJ2RbuqXdOuaze0m9ot7bZ2R7ur3dPuaw+0h9oj7bH2RHuqPdOeay+0l9or7bX2RnurvdPeax+0j9on7bP2RfuqfdO+az+0n9ov7bf2R/ur/dMS9ER6Yj2JnlRPpifXU+gp9VR6aj2NnlZPp6fXM+gZ9Ux6Zj2LnlXPpmfXc+g59Vx6bj2PnlfPp+fXC+gF9UJ6Yb2IXlQvphfXS+gl9VJ6ab2MXlYvp5fXK+gV9Up6Zb2KXlWvpmM6rhM6qVM6rTM6q3M6rwu6qEu6rCu6qmu6rhu6qVu6rTu6q3u6rwd6qEc60KGO9FivrtfQa+q19Np6Hb2uXk+vrzfQG+qN9MZ6E72p3kxvrrfQW+qt9NZ6G72t3k5vr3fQO+qd9M56F72r3k3vrvfQe+q99N56H72v3k/vrw/QB+qD9MH6EH2oPkwfro/QR+qj9NH6GH2sPk4fr0/QJ+qT9Mn6FH2qPk2frs/QZ+qz9Nn6HH2uPk+fry/QF+qL9MX6En2pvkxfrq/QV+qr9NX6Gn2tvk5fr2/QN+qb9M36f/oWfau+Td+u79B36rv03foefa++T9+vH9AP6of0w/oR/ah+TD+un9BP6qf00/oZ/ax+Tj+vX9Av6pf0y/oV/ap+Tb+u39Bv6rf02/od/a5+T7+vP9Af6o/0x/oT/an+TH+uv9Bf6q/01/ob/a3+Tn+vf9A/6p/0z/oX/av+Tf+u/9B/6r/03/of/a/+T08wEhmJjSRGUiOZkdxIYaQ0UhmpjTRGWiOdkd7IYGQ0MhmZjSxGViObkd3IYeQ0chm5jTxGXiOfkd8oYBQ0ChmFjSJGUaOYUdwoYZQ0ShmljTJGWaOcUd6oYFQ0KhmVjSpGVaOagRm4QRikQRm0wRiswRm8IRiiIRmyoRiqoRm6YRimYRm24Riu4Rm+ERihERnAgAYyYqO6UcOoadQyaht1jLpGPaO+0cBoaDQyGhtNjKZGM6O50cJoabQyWhttjLZGO6O90cHoaHQyOhtdjK5GN6O70cPoafQyeht9jL5GP6O/McAYaAwyBhtDjKHGMGO4McIYaYwyRhtjjLHGOGO8McGYaEwyJhtTjKnGNGO6McOYacwyZhtzjLnGPGO+scBYaCwyFhtLjKXGMmO5scJYaawyVhtrjLXGOmO9scHYaGwyNhv/GVuMrcY2Y7uxw9hp7DJ2G3uMvcY+Y79xwDhoHDIOG0eMo8Yx47hxwjhpnDJOG2eMs8Y547xxwbhoXDIuG1eMq8Y147pxw7hp3DJuG3eMu8Y9477xwHhoPDIeG0+Mp8Yz47nxwnhpvDJeG2+Mt8Y7473xwfhofDI+G1+Mr8Y347vxw/hp/DJ+G3+Mv8Y/I8FMZCY2k5hJzWRmcjOFmdJMZaY205hpzXRmejODmdHMZGY2s5hZzWxmdjOHmdPMZeY285h5zXxmfrOAWdAsZBY2i5hFzWJmcbOEWdIsZZY2y5hlzXJmebOCWdGsZFY2q5hVzWomZuImYZImZdImY7ImZ/KmYIqmZMqmYqqmZuqmYZqmZdqmY7qmZ/pmYIZmZAITmsiMzepmDbOmWcusbdYx65r1zPpmA7Oh2chsbDYxm5rNzOZmC7Ol2cpsbbYx25rtzPZmB7Oj2cnsbHYxu5rdzO5mD7On2cvsbfYx+5r9zP7mAHOgOcgcbA4xh5rDzOHmCHOkOcocbY4xx5rjzPHmBHOiOcmcbE4xp5rTzOnmDHOmOcucbc4x55rzzPnmAnOhuchcbC4xl5rLzOXmCnOlucpcba4x15rrzPXmBnOjucncbP5nbjG3mtvM7eYOc6e5y9xt7jH3mvvM/eYB86B5yDxsHjGPmsfM4+YJ86R5yjxtnjHPmufM8+YF86J5ybxsXjGvmtfM6+YN86Z5y7xt3jHvmvfM++YD86H5yHxsPjGfms/M5+YL86X5ynxtvjHfmu/M9+YH86P5yfxsfjG/mt/M7+YP86f5y/xt/jH/mv/MBCuRldhKYiW1klnJrRRWSiuVldpKY6W10lnprQxWRiuTldnKYmW1slnZrRxWTiuXldvKY+W18ln5rQJWQauQVdgqYhW1ilnFrRJWSauUVdoqY5W1ylnlrQpWRauSVdmqYlW1qlmYhVuERVqURVuMxVqcxVuCJVqSJVuKpVqapVuGZVqWZVuO5Vqe5VuBFVqRBSxoISu2qls1rJpWLau2Vceqa9Wz6lsNrIZWI6ux1cRqajWzmlstrJZWK6u11cZqa7Wz2lsdrI5WJ6uz1cXqanWzuls9rJ5WL6u31cfqa/Wz+lsDrIHWIGuwNcQaag2zhlsjrJHWKGu0NcYaa42zxlsTrInWJGuyNcWaak2zplszrJnWLGu2Nceaa82z5lsLrIXWImuxtcRaai2zllsrrJXWKmu1tcZaa62z1lsbrI3WJmuz9Z+1xdpqbbO2WzusndYua7e1x9pr7bP2Wwesg9Yh67B1xDpqHbOOWyesk9Yp67R1xjprnbPOWxesi9Yl67J1xbpqXbOuWzesm9Yt67Z1x7pr3bPuWw+sh9Yj67H1xHpqPbOeWy+sl9Yr67X1xnprvbPeWx+sj9Yn67P1xfpqfbO+Wz+sn9Yv67f1x/pr/bMS7ER2YjuJndROZie3U9gp7VR2ajuNndZOZ6e3M9gZ7Ux2ZjuLndXOZme3c9g57Vx2bjuPndfOZ+e3C9gF7UJ2YbuIXdQuZhe3S9gl7VJ2abuMXdYuZ5e3K9gV7Up2ZbuKXdWuZmM2bhM2aVM2bTM2a3M2bwu2aEu2bCu2amu2bhu2aVu2bTu2a3u2bwd2aEc2sKGN7Niubtewa9q17Np2HbuuXc+ubzewG9qN7MZ2E7up3cxubrewW9qt7NZ2G7ut3c5ub3ewO9qd7M52F7ur3c3ubvewe9q97N52H7uv3c/ubw+wB9qD7MH2EHuoPcwebo+wR9qj7NH2GHusPc4eb0+wJ9qT7Mn2FHuqPc2ebs+wZ9qz7Nn2HHuuPc+eby+wF9qL7MX2Enupvcxebq+wV9qr7NX2Gnutvc5eb2+wN9qb7M32f/YWe6u9zd5u77B32rvs3fYee6+9z95vH7AP2ofsw/YR+6h9zD5un7BP2qfs0/YZ+6x9zj5vX7Av2pfsy/YV+6p9zb5u37Bv2rfs2/Yd+659z75vP7Af2o/sx/YT+6n9zH5uv7Bf2q/s1/Yb+639zn5vf7A/2p/sz/YX+6v9zf5u/7B/2r/s3/Yf+6/9z05wEjmJnSROUieZk9xJ4aR0UjmpnTROWiedk97J4GR0MjmZnSxOViebk93J4eR0cjm5nTxOXiefk98p4BR0CjmFnSJOUaeYU9wp4ZR0SjmlnTJOWaecU96p4FR0KjmVnSpOVaeagzm4QzikQzm0wziswzm8IziiIzmyoziqozm6YzimYzm24ziu4zm+EzihEznAgQ5yYqe6U8Op6dRyajt1nLpOPae+08Bp6DRyGjtNnKZOM6e508Jp6bRyWjttnLZOO6e908Hp6HRyOjtdnK5ON6e708Pp6fRyejt9nL5OP6e/M8AZ6AxyBjtDnKHOMGe4M8IZ6YxyRjtjnLHOOGe8M8GZ6ExyJjtTnKnONGe6M8OZ6cxyZjtznLnOPGe+s8BZ6CxyFjtLnKXOMme5s8JZ6axyVjtrnLXOOme9s8HZ6GxyNjv/OVucrc42Z7uzw9np7HJ2O3ucvc4+Z79zwDnoHHIOO0eco84x57hzwjnpnHJOO2ecs84557xzwbnoXHIuO1ecq84157pzw7np3HJuO3ecu849577zwHnoPHIeO0+cp84z57nzwnnpvHJeO2+ct847573zwfnofHI+O1+cr84357vzw/np/HJ+O3+cv84/J8FN5CZ2k7hJ3WRucjeFm9JN5aZ207hp3XRuejeDm9HN5GZ2s7hZ3WxudjeHm9PN5eZ287h53XxufreAW9At5BZ2i7hF3WJucbeEW9It5ZZ2y7hl3XJuebeCW9Gt5FZ2q7hV3Wou5uIu4ZIu5dIu47Iu5/Ku4Iqu5Mqu4qqu5uqu4Zqu5dqu47qu5/pu4IZu5AIXusiN3epuDbemW8ut7dZx67r13PpuA7eh28ht7DZxm7rN3OZuC7el28pt7bZx27rt3PZuB7ej28nt7HZxu7rd3O5uD7en28vt7fZx+7r93P7uAHegO8gd7A5xh7rD3OHuCHekO8od7Y5xx7rj3PHuBHeiO8md7E5xp7rT3OnuDHemO8ud7c5x57rz3PnuAnehu8hd7C5xl7rL3OXuCnelu8pd7a5x17rr3PXuBneju8nd7P7nbnG3utvc7e4Od6e7y93t7nH3uvvc/e4B96B7yD3sHnGPusfc4+4J96R7yj3tnnHPuufc8+4F96J7yb3sXnGvutfc6+4N96Z7y73t3nHvuvfc++4D96H7yH3sPnGfus/c5+4L96X7yn3tvnHfuu/c9+4H96P7yf3sfnG/ut/c7+4P96f7y/3t/nH/uv/cBC+Rl9hL4iX1knnJvRReSi+Vl9pL46X10nnpvQxeRi+Tl9nL4mX1snnZvRxeTi+Xl9vL4+X18nn5vQJeQa+QV9gr4hX1innFvRJeSa+UV9or45X1ynnlvQpeRa+SV9mr4lX1qnmYh3uER3qUR3uMx3qcx3uCJ3qSJ3uKp3qap3uGZ3qWZ3uO53qe53uBF3qRBzzoIS/2qns1vJpeLa+2V8er69Xz6nsNvIZeI6+x18Rr6jXzmnstvJZeK6+118Zr67Xz2nsdvI5eJ6+z18Xr6nXzuns9vJ5eL6+318fr6/Xz+nsDvIHeIG+wN8Qb6g3zhnsjvJHeKG+0N8Yb643zxnsTvIneJG+yN8Wb6k3zpnszvJneLG+2N8eb683z5nsLvIXeIm+xt8Rb6i3zlnsrvJXeKm+1t8Zb663z1nsbvI3eJm+z95+3xdvqbfO2ezu8nd4ub7e3x9vr7fP2ewe8g94h77B3xDvqHfOOeye8k94p77R3xjvrnfPOexe8i94l77J3xbvqXfOueze8m94t77Z3x7vr3fPuew+8h94j77H3xHvqPfOeey+8l94r77X3xnvrvfPeex+8j94n77P3xfvqffO+ez+8n94v77f3x/vr/fMS/ER+Yj+Jn9RP5if3U/gp/VR+aj+Nn9ZP56f3M/gZ/Ux+Zj+Ln9XP5mf3c/g5/Vx+bj+Pn9fP5+f3C/gF/UJ+Yb+IX9Qv5hf3S/gl/VJ+ab+MX9Yv55f3K/gV/Up+Zb+KX9Wv5mM+7hM+6VM+7TM+63M+7wu+6Eu+7Cu+6mu+7hu+6Vu+7Tu+63u+7wd+6Ec+8KGP/Niv7tfwa/q1/Np+Hb+uX8+v7zfwG/qN/MZ+E7+p38xv7rfwW/qt/NZ+G7+t385v73fwO/qd/M5+F7+r383v7vfwe/q9/N5+H7+v38/v7w/wB/qD/MH+EH+oP8wf7o/wR/qj/NH+GH+sP84f70/wJ/qT/Mn+FH+qP82f7s/wZ/qz/Nn+HH+uP8+f7y/wF/qL/MX+En+pv8xf7q/wV/qr/NX+Gn+tv85f72/wN/qb/M3+f/4Wf6u/zd/u7/B3+rv83f4ef6+/z9/vH/AP+of8w/4R/6h/zD/un/BP+qf80/4Z/6x/zj/vX/Av+pf8y/4V/6p/zb/u3/Bv+rf82/4d/65/z7/vP/Af+o/8x/4T/6n/zH/uv/Bf+q/81/4b/63/zn/vf/A/+p/8z/4X/6v/zf/u//B/+r/83/4f/6//z08IEgWJgyRB0iBZkDxIEaQMUgWpgzRB2iBdkD7IEGQMMgWZgyxB1iBbkD3IEeQMcgW5gzxB3iBfkD8oEBQMCgWFgyJB0aBYUDwoEZQMSgWlgzJB2aBcUD6oEFQMKgWVgypB1aBagAV4QARkQAV0wARswAV8IARiIAVyoARqoAV6YARmYAV24ARu4AV+EARhEAUggAEK4qB6UCOoGdQKagd1grpBvaB+0CBoGDQKGgdNgqZBs6B50CJoGbQKWgdtgrZBu6B90CHoGHQKOgddgq5Bt6B70CPoGfQKegd9gr5Bv6B/MCAYGAwKBgdDgqHBsGB4MCIYGYwKRgdjgrHBuGB8MCGYGEwKJgdTgqnBtGB6MCOYGcwKZgdzgrnBvGB+sCBYGCwKFgdLgqXBsmB5sCJYGawKVgdrgrXBumB9sCHYGGwKNgf/BVuCrcG2YHuwI9gZ7Ap2B3uCvcG+YH9wIDgYHAoOB0eCo8Gx4HhwIjgZnApOB2eCs8G54HxwIbgYXAouB1eCq8G14HpwI7gZ3ApuB3eCu8G94H7wIHgYPAoeB0+Cp8Gz4HnwIngZvApeB2+Ct8G74H3wIfgYfAo+B1+Cr8G34HvwI/gZ/Ap+B3+Cv8G/ICFMFCYOk4RJw2Rh8jBFmDJMFaYO04Rpw3Rh+jBDmDHMFGYOs4RZw2xh9jBHmDPMFeYO84R5w3xh/rBAWDAsFBYOi4RFw2Jh8bBEWDIsFZYOy4Rlw3Jh+bBCWDGsFFYOq4RVw2ohFuIhEZIhFdIhE7IhF/KhEIqhFMqhEqqhFuqhEZqhFdqhE7qhF/phEIZhFIIQhiiMw+phjbBmWCusHdYJ64b1wvphg7Bh2ChsHDYJm4bNwuZhi7Bl2CpsHbYJ24btwvZhh7Bj2CnsHHYJu4bdwu5hj7Bn2CvsHfYJ+4b9wv7hgHBgOCgcHA4Jh4bDwuHhiHBkOCocHY4Jx4bjwvHhhHBiOCmcHE4Jp4bTwunhjHBmOCucHc4J54bzwvnhgnBhuChcHC4Jl4bLwuXhinBluCpcHa4J14brwvXhhnBjuCncHP4Xbgm3htvC7eGOcGe4K9wd7gn3hvvC/eGB8GB4KDwcHgmPhsfC4+GJ8GR4KjwdngnPhufC8+GF8GJ4KbwcXgmvhtfC6+GN8GZ4K7wd3gnvhvfC++GD8GH4KHwcPgmfhs/C5+GL8GX4Knwdvgnfhu/C9+GH8GP4Kfwcfgm/ht/C7+GP8Gf4K/wd/gn/hv/ChChRlDhKEiWNkkXJoxRRyihVlDpKE6WN0kXpowxRxihTlDnKEmWNskXZoxxRzihXlDvKE+WN8kX5owJRwahQVDgqEhWNikXFoxJRyahUVDoqE5WNykXlowpRxahSVDmqElWNqkVYhEdEREZUREdMxEZcxEdCJEZSJEdKpEZapEdGZEZWZEdO5EZe5EdBFEZRBCIYoSiOqkc1oppRrah2VCeqG9WL6kcNooZRo6hx1CRqGjWLmkctopZRq6h11CZqG7WL2kcdoo5Rp6hz1CXqGnWLukc9op5Rr6h31CfqG/WL+kcDooHRoGhwNCQaGg2LhkcjopHRqGh0NCYaG42LxkcToonRpGhyNCWaGk2LpkczopnRrGh2NCeaG82L5kcLooXRomhxtCRaGi2LlkcropXRqmh1tCZaG62L1kcboo3Rpmhz9F+0JdoabYu2RzuindGuaHe0J9ob7Yv2Rweig9Gh6HB0JDoaHYuORyeik9Gp6HR0JjobnYvORxeii9Gl6HJ0JboaXYuuRzeim9Gt6HZ0J7ob3YvuRw+ih9Gj6HH0JHoaPYueRy+il9Gr6HX0JnobvYveRx+ij9Gn6HP0JfoafYu+Rz+in9Gv6Hf0J/ob/YsSQCKQGCQBSUEykBykAClBKpAapAFpQTqQHmQAGUEmkBlkAVlBNpAd5AA5QS6QG+QBeUE+kB8UAAVBIVAYFAFFQTFQHJQAJUEpUBqUAWVBOVAeVAAVQSVQGVQBVUE1gAEcEIAEFKABA1jAAR4IQAQSkIECVKABHRjABBawgQNc4AEfBCAEEQAAAgRiUB3UADVBLVAb1AF1QT1QHzQADUEj0Bg0AU1BM9ActAAtQSvQGrQBbUE70B50AB1BJ9AZdAFdQTfQHfQAPUEv0Bv0AX1BP9AfDAADwSAwGAwBQ8EwMByMACPBKDAajAFjwTgwHkwAE8EkMBlMAVPBNDAdzAAzwSwwG8wBc8E8MB8sAAvBIrAYLAFLwTKwHKwAK8EqsBqsAWvBOrAebAAbwSawGfwHtoCtYBvYDnaAnWAX2A32gL1gH9gPDoCD4BA4DI6Ao+AYOA5OgJPgFDgNzoCz4Bw4Dy6Ai+ASuAyugKvgGrgOboCb4Ba4De6Au+AeuA8egIfgEXgMnoCn4Bl4Dl6Al+AVeA3egLfgHXgPPoCP4BP4DL6Ar+Ab+A5+gJ/gF/gN/oC/4B9IgIlgYpgEJoXJYHKYAqaEqWBqmAamhelgepgBZoSZYGaYBWaF2WB2mAPmhLlgbpgH5oX5YH5YABaEhWBhWAQWhcVgcVgCloSlYGlYBpaF5WB5WAFWhJVgZVgFVoXVIAZxSEASUpCGDGQhB3koQBFKUIYKVKEGdWhAE1rQhg50oQd9GMAQRhBACBGMYXVYA9aEtWBtWAfWhfVgfdgANoSNYGPYBDaFzWBz2AK2hK1ga9gGtoXtYHvYAXaEnWBn2AV2hd1gd9gD9oS9YG/YB/aF/WB/OAAOhIPgYDgEDoXD4HA4Ao6Eo+BoOAaOhePgeDgBToST4GQ4BU6F0+B0OAPOhLPgbDgHzoXz4Hy4AC6Ei+BiuAQuhcvgcrgCroSr4Gq4Bq6F6+B6uAFuhJvgZvgf3AK3wm1wO9wBd8JdcDfcA/fCfXA/PAAPwkPwMDwCj8Jj8Dg8AU/CU/A0PAPPwnPwPLwAL8JL8DK8Aq/Ca/A6vAFvwlvwNrwD78J78D58AB/CR/AxfAKfwmfwOXwBX8JX8DV8A9/Cd/A9/AA/wk/wM/wCv8Jv8Dv8AX/CX/A3/AP/wn8wASVCiVESlBQlQ8lRCpQSpUKpURqUFqVD6VEGlBFlQplRFpQVZUPZUQ6UE+VCuVEelBflQ/lRAVQQFUKFURFUFBVDxVEJVBKVQqVRGVQWlUPlUQVUEVVClVEVVBVVQxjCEYFIRCEaMYhFHOKRgEQkIRkpSEUa0pGBTGQhGznIRR7yUYBCFCGAIEIoRtVRDVQT1UK1UR1UF9VD9VED1BA1Qo1RE9QUNUPNUQvUErVCrVEb1Ba1Q+1RB9QRdUKdURfUFXVD3VEP1BP1Qr1RH9QX9UP90QA0EA1Cg9EQNBQNQ8PRCDQSjUKj0Rg0Fo1D49EENBFNQpPRFDQVTUPT0Qw0E81Cs9EcNBfNQ/PRArQQLUKL0RK0FC1Dy9EKtBKtQqvRGrQWrUPr0Qa0EW1Cm9F/aAvairah7WgH2ol2od1oD9qL9qH96AA6iA6hw+gIOoqOoePoBDqJTqHT6Aw6i86h8+gCuoguocvoCrqKrqHr6Aa6iW6h2+gOuovuofvoAXqIHqHH6Al6ip6h5+gFeoleodfoDXqL3qH36AP6iD6hz+gL+oq+oe/oB/qJfqHf6A/6i/6hhDhRnDhOEieNk8XJ4xRxyjhVnDpOE6eN08Xp4wxxxjhTnDnOEmeNs8XZ4xxxzjhXnDvOE+eN88X54wJxwbhQXDguEheNi8XF4xJxybhUXDouE5eNy8Xl4wpxxbhSXDmuEleNq8VYjMdETMZUTMdMzMZczMdCLMZSLMdKrMZarMdGbMZWbMdO7MZe7MdBHMZRDGIYoziOq8c14ppxrbh2XCeuG9eL68cN4oZxo7hx3OR/AuABAIgYAABgtm3btm33tq1tn23btm3btm3bPEzFNEzHDMzELMzGHMzFPMzHAizEIgxgEENYjHXDumM9sJ5YL6w31gfri/XD+mMDsIHYIGwwNgQbig3DhmMjsJHYKGw0NgYbi43DxmMTsInYJGwyNgWbik3DpmMzsJnYLGw2Ngebi83D5mMLsIXYImwxtgRbii3DlmMrsJXYKmw1tgZbi63D1mMbsI3YJmwztgXbim3DtmM7sJ3YLmw3tgfbi+3D9mMHsIPYIewwdgQ7ih3DjmMnsJPYKew0dgY7i53DzmMXsIvYJewydgW7il3DrmM3sJvYLew2dge7i93D7mMPsIfYI+wx9gR7ij3DnmMvsJfYK+w19gZ7i73D3mMfsI/YJ+wz9gX7in3DvmM/sJ/YL+w39gf7i/3DEuAJ8UR4YjwJnhRPhifHU+Ap8VR4ajwNnhZPh6fHM+AZ8Ux4ZjwLnhXPhmfHc+A58Vx4bjwPnhfPh+fHC+AF8UJ4YbwIXhQvhhfHS+Al8VJ4abwMXhYvh5fHK+AV8Up4ZbwKXhWvhlfHa+A18Vp4bbwOXhevh9fHG+AN8UZ4Y7wJ3hRvhjfHW+At8VZ4a7wN3hZvh7fHO+Ad8U54Z7wL3hXHcBwncBKncBpncBbncB4XcBGXcBlXcBXXcB03cBO3cBt3cBf3cB8P8BCPcIBDHOEx3g3vjvfAe+K98N54H7wv3g/vjw/AB+KD8MH4EHwoPgwfjo/AR+Kj8NH4GHwsPg4fj0/AJ+KT8Mn4FHwqPg2fjs/AZ+Kz8Nn4HHwuPg+fjy/AF+KL8MX4Enwpvgxfjq/AV+Kr8NX4Gnwtvg5fj2/AN+Kb8M34Fnwrvg3fju/Ad+K78N34Hnwvvg/fjx/AD+KH8MP4Efwofgw/jp/AT+Kn8NP4Gfwsfg4/j1/AL+KX8Mv4Ffwqfg2/jt/Ab+K38Nv4Hfwufg+/jz/AH+KP8Mf4E/wp/gx/jr/AX+Kv8Nf4G/wt/g5/j3/AP+Kf8M/4F/wr/g3/jv/Af+K/8N/4H/wv/g9PQCQkEhGJiSREUiIZkZxIQaQkUhGpiTREWiIdkZ7IQGQkMhGZiSxEViIbkZ3IQeQkchG5iTxEXiIfkZ8oQBQkChGFiSJEUaIYUZwoQZQkShGliTJEWaIcUZ6oQFQkKhGViSpEVaIaUZ2oQdQkahG1iTpEXaIeUZ9oQDQkGhGNiSZEU6IZ0ZxoQbQkWhGtiTZEW6Id0Z7oQHQkOhGdiS5EVwIjcIIgSIIiaIIhWIIjeEIgREIiZEIhVEIjdMIgTMIibMIhXMIjfCIgQiIiAAEJRMREN6I70YPoSfQiehN9iL5EP6I/MYAYSAwiBhNDiKHEMGI4MYIYSYwiRhNjiLHEOGI8MYGYSEwiJhNTiKnENGI6MYOYScwiZhNziLnEPGI+sYBYSCwiFhNLiKXEMmI5sYJYSawiVhNriLXEOmI9sYHYSGwiNhNbiK3ENmI7sYPYSewidhN7iL3EPmI/cYA4SBwiDhNHiKPEMeI4cYI4SZwiThNniLPEOeI8cYG4SFwiLhNXiKvENeI6cYO4SdwibhN3iLvEPeI+8YB4SDwiHhNPiKfEM+I58YJ4SbwiXhNviLfEO+I98YH4SHwiPhNfiK/EN+I78YP4SfwifhN/iL/EPyIBmZBMRCYmk5BJyWRkcjIFmZJMRaYm05BpyXRkejIDmZHMRGYms5BZyWxkdjIHmZPMReYm85B5yXxkfrIAWZAsRBYmi5BFyWJkcbIEWZIsRZYmy5BlyXJkebICWZGsRFYmq5BVyWpkdbIGWZOsRdYm65B1yXpkfbIB2ZBsRDYmm5BNyWZkc7IF2ZJsRbYm25BtyXZke7ID2ZHsRHYmu5BdSYzESYIkSYqkSYZkSY7kSYEUSYmUSYVUSY3USYM0SYu0SYd0SY/0yYAMyYgEJCQRGZPdyO5kD7In2YvsTfYh+5L9yP7kAHIgOYgcTA4hh5LDyOHkCHIkOYocTY4hx5LjyPHkBHIiOYmcTE4hp5LTyOnkDHImOYucTc4h55LzyPnkAnIhuYhcTC4hl5LLyOXkCnIluYpcTa4h15LryPXkBnIjuYncTG4ht5LbyO3kDnInuYvcTe4h95L7yP3kAfIgeYg8TB4hj5LHyOPkCfIkeYo8TZ4hz5LnyPPkBfIieYm8TF4hr5LXyOvkDfImeYu8Td4h75L3yPvkA/Ih+Yh8TD4hn5LPyOfkC/Il+Yp8Tb4h35LvyPfkB/Ij+Yn8TH4hv5LfyO/kD/In+Yv8Tf4h/5L/yARUQioRlZhKQiWlklHJqRRUSioVlZpKQ6Wl0lHpqQxURioTlZnKQmWlslHZqRxUTioXlZvKQ+Wl8lH5qQJUQaoQVZgqQhWlilHFqRJUSaoUVZoqQ5WlylHlqQpURaoSVZmqQlWlqlHVqRpUTaoWVZuqQ9Wl6lH1qQZUQ6oR1ZhqQjWlmlHNqRZUS6oV1ZpqQ7Wl2lHtqQ5UR6oT1ZnqQnWlMAqnCIqkKIqmGIqlOIqnBEqkJEqmFEqlNEqnDMqkLMqmHMqlPMqnAiqkIgpQkEJUTHWjulM9qJ5UL6o31YfqS/Wj+lMDqIHUIGowNYQaSg2jhlMjqJHUKGo0NYYaS42jxlMTqInUJGoyNYWaSk2jplMzqJnULGo2NYeaS82j5lMLqIXUImoxtYRaSi2jllMrqJXUKmo1tYZaS62j1lMbqI3UJmoztYXaSm2jtlM7qJ3ULmo3tYfaS+2j9lMHqIPUIeowdYQ6Sh2jjlMnqJPUKeo0dYY6S52jzlMXqIvUJeoydYW6Sl2jrlM3qJvULeo2dYe6S92j7lMPqIfUI+ox9YR6Sj2jnlMvqJfUK+o19YZ6S72j3lMfqI/UJ+oz9YX6Sn2jvlM/qJ/UL+o39Yf6S/2jEtAJ6UR0YjoJnZRORienU9Ap6VR0ajoNnZZOR6enM9AZ6Ux0ZjoLnZXORmenc9A56Vx0bjoPnZfOR+enC9AF6UJ0YboIXZQuRhenS9Al6VJ0aboMXZYuR5enK9AV6Up0ZboKXZWuRlena9A16Vp0bboOXZeuR9enG9AN6UZ0Y7oJ3ZRuRjenW9At6VZ0a7oN3ZZuR7enO9Ad6U50Z7oL3ZXGaJwmaJKmaJpmaJbmaJ4WaJGWaJlWaJXWaJ02aJO2aJt2aJf2aJ8O6JCOaEBDGtEx3Y3uTvege9K96N50H7ov3Y/uTw+gB9KD6MH0EHooPYweTo+gR9Kj6NH0GHosPY4eT0+gJ9KT6Mn0FHoqPY2eTs+gZ9Kz6Nn0HHouPY+eTy+gF9KL6MX0EnopvYxeTq+gV9Kr6NX0GnotvY5eT2+gN9Kb6M30FnorvY3eTu+gd9K76N30HnovvY/eTx+gD9KH6MP0EfoofYw+Tp+gT9Kn6NP0GfosfY4+T1+gL9KX6Mv0FfoqfY2+Tt+gb9K36Nv0HfoufY++Tz+gH9KP6Mf0E/op/Yx+Tr+gX9Kv6Nf0G/ot/Y5+T3+gP9Kf6M/0F/or/Y3+Tv+gf9K/6N/0H/ov/Y9OwCRkEjGJmSRMUiYZk5xJwaRkUjGpmTRMWiYdk57JwGRkMjGZmSxMViYbk53JweRkcjG5mTxMXiYfk58pwBRkCjGFmSJMUaYYU5wpwZRkSjGlmTJMWaYcU56pwFRkKjGVmSpMVaYaU52pwdRkajG1mTpMXaYeU59pwDRkGjGNmSZMU6YZ05xpwbRkWjGtmTZMW6Yd057pwHRkOjGdmS5MVwZjcIZgSIZiaIZhWIZjeEZgREZiZEZhVEZjdMZgTMZibMZhXMZjfCZgQiZiAAMZxMRMN6Y704PpyfRiejN9mL5MP6Y/M4AZyAxiBjNDmKHMMGY4M4IZyYxiRjNjmLHMOGY8M4GZyExiJjNTmKnMNGY6M4OZycxiZjNzmLnMPGY+s4BZyCxiFjNLmKXMMmY5s4JZyaxiVjNrmLXMOmY9s4HZyGxiNjNbmK3MNmY7s4PZyexidjN7mL3MPmY/c4A5yBxiDjNHmKPMMeY4c4I5yZxiTjNnmLPMOeY8c4G5yFxiLjNXmKvMNeY6c4O5ydxibjN3mLvMPeY+84B5yDxiHjNPmKfMM+Y584J5ybxiXjNvmLfMO+Y984H5yHxiPjNfmK/MN+Y784P5yfxifjN/mL/MPyYBm5BNxCZmk7BJ2WRscjYFm5JNxaZm07Bp2XRsejYDm5HNxGZms7BZ2WxsdjYHm5PNxeZm87B52XxsfrYAW5AtxBZmi7BF2WJscbYEW5ItxZZmy7Bl2XJsebYCW5GtxFZmq7BV2WpsdbYGW5OtxdZm67B12XpsfbYB25BtxDZmm7BN2WZsc7YF25JtxbZm27Bt2XZse7YD25HtxHZmu7BdWYzFWYIlWYqlWYZlWY7lWYEVWYmVWYVVWY3VWYM1WYu1WYd1WY/12YAN2YgFLGQRG7Pd2O5sD7Yn24vtzfZh+7L92P7sAHYgO4gdzA5hh7LD2OHsCHYkO4odzY5hx7Lj2PHsBHYiO4mdzE5hp7LT2OnsDHYmO4udzc5h57Lz2PnsAnYhu4hdzC5hl7LL2OXsCnYlu4pdza5h17Lr2PXsBnYju4ndzG5ht7Lb2O3sDnYnu4vdze5h97L72P3sAfYge4g9zB5hj7LH2OPsCfYke4o9zZ5hz7Ln2PPsBfYie4m9zF5hr7LX2OvsDfYme4u9zd5h77L32PvsA/Yh+4h9zD5hn7LP2OfsC/Yl+4p9zb5h37Lv2PfsB/Yj+4n9zH5hv7Lf2O/sD/Yn+4v9zf5h/7L/2ARcQi4Rl5hLwiXlknHJuRRcSi4Vl5pLw6Xl0nHpuQxcRi4Tl5nLwmXlsnHZuRxcTi4Xl5vLw+Xl8nH5uQJcQa4QV5grwhXlinHFuRJcSa4UV5orw5XlynHluQpcRa4SV5mrwlXlqnHVuRpcTa4WV5urw9Xl6nH1uQZcQ64R15hrwjXlmnHNuRZcS64V15prw7Xl2nHtuQ5cR64T15nrwnXlMA7nCI7kKI7mGI7lOI7nBE7kJE7mFE7lNE7nDM7kLM7mHM7lPM7nAi7kIg5wkENczHXjunM9uJ5cL64314fry/Xj+nMDuIHcIG4wN4Qbyg3jhnMjuJHcKG40N4Yby43jxnMTuIncJG4yN4Wbyk3jpnMzuJncLG42N4eby83j5nMLuIXcIm4xt4Rbyi3jlnMruJXcKm41t4Zby63j1nMbuI3cJm4zt4Xbym3jtnM7uJ3cLm43t4fby+3j9nMHuIPcIe4wd4Q7yh3jjnMnuJPcKe40d4Y7y53jznMXuIvcJe4yd4W7yl3jrnM3uJvcLe42d4e7y93j7nMPuIfcI+4x94R7yj3jnnMvuJfcK+4194Z7y73j3nMfuI/cJ+4z94X7yn3jvnM/uJ/cL+4394f7y/3jEvAJ+UR8Yj4Jn5RPxifnU/Ap+VR8aj4Nn5ZPx6fnM/AZ+Ux8Zj4Ln5XPxmfnc/A5+Vx8bj4Pn5fPx+fnC/AF+UJ8Yb4IX5QvxhfnS/Al+VJ8ab4MX5Yvx5fnK/AV+Up8Zb4KX5Wvxlfna/A1+Vp8bb4OX5evx9fnG/AN+UZ8Y74J35RvxjfnW/At+VZ8a74N35Zvx7fnO/Ad+U58Z74L35XHeJwneJKneJpneJbneJ4XeJGXeJlXeJXXeJ03eJO3eJt3eJf3eJ8P+JCPeMBDHvEx343vzvfge/K9+N58H74v34/vzw/gB/KD+MH8EH4oP4wfzo/gR/Kj+NH8GH4sP44fz0/gJ/KT+Mn8FH4qP42fzs/gZ/Kz+Nn8HH4uP4+fzy/gF/KL+MX8En4pv4xfzq/gV/Kr+NX8Gn4tv45fz2/gN/Kb+M38Fn4rv43fzu/gd/K7+N38Hn4vv4/fzx/gD/KH+MP8Ef4of4w/zp/gT/Kn+NP8Gf4sf44/z1/gL/KX+Mv8Ff4qf42/zt/gb/K3+Nv8Hf4uf4+/zz/gH/KP+Mf8E/4p/4x/zr/gX/Kv+Nf8G/4t/45/z3/gP/Kf+M/8F/4r/43/zv/gf/K/+N/8H/4v/49PICQUEgmJhSRCUiGZkFxIIaQUUgmphTRCWiGdkF7IIGQUMgmZhSxCViGbkF3IIeQUcgm5hTxCXiGfkF8oIBQUCgmFhSJCUaGYUFwoIZQUSgmlhTJCWaGcUF6oIFQUKgmVhSpCVaGaUF2oIdQUagm1hTpCXaGeUF9oIDQUGgmNhSZCU6GZ0FxoIbQUWgmthTZCW6Gd0F7oIHQUOgmdhS5CVwETcIEQSIESaIERWIETeEEQREESZEERVEETdMEQTMESbMERXMETfCEQQiESgAAFJMRCN6G70EPoKfQSegt9hL5CP6G/MEAYKAwSBgtDhKHCMGG4MEIYKYwSRgtjhLHCOGG8MEGYKEwSJgtThKnCNGG6MEOYKcwSZgtzhLnCPGG+sEBYKCwSFgtLhKXCMmG5sEJYKawSVgtrhLXCOmG9sEHYKGwSNgtbhK3CNmG7sEPYKewSdgt7hL3CPmG/cEA4KBwSDgtHhKPCMeG4cEI4KZwSTgtnhLPCOeG8cEG4KFwSLgtXhKvCNeG6cEO4KdwSbgt3hLvCPeG+8EB4KDwSHgtPhKfCM+G58EJ4KbwSXgtvhLfCO+G98EH4KHwSPgtfhK/CN+G78EP4KfwSfgt/hL/CPyGBmFBMJCYWk4hJxWRicjGFmFJMJaYW04hpxXRiejGDmFHMJGYWs4hZxWxidjGHmFPMJeYW84h5xXxifrGAWFAsJBYWi4hFxWJicbGEWFIsJZYWy4hlxXJiebGCWFGsJFYWq4hVxWpidbGGWFOsJdYW64h1xXpifbGB2FBsJDYWm4hNxWZic7GF2FJsJbYW24htxXZie7GD2FHsJHYWu4hdRUzERUIkRUqkRUZkRU7kRUEURUmURUVURU3URUM0RUu0RUd0RU/0xUAMxUgEIhSRGIvdxO5iD7Gn2EvsLfYR+4r9xP7iAHGgOEgcLA4Rh4rDxOHiCHGkOEocLY4Rx4rjxPHiBHGiOEmcLE4Rp4rTxOniDHGmOEucLc4R54rzxPniAnGhuEhcLC4Rl4rLxOXiCnGluEpcLa4R14rrxPXiBnGjuEncLG4Rt4rbxO3iDnGnuEvcLe4R94r7xP3iAfGgeEg8LB4Rj4rHxOPiCfGkeEo8LZ4Rz4rnxPPiBfGieEm8LF4Rr4rXxOviDfGmeEu8Ld4R74r3xPviA/Gh+Eh8LD4Rn4rPxOfiC/Gl+Ep8Lb4R34rvxPfiB/Gj+En8LH4Rv4rfxO/iD/Gn+Ev8Lf4R/4r/xARSQimRlFhKIiWVkknJpRRSSimVlFpKI6WV0knppQxSRimTlFnKImWVsknZpRxSTimXlFvKI+WV8kn5pQJSQamQVFgqIhWViknFpRJSSamUVFoqI5WVyknlpQpSRamSVFmqIlWVqknVpRpSTamWVFuqI9WV6kn1pQZSQ6mR1FhqIjWVmknNpRZSS6mV1FpqI7WV2kntpQ5SR6mT1FnqInWVMAmXCImUKImWGImVOImXBEmUJEmWFEmVNEmXDMmULMmWHMmVPMmXAimUIglIUEJSLHWTuks9pJ5SL6m31EfqK/WT+ksDpIHSIGmwNEQaKg2ThksjpJHSKGm0NEYaK42TxksTpInSJGmyNEWaKk2TpkszpJnSLGm2NEeaK82T5ksLpIXSImmxtERaKi2TlksrpJXSKmm1tEZaK62T1ksbpI3SJmmztEXaKm2Ttks7pJ3SLmm3tEfaK+2T9ksHpIPSIemwdEQ6Kh2TjksnpJPSKem0dEY6K52TzksXpIvSJemydEW6Kl2Trks3pJvSLem2dEe6K92T7ksPpIfSI+mx9ER6Kj2TnksvpJfSK+m19EZ6K72T3ksfpI/SJ+mz9EX6Kn2Tvks/pJ/SL+m39Ef6K/2TEsgJ5URyYjmJnFROJieXU8gp5VRyajmNnFZOJ6eXM8gZ5UxyZjmLnFXOJmeXc8g55VxybjmPnFfOJ+eXC8gF5UJyYbmIXFQuJheXS8gl5VJyabmMXFYuJ5eXK8gV5UpyZbmKXFWuJleXa8g15VpybbmOXFeuJ9eXG8gN5UZyY7mJ3FRuJjeXW8gt5VZya7mN3FZuJ7eXO8gd5U5yZ7mL3FXGZFwmZFKmZFpmZFbmZF4WZFGWZFlWZFXWZF02ZFO2ZFt2ZFf2ZF8O5FCOZCBDGcmx3E3uLveQe8q95N5yH7mv3E/uLw+QB8qD5MHyEHmoPEweLo+QR8qj5NHyGHmsPE4eL0+QJ8qT5MnyFHmqPE2eLs+QZ8qz5NnyHHmuPE+eLy+QF8qL5MXyEnmpvExeLq+QV8qr5NXyGnmtvE5eL2+QN8qb5M3yFnmrvE3eLu+Qd8q75N3yHnmvvE/eLx+QD8qH5MPyEfmofEw+Lp+QT8qn5NPyGfmsfE4+L1+QL8qX5MvyFfmqfE2+Lt+Qb8q35NvyHfmufE++Lz+QH8qP5MfyE/mp/Ex+Lr+QX8qv5NfyG/mt/E5+L3+QP8qf5M/yF/mr/E3+Lv+Qf8q/5N/yH/mv/E9OoCRUEimJlSRKUiWZklxJoaRUUimplTRKWiWdkl7JoGRUMimZlSxKViWbkl3JoeRUcim5lTxKXiWfkl8poBRUCimFlSJKUaWYUlwpoZRUSimllTJKWaWcUl6poFRUKimVlSpKVaWaUl2podRUaim1lTpKXaWeUl9poDRUGimNlSZKU6WZ0lxpobRUWimtlTZKW6Wd0l7poHRUOimdlS5KVwVTcIVQSIVSaIVRWIVTeEVQREVSZEVRVEVTdMVQTMVSbMVRXMVTfCVQQiVSgAIVpMRKN6W70kPpqfRSeit9lL5KP6W/MkAZqAxSBitDlKHKMGW4MkIZqYxSRitjlLHKOGW8MkGZqExSJitTlKnKNGW6MkOZqcxSZitzlLnKPGW+skBZqCxSFitLlKXKMmW5skJZqaxSVitrlLXKOmW9skHZqGxSNitblK3KNmW7skPZqexSdit7lL3KPmW/ckA5qBxSDitHlKPKMeW4ckI5qZxSTitnlLPKOeW8ckG5qFxSLitXlKvKNeW6ckO5qdxSbit3lLvKPeW+8kB5qDxSHitPlKfKM+W58kJ5qbxSXitvlLfKO+W98kH5qHxSPitflK/KN+W78kP5qfxSfit/lL/KPyWBmlBNpCZWk6hJ1WRqcjWFmlJNpaZW06hp1XRqejWDmlHNpGZWs6hZ1WxqdjWHmlPNpeZW86h51XxqfrWAWlAtpBZWi6hF1WJqcbWEWlItpZZWy6hl1XJqebWCWlGtpFZWq6hV1WpqdbWGWlOtpdZW66h11XpqfbWB2lBtpDZWm6hN1WZqc7WF2lJtpbZW26ht1XZqe7WD2lHtpHZWu6hdVUzFVUIlVUqlVUZlVU7lVUEVVUmVVUVVVU3VVUM1VUu1VUd1VU/11UAN1UgFKlSRGqvd1O5qD7Wn2kvtrfZR+6r91P7qAHWgOkgdrA5Rh6rD1OHqCHWkOkodrY5Rx6rj1PHqBHWiOkmdrE5Rp6rT1OnqDHWmOkudrc5R56rz1PnqAnWhukhdrC5Rl6rL1OXqCnWlukpdra5R16rr1PXqBnWjukndrG5Rt6rb1O3qDnWnukvdre5R96r71P3qAfWgekg9rB5Rj6rH1OPqCfWkeko9rZ5Rz6rn1PPqBfWiekm9rF5Rr6rX1OvqDfWmeku9rd5R76r31PvqA/Wh+kh9rD5Rn6rP1OfqC/Wl+kp9rb5R36rv1PfqB/Wj+kn9rH5Rv6rf1O/qD/Wn+kv9rf5R/6r/1ARaQi2RllhLoiXVkmnJtRRaSi2VllpLo6XV0mnptQxaRi2TllnLomXVsmnZtRxaTi2XllvLo+XV8mn5tQJaQa2QVlgrohXVimnFtRJaSa2UVloro5XVymnltQpaRa2SVlmrolXVqmnVtRpaTa2WVluro9XV6mn1tQZaQ62R1lhrojXVmmnNtRZaS62V1lpro7XV2mnttQ5aR62T1lnronXVMA3XCI3UKI3WGI3VOI3XBE3UJE3WFE3VNE3XDM3ULM3WHM3VPM3XAi3UIg1oUENarHXTums9tJ5aL6231kfrq/XT+msDtIHaIG2wNkQbqg3ThmsjtJHaKG20NkYbq43TxmsTtInaJG2yNkWbqk3TpmsztJnaLG22Nkebq83T5msLtIXaIm2xtkRbqi3TlmsrtJXaKm21tkZbq63T1msbtI3aJm2ztkXbqm3Ttms7tJ3aLm23tkfbq+3T9msHtIPaIe2wdkQ7qh3TjmsntJPaKe20dkY7q53TzmsXtIvaJe2ydkW7ql3Trms3tJvaLe22dke7q93T7msPtIfaI+2x9kR7qj3TnmsvtJfaK+219kZ7q73T3msftI/aJ+2z9kX7qn3Tvms/tJ/aL+239kf7q/3TEugJ9UR6Yj2JnlRPpifXU+gp9VR6aj2NnlZPp6fXM+gZ9Ux6Zj2LnlXPpmfXc+g59Vx6bj2PnlfPp+fXC+gF9UJ6Yb2IXlQvphfXS+gl9VJ6ab2MXlYvp5fXK+gV9Up6Zb2KXlWvplfXa+g19Vp6bb2OXlevp9fXG+gN9UZ6Y72J3lRvpjfXW+gt9VZ6a72N3lZvp7fXO+gd9U56Z72L3lXHdFwndFKndFpndFbndF4XdFGXdFlXdFXXdF03dFO3dFt3dFf3dF8P9FCPdKBDHemx3k3vrvfQe+q99N56H72v3k/vrw/QB+qD9MH6EH2oPkwfro/QR+qj9NH6GH2sPk4fr0/QJ+qT9Mn6FH2qPk2frs/QZ+qz9Nn6HH2uPk+fry/QF+qL9MX6En2pvkxfrq/QV+qr9NX6Gn2tvk5fr2/QN+qb9M36Fn2rvk3fru/Qd+q79N36Hn2vvk/frx/QD+qH9MP6Ef2ofkw/rp/QT+qn9NP6Gf2sfk4/r1/QL+qX9Mv6Ff2qfk2/rt/Qb+q39Nv6Hf2ufk+/rz/QH+qP9Mf6E/2p/kx/rr/QX+qv9Nf6G/2t/k5/r3/QP+qf9M/6F/2r/k3/rv/Qf+q/9N/6H/2v/k9PYCQ0EhmJjSRGUiOZkdxIYaQ0UhmpjTRGWiOdkd7IYGQ0MhmZjSxGViObkd3IYeQ0chm5jTxGXiOfkd8oYBQ0ChmFjSJGUaOYUdwoYZQ0ShmljTJGWaOcUd6oYFQ0KhmVjSpGVaOaUd2oYdQ0ahm1jTpGXaOeUd9oYDQ0GhmNjSZGU6OZ0dxoYbQ0WhmtjTZGW6Od0d7oYHQ0OhmdjS5GVwMzcIMwSIMyaIMxWIMzeEMwREMyZEMxVEMzdMMwTMMybMMxXMMzfCMwQiMygAENZMRGN6O70cPoafQyeht9jL5GP6O/McAYaAwyBhtDjKHGMGO4McIYaYwyRhtjjLHGOGO8McGYaEwyJhtTjKnGNGO6McOYacwyZhtzjLnGPGO+scBYaCwyFhtLjKXGMmO5scJYaawyVhtrjLXGOmO9scHYaGwyNhtbjK3GNmO7scPYaewydht7jL3GPmO/ccA4aBwyDhtHjKPGMeO4ccI4aZwyThtnjLPGOeO8ccG4aFwyLhtXjKvGNeO6ccO4adwybht3jLvGPeO+8cB4aDwyHhtPjKfGM+O58cJ4abwyXhtvjLfGO+O98cH4aHwyPhtfjK/GN+O78cP4afwyfht/jL/GPyOBmdBMZCY2k5hJzWRmcjOFmdJMZaY205hpzXRmejODmdHMZGY2s5hZzWxmdjOHmdPMZeY285h5zXxmfrOAWdAsZBY2i5hFzWJmcbOEWdIsZZY2y5hlzXJmebOCWdGsZFY2q5hVzWpmdbOGWdOsZdY265h1zXpmfbOB2dBsZDY2m5hNzWZmc7OF2dJsZbY225htzXZme7OD2dHsZHY2u5hdTczETcIkTcqkTcZkTc7kTcEUTcmUTcVUTc3UTcM0Tcu0Tcd0Tc/0zcAMzcgEJjSRGZvdzO5mD7On2cvsbfYx+5r9zP7mAHOgOcgcbA4xh5rDzOHmCHOkOcocbY4xx5rjzPHmBHOiOcmcbE4xp5rTzOnmDHOmOcucbc4x55rzzPnmAnOhuchcbC4xl5rLzOXmCnOlucpcba4x15rrzPXmBnOjucncbG4xt5rbzO3mDnOnucvcbe4x95r7zP3mAfOgecg8bB4xj5rHzOPmCfOkeco8bZ4xz5rnzPPmBfOiecm8bF4xr5rXzOvmDfOmecu8bd4x75r3zPvmA/Oh+ch8bD4xn5rPzOfmC/Ol+cp8bb4x35rvzPfmB/Oj+cn8bH4xv5rfzO/mD/On+cv8bf4x/5r/zARWQiuRldhKYiW1klnJrRRWSiuVldpKY6W10lnprQxWRiuTldnKYmW1slnZrRxWTiuXldvKY+W18ln5rQJWQauQVdgqYhW1ilnFrRJWSauUVdoqY5W1ylnlrQpWRauSVdmqYlW1qlnVrRpWTauWVduqY9W16ln1rQZWQ6uR1dhqYjW1mlnNrRZWS6uV1dpqY7W12lntrQ5WR6uT1dnqYnW1MAu3CIu0KIu2GIu1OIu3BEu0JEu2FEu1NEu3DMu0LMu2HMu1PMu3Aiu0IgtY0EJWbHWzuls9rJ5WL6u31cfqa/Wz+lsDrIHWIGuwNcQaag2zhlsjrJHWKGu0NcYaa42zxlsTrInWJGuyNcWaak2zplszrJnWLGu2Nceaa82z5lsLrIXWImuxtcRaai2zllsrrJXWKmu1tcZaa62z1lsbrI3WJmuztcXaam2ztls7rJ3WLmu3tcfaa+2z9lsHrIPWIeuwdcQ6ah2zjlsnrJPWKeu0dcY6a52zzlsXrIvWJeuydcW6al2zrls3rJvWLeu2dce6a92z7lsPrIfWI+ux9cR6aj2znlsvrJfWK+u19cZ6a72z3lsfrI/WJ+uz9cX6an2zvls/rJ/WL+u39cf6a/2zEtgJ7UR2YjuJndROZie3U9gp7VR2ajuNndZOZ6e3M9gZ7Ux2ZjuLndXOZme3c9g57Vx2bjuPndfOZ+e3C9gF7UJ2YbuIXdQuZhe3S9gl7VJ2abuMXdYuZ5e3K9gV7Up2ZbuKXdWuZle3a9g17Vp2bbuOXdeuZ9e3G9gN7UZ2Y7uJ3dRuZje3W9gt7VZ2a7uN3dZuZ7e3O9gd7U52Z7uL3dXGbNwmbNKmbNpmbNbmbN4WbNGWbNlWbNXWbN02bNO2bNt2bNf2bN8O7NCObGBDG9mx3c3ubvewe9q97N52H7uv3c/ubw+wB9qD7MH2EHuoPcwebo+wR9qj7NH2GHusPc4eb0+wJ9qT7Mn2FHuqPc2ebs+wZ9qz7Nn2HHuuPc+eby+wF9qL7MX2Enupvcxebq+wV9qr7NX2Gnutvc5eb2+wN9qb7M32Fnurvc3ebu+wd9q77N32Hnuvvc/ebx+wD9qH7MP2Efuofcw+bp+wT9qn7NP2Gfusfc4+b1+wL9qX7Mv2Ffuqfc2+bt+wb9q37Nv2Hfuufc++bz+wH9qP7Mf2E/up/cx+br+wX9qv7Nf2G/ut/c5+b3+wP9qf7M/2F/ur/c3+bv+wf9q/7N/2H/uv/c9O4CR0EjmJnSROUieZk9xJ4aR0UjmpnTROWiedk97J4GR0MjmZnSxOViebk93J4eR0cjm5nTxOXiefk98p4BR0CjmFnSJOUaeYU9wp4ZR0SjmlnTJOWaecU96p4FR0KjmVnSpOVaeaU92p4dR0ajm1nTpOXaeeU99p4DR0GjmNnSZOU6eZ09xp4bR0WjmtnTZOW6ed097p4HR0OjmdnS5OVwdzcIdwSIdyaIdxWIdzeEdwREdyZEdxVEdzdMdwTMdybMdxXMdzfCdwQidygAMd5MRON6e708Pp6fRyejt9nL5OP6e/M8AZ6AxyBjtDnKHOMGe4M8IZ6YxyRjtjnLHOOGe8M8GZ6ExyJjtTnKnONGe6M8OZ6cxyZjtznLnOPGe+s8BZ6CxyFjtLnKXOMme5s8JZ6axyVjtrnLXOOme9s8HZ6GxyNjtbnK3ONme7s8PZ6exydjt7nL3OPme/c8A56BxyDjtHnKPOMee4c8I56ZxyTjtnnLPOOee8c8G56FxyLjtXnKvONee6c8O56dxybjt3nLvOPee+88B56DxyHjtPnKfOM+e588J56bxyXjtvnLfOO+e988H56HxyPjtfnK/ON+e788P56fxyfjt/nL/OPyeBm9BN5CZ2k7hJ3WRucjeFm9JN5aZ207hp3XRuejeDm9HN5GZ2s7hZ3WxudjeHm9PN5eZ287h53XxufreAW9At5BZ2i7hF3WJucbeEW9It5ZZ2y7hl3XJuebeCW9Gt5FZ2q7hV3WpudbeGW9Ot5dZ267h13XpufbeB29Bt5DZ2m7hN3WZuc7eF29Jt5bZ227ht3XZue7eD29Ht5HZ2u7hdXczFXcIlXcqlXcZlXc7lXcEVXcmVXcVVXc3VXcM1Xcu1Xcd1Xc/13cAN3cgFLnSRG7vd3O5uD7en28vt7fZx+7r93P7uAHegO8gd7A5xh7rD3OHuCHekO8od7Y5xx7rj3PHuBHeiO8md7E5xp7rT3OnuDHemO8ud7c5x57rz3PnuAnehu8hd7C5xl7rL3OXuCnelu8pd7a5x17rr3PXuBneju8nd7G5xt7rb3O3uDnenu8vd7e5x97r73P3uAfege8g97B5xj7rH3OPuCfeke8o97Z5xz7rn3PPuBfeie8m97F5xr7rX3OvuDfeme8u97d5x77r33PvuA/eh+8h97D5xn7rP3OfuC/el+8p97b5x37rv3PfuB/ej+8n97H5xv7rf3O/uD/en+8v97f5x/7r/3AReQi+Rl9hL4iX1knnJvRReSi+Vl9pL46X10nnpvQxeRi+Tl9nL4mX1snnZvRxeTi+Xl9vL4+X18nn5vQJeQa+QV9gr4hX1innFvRJeSa+UV9or45X1ynnlvQpeRa+SV9mr4lX1qnnVvRpeTa+WV9ur49X16nn1vQZeQ6+R19hr4jX1mnnNvRZeS6+V19pr47X12nntvQ5eR6+T19nr4nX1MA/3CI/0KI/2GI/1OI/3BE/0JE/2FE/1NE/3DM/0LM/2HM/1PM/3Ai/0Ig940ENe7HXzuns9vJ5eL6+318fr6/Xz+nsDvIHeIG+wN8Qb6g3zhnsjvJHeKG+0N8Yb643zxnsTvIneJG+yN8Wb6k3zpnszvJneLG+2N8eb683z5nsLvIXeIm+xt8Rb6i3zlnsrvJXeKm+1t8Zb663z1nsbvI3eJm+zt8Xb6m3ztns7vJ3eLm+3t8fb6+3z9nsHvIPeIe+wd8Q76h3zjnsnvJPeKe+0d8Y7653zznsXvIveJe+yd8W76l3zrns3vJveLe+2d8e7693z7nsPvIfeI++x98R76j3znnsvvJfeK++198Z7673z3nsfvI/eJ++z98X76n3zvns/vJ/eL++398f76/3zEvgJ/UR+Yj+Jn9RP5if3U/gp/VR+aj+Nn9ZP56f3M/gZ/Ux+Zj+Ln9XP5mf3c/g5/Vx+bj+Pn9fP5+f3C/gF/UJ+Yb+IX9Qv5hf3S/gl/VJ+ab+MX9Yv55f3K/gV/Up+Zb+KX9Wv5lf3a/g1/Vp+bb+OX9ev59f3G/gN/UZ+Y7+J39Rv5jf3W/gt/VZ+a7+N39Zv57f3O/gd/U5+Z7+L39XHfNwnfNKnfNpnfNbnfN4XfNGXfNlXfNXXfN03fNO3fNt3fNf3fN8P/NCPfOBDH/mx383v7vfwe/q9/N5+H7+v38/v7w/wB/qD/MH+EH+oP8wf7o/wR/qj/NH+GH+sP84f70/wJ/qT/Mn+FH+qP82f7s/wZ/qz/Nn+HH+uP8+f7y/wF/qL/MX+En+pv8xf7q/wV/qr/NX+Gn+tv85f72/wN/qb/M3+Fn+rv83f7u/wd/q7/N3+Hn+vv8/f7x/wD/qH/MP+Ef+of8w/7p/wT/qn/NP+Gf+sf84/71/wL/qX/Mv+Ff+qf82/7t/wb/q3/Nv+Hf+uf8+/7z/wH/qP/Mf+E/+p/8x/7r/wX/qv/Nf+G/+t/85/73/wP/qf/M/+F/+r/83/7v/wf/q//N/+H/+v/89PECQMEgWJgyRB0iBZkDxIEaQMUgWpgzRB2iBdkD7IEGQMMgWZgyxB1iBbkD3IEeQMcgW5gzxB3iBfkD8oEBQMCgWFgyJB0aBYUDwoEZQMSgWlgzJB2aBcUD6oEFQMKgWVgypB1aBaUD2oEdQMagW1gzpB3aBeUD9oEDQMGgWNgyZB06BZ0DxoEbQMWgWtgzZB26Bd0D7oEHQMOgWdgy5B1wAL8IAIyIAK6IAJ2IAL+EAIxEAK5EAJ1EAL9MAIzMAK7MAJ3MAL/CAIwiAKQAADFMRBt6B70CPoGfQKegd9gr5Bv6B/MCAYGAwKBgdDgqHBsGB4MCIYGYwKRgdjgrHBuGB8MCGYGEwKJgdTgqnBtGB6MCOYGcwKZgdzgrnBvGB+sCBYGCwKFgdLgqXBsmB5sCJYGawKVgdrgrXBumB9sCHYGGwKNgdbgq3BtmB7sCPYGewKdgd7gr3BvmB/cCA4GBwKDgdHgqPBseB4cCI4GZwKTgdngrPBueB8cCG4GFwKLgdXgqvBteB6cCO4GdwKbgd3grvBveB+8CB4GDwKHgdPgqfBs+B58CJ4GbwKXgdvgrfBu+B98CH4GHwKPgdfgq/Bt+B78CP4GfwKfgd/gr/BvyBBmDBMFCYOk4RJw2Rh8jBFmDJMFaYO04Rpw3Rh+jBDmDHMFGYOs4RZw2xh9jBHmDPMFeYO84R5w3xh/rBAWDAsFBYOi4RFw2Jh8bBEWDIsFZYOy4Rlw3Jh+bBCWDGsFFYOq4RVw2ph9bBGWDOsFdYO64R1w3ph/bBB2DBsFDYOm4RNw2Zh87BF2DJsFbYO24Rtw3Zh+7BD2DHsFHYOu4RdQyzEQyIkQyqkQyZkQy7kQyEUQymUQyVUQy3UQyM0Qyu0Qyd0Qy/0wyAMwygEIQxRGIfdwu5hj7Bn2CvsHfYJ+4b9wv7hgHBgOCgcHA4Jh4bDwuHhiHBkOCocHY4Jx4bjwvHhhHBiOCmcHE4Jp4bTwunhjHBmOCucHc4J54bzwvnhgnBhuChcHC4Jl4bLwuXhinBluCpcHa4J14brwvXhhnBjuCncHG4Jt4bbwu3hjnBnuCvcHe4J94b7wv3hgfBgeCg8HB4Jj4bHwuPhifBkeCo8HZ4Jz4bnwvPhhfBieCm8HF4Jr4bXwuvhjfBmeCu8Hd4J74b3wvvhg/Bh+Ch8HD4Jn4bPwufhi/Bl+Cp8Hb4J34bvwvfhh/Bj+Cn8HH4Jv4bfwu/hj/Bn+Cv8Hf4J/4b/wgRRwihRlDhKEiWNkkXJoxRRyihVlDpKE6WN0kXpowxRxihTlDnKEmWNskXZoxxRzihXlDvKE+WN8kX5owJRwahQVDgqEhWNikXFoxJRyahUVDoqE5WNykXlowpRxahSVDmqElWNqkXVoxpRzahWVDuqE9WN6kX1owZRw6hR1DhqEjWNmkXNoxZRy6hV1DpqE7WN2kXtow5Rx6hT1DnqEnWNsAiPiIiMqIiOmIiNuIiPhEiMpEiOlEiNtEiPjMiMrMiOnMiNvMiPgiiMoghEMEJRHHWLukc9op5Rr6h31CfqG/WL+kcDooHRoGhwNCQaGg2LhkcjopHRqGh0NCYaG42LxkcToonRpGhyNCWaGk2LpkczopnRrGh2NCeaG82L5kcLooXRomhxtCRaGi2LlkcropXRqmh1tCZaG62L1kcboo3RpmhztCXaGm2Ltkc7op3Rrmh3tCfaG+2L9kcHooPRoehwdCQ6Gh2LjkcnopPRqeh0dCY6G52LzkcXoovRpehydCW6Gl2Lrkc3opvRreh2dCe6G92L7kcPoofRo+hx9CR6Gj2LnkcvopfRq+h19CZ6G72L3kcfoo/Rp+hz9CX6Gn2Lvkc/op/Rr+h39Cf6G/2LEoCEIBFIDJKApCAZSA5SgJQgFUgN0oC0IB1IDzKAjCATyAyygKwgG8gOcoCcIBfIDfKAvCAfyA8KgIKgECgMioCioBgoDkqAkqAUKA3KgLKgHCgPKoCKoBKoDKqAqqAaqA5qgJqgFqgN6oC6oB6oDxqAhqARaAyagKagGWgOWoCWoBVoDdqAtqAdaA86gI6gE+gMuoCuAAM4IAAJKEADBrCAAzwQgAgkIAMFqEADOjCACSxgAwe4wAM+CEAIIgAABAjEoBvoDnqAnqAX6A36gL6gH+gPBoCBYBAYDIaAoWAYGA5GgJFgFBgNxoCxYBwYDyaAiWASmAymgKlgGpgOZoCZYBaYDeaAuWAemA8WgIVgEVgMloClYBlYDlaAlWAVWA3WgLVgHVgPNoCNYBPYDLaArWAb2A52gJ1gF9gN9oC9YB/YDw6Ag+AQOAyOgKPgGDgOToCT4BQ4Dc6As+AcOA8ugIvgErgMroCr4Bq4Dm6Am+AWuA3ugLvgHrgPHoCH4BF4DJ6Ap+AZeA5egJfgFXgN3oC34B14Dz6Aj+AT+Ay+gK/gG/gOfoCf4Bf4Df6Av+AfSAATwkQwMUwCk8JkMDlMAVPCVDA1TAPTwnQwPcwAM8JMMDPMArPCbDA7zAFzwlwwN8wD88J8MD8sAAvCQrAwLAKLwmKwOCwBS8JSsDQsA8vCcrA8rAArwkqwMqwCq8JqsDqsAWvCWrA2rAPrwnqwPmwAG8JGsDFsApvCZrA5bAFbwlawNWwD28J2sD3sADvCTrAz7AK7QgzikIAkpCANGchCDvJQgCKUoAwVqEIN6tCAJrSgDR3oQg/6MIAhjCCAECIYw26wO+wBe8JesDfsA/vCfrA/HAAHwkFwMBwCh8JhcDgcAUfCUXA0HAPHwnFwPJwAJ8JJcDKcAqfCaXA6nAFnwllwNpwD58J5cD5cABfCRXAxXAKXwmVwOVwBV8JVcDVcA9fCdXA93AA3wk1wM9wCt8JtcDvcAXfCXXA33AP3wn1wPzwAD8JD8DA8Ao/CY/A4PAFPwlPwNDwDz8Jz8Dy8AC/CS/AyvAKvwmvwOrwBb8Jb8Da8A+/Ce/A+fAAfwkfwMXwCn8Jn8Dl8AV/CV/A1fAPfwnfwPfwAP8JP8DP8Ar/Cb/A7/AF/wl/wN/wD/8J/MAFKiBKhxCgJSoqSoeQoBUqJUqHUKA1Ki9Kh9CgDyogyocwoC8qKsqHsKAfKiXKh3CgPyovyofyoACqICqHCqAgqioqh4qgEKolKodKoDCqLyqHyqAKqiCqhyqgKqoqqoeqoBqqJaqHaqA6qi+qh+qgBaogaocaoCWqKmqHmqAVqiVqh1qgNaovaofaoA+qIOqHOqAvqijCEIwKRiEI0YhCLOMQjAYlIQjJSkIo0pCMDmchCNnKQizzkowCFKEIAQYRQjLqh7qgH6ol6od6oD+qL+qH+aAAaiAahwWgIGoqGoeFoBBqJRqHRaAwai8ah8WgCmogmocloCpqKpqHpaAaaiWah2WgOmovmofloAVqIFqHFaAlaipah5WgFWolWodVoDVqL1qH1aAPaiDahzWgL2oq2oe1oB9qJdqHdaA/ai/ah/egAOogOocPoCDqKjqHj6AQ6iU6h0+gMOovOofPoArqILqHL6Aq6iq6h6+gGuoluodvoDrqL7qH76AF6iB6hx+gJeoqeoefoBXqJXqHX6A16i96h9+gD+og+oc/oC/qKvqHv6Af6iX6h3+gP+ov+oQRxwjhRnDhOEieNk8XJ4xRxyjhVnDpOE6eN08Xp4wxxxjhTnDnOEmeNs8XZ4xxxzjhXnDvOE+eN88X54wJxwbhQXDguEheNi8XF4xJxybhUXDouE5eNy8Xl4wpxxbhSXDmuEleNq8XV4xpxzbhWXDuuE9eN68X14wZxw7hR3DhuEjeNm8XN4xZxy7hV3DpuE7eN28Xt4w5xx7hT3DnuEneNsRiPiZiMqZiOmZiNuZiPhViMpViOlf8EwAMAGCEAAMDZtm3btm3bxtu2qp9t27Zt27Zx2FBsGDYcG4GNxEZho7Ex2FhsHDYem4BNxCZhk7Ep2FQMw3CMwEiMwmiMwViMw3hMwERMwmRMwVRMw3TMwEzMwmzMwVzMw3wswEIswgAGMYTF2DRsOjYDm4nNwmZjc7C52DxsPrYAW4gtwhZjS7Cl2DJsObYCW4mtwlZja7C12DpsPbYB24htwjZjW7Ct2DZsO7YD24ntwnZje7C92D5sP3YAO4gdwg5jR7Cj2DHsOHYCO4mdwk5jZ7Cz2DnsPHYBu4hdwi5jV7Cr2DXsOnYDu4ndwm5jd7C72D3sPvYAe4g9wh5jT7Cn2DPsOfYCe4m9wl5jb7C32DvsPfYB+4h9wj5jX7Cv2DfsO/YD+4n9wn5jf7C/2D8sAZ4QT4QnxpPgSfFkeHI8BZ4ST4WnxtPgafF0eHo8A54Rz4RnxrPgWfFseHY8B54Tz4XnxvPgefF8eH68AF4QL4QXxovgRfFieHG8BF4SL4WXxsvgZfFyeHm8Al4Rr4RXxqvgVfFqeHW8Bl4Tr4XXxuvgdfF6eH28Ad4Qb4Q3xpvgTfFmeHO8Bd4Sb4W3xtvgbfF2eHu8A94R74R3xrvgXfFueHe8B94T74X3xvvgffF+eH98AD4QH4QPxofgQ/Fh+HB8BD4SH4WPxsfgY/Fx+Hh8Aj4Rn4RPxqfgU3EMx3ECJ3EKp3EGZ3EO53EBF3EJl3EFV3EN13EDN3ELt3EHd3EP9/EAD/EIBzjEER7j0/Dp+Ax8Jj4Ln43Pwefi8/D5+AJ8Ib4IX4wvwZfiy/Dl+Ap8Jb4KX42vwdfi6/D1+AZ8I74J34xvwbfi2/Dt+A58J74L343vwffi+/D9+AH8IH4IP4wfwY/ix/Dj+An8JH4KP42fwc/i5/Dz+AX8In4Jv4xfwa/i1/Dr+A38Jn4Lv43fwe/i9/D7+AP8If4If4w/wZ/iz/Dn+Av8Jf4Kf42/wd/i7/D3+Af8I/4J/4x/wb/i3/Dv+A/8J/4L/43/wf/i//AEREIiEZGYSEIkJZIRyYkUREoiFZGaSEOkJdIR6YkMREYiE5GZyEJkJbIR2YkcRE4iF5GbyEPkJfIR+YkCREGiEFGYKEIUJYoRxYkSREmiFFGaKEOUJcoR5YkKREWiElGZqEJUJaoR1YkaRE2iFlGbqEPUJeoR9YkGREOiEdGYaEI0JZoRzYkWREuiFdGaaEO0JdoR7YkOREeiE9GZ6EJ0JboR3YkeRE+iF9Gb6EP0JfoR/YkBxEBiEDGYGEIMJYYRw4kRxEhiFDGaGEOMJcYR44kJxERiEjGZmEJMJTACJwiCJCiCJhiCJTiCJwRCJCRCJhRCJTRCJwzCJCzCJhzCJTzCJwIiJCICEJBARExMI6YTM4iZxCxiNjGHmEvMI+YTC4iFxCJiMbGEWEosI5YTK4iVxCpiNbGGWEusI9YTG4iNxCZiM7GF2EpsI7YTO4idxC5iN7GH2EvsI/YTB4iDxCHiMHGEOEocI44TJ4iTxCniNHGGOEucI84TF4iLxCXiMnGFuEpcI64TN4ibxC3iNnGHuEvcI+4TD4iHxCPiMfGEeEo8I54TL4iXxCviNfGGeEu8I94TH4iPxCfiM/GF+Ep8I74TP4ifxC/iN/GH+Ev8IxKQCclEZGIyCZmUTEYmJ1OQKclUZGoyDZmWTEemJzOQGclMZGYyC5mVzEZmJ3OQOclcZG4yD5mXzEfmJwuQBclCZGGyCFmULEYWJ0uQJclSZGmyDFmWLEeWJyuQFclKZGWyClmVrEZWJ2uQNclaZG2yDlmXrEfWJxuQDclGZGOyCdmUbEY2J1uQLclWZGuyDdmWbEe2JzuQHclOZGeyC9mV7EZ2J3uQPcleZG+yD9mX7Ef2JweQA8lB5GByCDmUHEYOJ0eQI8lR5GhyDDmWHEeOJyeQE8lJ5GRyCjmVxEicJEiSpEiaZEiW5EieFEiRlEiZVEiV1EidNEiTtEibdEiX9EifDMiQjEhAQhKRMTmNnE7OIGeSs8jZ5BxyLjmPnE8uIBeSi8jF5BJyKbmMXE6uIFeSq8jV5BpyLbmOXE9uIDeSm8jN5BZyK7mN3E7uIHeSu8jd5B5yL7mP3E8eIA+Sh8jD5BHyKHmMPE6eIE+Sp8jT5BnyLHmOPE9eIC+Sl8jL5BXyKnmNvE7eIG+St8jb5B3yLnmPvE8+IB+Sj8jH5BPyKfmMfE6+IF+Sr8jX5BvyLfmOfE9+ID+Sn8jP5BfyK/mN/E7+IH+Sv8jf5B/yL/mPTEAlpBJRiakkVFIqGZWcSkGlpFJRqak0VFoqHZWeykBlpDJRmaksVFYqG5WdykHlpHJRuak8VF4qH5WfKkAVpApRhakiVFGqGFWcKkGVpEpRpakyVFmqHFWeqkBVpCpRlakqVFWqGlWdqkHVpGpRtak6VF2qHlWfakA1pBpRjakmVFOqGdWcakG1pFpRrak2VFuqHdWe6kB1pDpRnakuVFeqG9Wd6kH1pHpRvak+VF+qH9WfGkANpAZRg6kh1FBqGDWcGkGNpEZRo6kx1FhqHDWemkBNpCZRk6kp1FQKo3CKoEiKomiKoViKo3hKoERKomRKoVRKo3TKoEzKomzKoVzKo3wqoEIqogAFKUTF1DRqOjWDmknNomZTc6i51DxqPrWAWkgtohZTS6il1DJqObWCWkmtolZTa6i11DpqPbWB2khtojZTW6it1DZqO7WD2kntonZTe6i91D5qP3WAOkgdog5TR6ij1DHqOHWCOkmdok5TZ6iz1DnqPHWBukhdoi5TV6ir1DXqOnWDukndom5Td6i71D3qPvWAekg9oh5TT6in1DPqOfWCekm9ol5Tb6i31DvqPfWB+kh9oj5TX6iv1DfqO/WD+kn9on5Tf6i/1D8qAZ2QTkQnppPQSelkdHI6BZ2STkWnptPQael0dHo6A52RzkRnprPQWelsdHY6B52TzkXnpvPQeel8dH66AF2QLkQXpovQRelidHG6BF2SLkWXpsvQZelydHm6Al2RrkRXpqvQVelqdHW6Bl2TrkXXpuvQdel6dH26Ad2QbkQ3ppvQTelmdHO6Bd2SbkW3ptvQbel2dHu6A92R7kR3prvQXeludHe6B92T7kX3pvvQfel+dH96AD2QHkQPpofQQ+lh9HB6BD2SHkWPpsfQY+lx9Hh6Aj2RnkRPpqfQU2mMxmmCJmmKpmmGZmmO5mmBFmmJlmmFVmmN1mmDNmmLtmmHdmmP9umADumIBjSkER3T0+jp9Ax6Jj2Lnk3PoefS8+j59AJ6Ib2IXkwvoZfSy+jl9Ap6Jb2KXk2vodfS6+j19AZ6I72J3kxvobfS2+jt9A56J72L3k3voffS++j99AH6IH2IPkwfoY/Sx+jj9An6JH2KPk2foc/S5+jz9AX6In2Jvkxfoa/S1+jr9A36Jn2Lvk3foe/S9+j79AP6If2Ifkw/oZ/Sz+jn9Av6Jf2Kfk2/od/S7+j39Af6I/2J/kx/ob/S3+jv9A/6J/2L/k3/of/S/+gETEImEZOYScIkZZIxyZkUTEomFZOaScOkZdIx6ZkMTEYmE5OZycJkZbIx2ZkcTE4mF5ObycPkZfIx+ZkCTEGmEFOYKcIUZYoxxZkSTEmmFFOaKcOUZcox5ZkKTEWmElOZqcJUZaox1ZkaTE2mFlObqcPUZeox9ZkGTEOmEdOYacI0ZZoxzZkWTEumFdOaacO0Zdox7ZkOTEemE9OZ6cJ0Zbox3ZkeTE+mF9Ob6cP0Zfox/ZkBzEBmEDOYGcIMZYYxw5kRzEhmFDOaGcOMZcYx45kJzERmEjOZmcJMZTAGZwiGZCiGZhiGZTiGZwRGZCRGZhRGZTRGZwzGZCzGZhzGZTzGZwImZCIGMJBBTMxMY6YzM5iZzCxmNjOHmcvMY+YzC5iFzCJmMbOEWcosY5YzK5iVzCpmNbOGWcusY9YzG5iNzCZmM7OF2cpsY7YzO5idzC5mN7OH2cvsY/YzB5iDzCHmMHOEOcocY44zJ5iTzCnmNHOGOcucY84zF5iLzCXmMnOFucpcY64zN5ibzC3mNnOHucvcY+4zD5iHzCPmMfOEeco8Y54zL5iXzCvmNfOGecu8Y94zH5iPzCfmM/OF+cp8Y74zP5ifzC/mN/OH+cv8YxKwCdlEbGI2CZuUTcYmZ1OwKdlUbGo2DZuWTcemZzOwGdlMbGY2C5uVzcZmZ3OwOdlcbG42D5uXzcfmZwuwBdlCbGG2CFuULcYWZ0uwJdlSbGm2DFuWLceWZyuwFdlKbGW2CluVrcZWZ2uwNdlabG22DluXrcfWZxuwDdlGbGO2CduUbcY2Z1uwLdlWbGu2DduWbce2ZzuwHdlObGe2C9uV7cZ2Z3uwPdlebG+2D9uX7cf2ZwewA9lB7GB2CDuUHcYOZ0ewI9lR7Gh2DDuWHceOZyewE9lJ7GR2CjuVxVicJViSpViaZViW5VieFViRlViZVViV1VidNViTtVibdViX9VifDdiQjVjAQhaxMTuNnc7OYGeys9jZ7Bx2LjuPnc8uYBeyi9jF7BJ2KbuMXc6uYFeyq9jV7Bp2LbuOXc9uYDeym9jN7BZ2K7uN3c7uYHeyu9jd7B52L7uP3c8eYA+yh9jD7BH2KHuMPc6eYE+yp9jT7Bn2LHuOPc9eYC+yl9jL7BX2KnuNvc7eYG+yt9jb7B32LnuPvc8+YB+yj9jH7BP2KfuMfc6+YF+yr9jX7Bv2LfuOfc9+YD+yn9jP7Bf2K/uN/c7+YH+yv9jf7B/2L/uPTcAl5BJxibkkXFIuGZecS8Gl5FJxqbk0XFouHZeey8Bl5DJxmbksXFYuG5edy8Hl5HJxubk8XF4uH5efK8AV5ApxhbkiXFGuGFecK8GV5EpxpbkyXFmuHFeeq8BV5CpxlbkqXFWuGledq8HV5Gpxtbk6XF2uHlefa8A15BpxjbkmXFOuGdeca8G15Fpxrbk2XFuuHdee68B15DpxnbkuXFeuG9ed68H15Hpxvbk+XF+uH9efG8AN5AZxg7kh3FBuGDecG8GN5EZxo7kx3FhuHDeem8BN5CZxk7kp3FQO43CO4EiO4miO4ViO43hO4ERO4mRO4VRO43TO4EzO4mzO4VzO43wu4EIu4gAHOcTF3DRuOjeDm8nN4mZzc7i53DxuPreAW8gt4hZzS7il3DJuObeCW8mt4lZza7i13DpuPbeB28ht4jZzW7it3DZuO7eD28nt4nZze7i93D5uP3eAO8gd4g5zR7ij3DHuOHeCO8md4k5zZ7iz3DnuPHeBu8hd4i5zV7ir3DXuOneDu8nd4m5zd7i73D3uPveAe8g94h5zT7in3DPuOfeCe8m94l5zb7i33DvuPfeB+8h94j5zX7iv3DfuO/eD+8n94n5zf7i/3D8uAZ+QT8Qn5pPwSflkfHI+BZ+ST8Wn5tPwafl0fHo+A5+Rz8Rn5rPwWflsfHY+B5+Tz8Xn5vPwefl8fH6+AF+QL8QX5ovwRflifHG+BF+SL8WX5svwZflyfHm+Al+Rr8RX5qvwVflqfHW+Bl+Tr8XX5uvwdfl6fH2+Ad+Qb8Q35pvwTflmfHO+Bd+Sb8W35tvwbfl2fHu+A9+R78R35rvwXflufHe+B9+T78X35vvwffl+fH9+AD+QH8QP5ofwQ/lh/HB+BD+SH8WP5sfwY/lx/Hh+Aj+Rn8RP5qfwU3mMx3mCJ3mKp3mGZ3mO53mBF3mJl3mFV3mN13mDN3mLt3mHd3mP9/mAD/mIBzzkER/z0/jp/Ax+Jj+Ln83P4efy8/j5/AJ+Ib+IX8wv4Zfyy/jl/Ap+Jb+KX82v4dfy6/j1/AZ+I7+J38xv4bfy2/jt/A5+J7+L383v4ffy+/j9/AH+IH+IP8wf4Y/yx/jj/An+JH+KP82f4c/y5/jz/AX+In+Jv8xf4a/y1/jr/A3+Jn+Lv83f4e/y9/j7/AP+If+If8w/4Z/yz/jn/Av+Jf+Kf82/4d/y7/j3/Af+I/+J/8x/4b/y3/jv/A/+J/+L/83/4f/y//gEQkIhkZBYSCIkFZIJyYUUQkohlZBaSCOkFdIJ6YUMQkYhk5BZyCJkFbIJ2YUcQk4hl5BbyCPkFfIJ+YUCQkGhkFBYKCIUFYoJxYUSQkmhlFBaKCOUFcoJ5YUKQkWhklBZqCJUFaoJ1YUaQk2hllBbqCPUFeoJ9YUGQkOhkdBYaCI0FZoJzYUWQkuhldBaaCO0FdoJ7YUOQkehk9BZ6CJ0FboJ3YUeQk+hl9Bb6CP0FfoJ/YUBwkBhkDBYGCIMFYYJw4URwkhhlDBaGCOMFcYJ44UJwkRhkjBZmCJMFTABFwiBFCiBFhiBFTiBFwRBFCRBFhRBFTRBFwzBFCzBFhzBFTzBFwIhFCIBCFBAQixME6YLM4SZwixhtjBHmCvME+YLC4SFwiJhsbBEWCosE5YLK4SVwiphtbBGWCusE9YLG4SNwiZhs7BF2CpsE7YLO4Sdwi5ht7BH2CvsE/YLB4SDwiHhsHBEOCocE44LJ4STwinhtHBGOCucE84LF4SLwiXhsnBFuCpcE64LN4Sbwi3htnBHuCvcE+4LD4SHwiPhsfBEeCo8E54LL4SXwivhtfBGeCu8E94LH4SPwifhs/BF+Cp8E74LP4Sfwi/ht/BH+Cv8ExKICcVEYmIxiZhUTCYmF1OIKcVUYmoxjZhWTCemFzOIGcVMYmYxi5hVzCZmF3OIOcVcYm4xj5hXzCfmFwuIBcVCYmGxiFhULCYWF0uIJcVSYmmxjFhWLCeWFyuIFcVKYmWxilhVrCZWF2uINcVaYm2xjlhXrCfWFxuIDcVGYmOxidhUbCY2F1uILcVWYmuxjdhWbCe2FzuIHcVOYmexi9hV7CZ2F3uIPcVeYm+xj9hX7Cf2FweIA8VB4mBxiDhUHCYOF0eII8VR4mhxjDhWHCeOFyeIE8VJ4mRxijhVxERcJERSpERaZERW5EReFERRlERZVERV1ERdNERTtERbdERX9ERfDMRQjEQgQhGJsThNnC7OEGeKs8TZ4hxxrjhPnC8uEBeKi8TF4hJxqbhMXC6uEFeKq8TV4hpxrbhOXC9uEDeKm8TN4hZxq7hN3C7uEHeKu8Td4h5xr7hP3C8eEA+Kh8TD4hHxqHhMPC6eEE+Kp8TT4hnxrHhOPC9eEC+Kl8TL4hXxqnhNvC7eEG+Kt8Tb4h3xrnhPvC8+EB+Kj8TH4hPxqfhMfC6+EF+Kr8TX4hvxrfhOfC9+ED+Kn8TP4hfxq/hN/C7+EH+Kv8Tf4h/xr/hPTCAllBJJiaUkUlIpmZRcSiGllFJJqaU0UlopnZReyiBllDJJmaUsUlYpm5RdyiHllHJJuaU8Ul4pn5RfKiAVlApJhaUiUlGpmFRcKiGVlEpJpaUyUlmpnFReqiBVlCpJlaUqUlWpmlRdqiHVlGpJtaU6Ul2pnlRfaiA1lBpJjaUmUlOpmdRcaiG1lFpJraU2UlupndRe6iB1lDpJnaUuUlepm9Rd6iH1lHpJvaU+Ul+pn9RfGiANlAZJg6Uh0lBpmDRcGiGNlEZJo6Ux0lhpnDRemiBNlCZJk6Up0lQJk3CJkEiJkmiJkViJk3hJkERJkmRJkVRJk3TJkEzJkmzJkVzJk3wpkEIpkoAEJSTF0jRpujRDminNkmZLc6S50jxpvrRAWigtkhZLS6Sl0jJpubRCWimtklZLa6S10jppvbRB2ihtkjZLW6St0jZpu7RD2intknZLe6S90j5pv3RAOigdkg5LR6Sj0jHpuHRCOimdkk5LZ6Sz0jnpvHRBuihdki5LV6Sr0jXpunRDuindkm5Ld6S70j3pvvRAeig9kh5LT6Sn0jPpufRCeim9kl5Lb6S30jvpvfRB+ih9kj5LX6Sv0jfpu/RD+in9kn5Lf6S/0j8pgZxQTiQnlpPISeVkcnI5hZxSTiWnltPIaeV0cno5g5xRziRnlrPIWeVscnY5h5xTziXnlvPIeeV8cn65gFxQLiQXlovIReVicnG5hFxSLiWXlsvIZeVycnm5glxRriRXlqvIVeVqcnW5hlxTriXXluvIdeV6cn25gdxQbiQ3lpvITeVmcnO5hdxSbiW3ltvIbeV2cnu5g9xR7iR3lrvIXeVucne5h9xT7iX3lvvIfeV+cn95gDxQHiQPlofIQ+Vh8nB5hDxSHiWPlsfIY+Vx8nh5gjxRniRPlqfIU2VMxmVCJmVKpmVGZmVO5mVBFmVJlmVFVmVN1mVDNmVLtmVHdmVP9uVADuVIBjKUkRzL0+Tp8gx5pjxLni3PkefK8+T58gJ5obxIXiwvkZfKy+Tl8gp5pbxKXi2vkdfK6+T18gZ5o7xJ3ixvkbfK2+Tt8g55p7xL3i3vkffK++T98gH5oHxIPiwfkY/Kx+Tj8gn5pHxKPi2fkc/K5+Tz8gX5onxJvixfka/K1+Tr8g35pnxLvi3fke/K9+T78gP5ofxIfiw/kZ/Kz+Tn8gv5pfxKfi2/kd/K7+T38gf5o/xJ/ix/kb/K3+Tv8g/5p/xL/i3/kf/K/+QESkIlkZJYSaIkVZIpyZUUSkollZJaSaOkVdIp6ZUMSkYlk5JZyaJkVbIp2ZUcSk4ll5JbyaPkVfIp+ZUCSkGlkFJYKaIUVYopxZUSSkmllFJaKaOUVcop5ZUKSkWlklJZqaJUVaop1ZUaSk2lllJbqaPUVeop9ZUGSkOlkdJYaaI0VZopzZUWSkulldJaaaO0Vdop7ZUOSkelk9JZ6aJ0Vbop3ZUeSk+ll9Jb6aP0Vfop/ZUBykBlkDJYGaIMVYYpw5URykhllDJaGaOMVcYp45UJykRlkjJZmaJMVTAFVwiFVCiFVhiFVTiFVwRFVCRFVhRFVTRFVwzFVCzFVhzFVTzFVwIlVCIFKFBBSqxMU6YrM5SZyixltjJHmavMU+YrC5SFyiJlsbJEWaosU5YrK5SVyipltbJGWausU9YrG5SNyiZls7JF2apsU7YrO5Sdyi5lt7JH2avsU/YrB5SDyiHlsHJEOaocU44rJ5STyinltHJGOaucU84rF5SLyiXlsnJFuapcU64rN5Sbyi3ltnJHuavcU+4rD5SHyiPlsfJEeao8U54rL5SXyivltfJGeau8U94rH5SPyifls/JF+ap8U74rP5Sfyi/lt/JH+av8UxKoCdVEamI1iZpUTaYmV1OoKdVUamo1jZpWTaemVzOoGdVMamY1i5pVzaZmV3OoOdVcam41j5pXzafmVwuoBdVCamG1iFpULaYWV0uoJdVSamm1jFpWLaeWVyuoFdVKamW1ilpVraZWV2uoNdVaam21jlpXrafWVxuoDdVGamO1idpUbaY2V1uoLdVWamu1jdpWbae2VzuoHdVOame1i9pV7aZ2V3uoPdVeam+1j9pX7af2VweoA9VB6mB1iDpUHaYOV0eoI9VR6mh1jDpWHaeOVyeoE9VJ6mR1ijpVxVRcJVRSpVRaZVRW5VReFVRRlVRZVVRV1VRdNVRTtVRbdVRX9VRfDdRQjVSgQhWpsTpNna7OUGeqs9TZ6hx1rjpPna8uUBeqi9TF6hJ1qbpMXa6uUFeqq9TV6hp1rbpOXa9uUDeqm9TN6hZ1q7pN3a7uUHequ9Td6h51r7pP3a8eUA+qh9TD6hH1qHpMPa6eUE+qp9TT6hn1rHpOPa9eUC+ql9TL6hX1qnpNva7eUG+qt9Tb6h31rnpPva8+UB+qj9TH6hP1qfpMfa6+UF+qr9TX6hv1rfpOfa9+UD+qn9TP6hf1q/pN/a7+UH+qv9Tf6h/1r/pPTaAl1BJpibUkWlItmZZcS6Gl1FJpqbU0WlotnZZey6Bl1DJpmbUsWlYtm5Zdy6Hl1HJpubU8Wl4tn5ZfK6AV1ApphbUiWlGtmFZcK6GV1EpppbUyWlmtnFZeq6BV1CpplbUqWlWtmlZdq6HV1GpptbU6Wl2tnlZfa6A11BppjbUmWlOtmdZca6G11FpprbU2WlutndZe66B11DppnbUuWletm9Zd66H11HppvbU+Wl+tn9ZfG6AN1AZpg7Uh2lBtmDZcG6GN1EZpo7Ux2lhtnDZem6BN1CZpk7Up2lQN03CN0EiN0miN0ViN03hN0ERN0mRN0VRN03TN0EzN0mzN0VzN03wt0EIt0oAGNaTF2jRtujZDm6nN0mZrc7S52jxtvrZAW6gt0hZrS7Sl2jJtubZCW6mt0lZra7S12jptvbZB26ht0jZrW7St2jZtu7ZD26nt0nZre7S92j5tv3ZAO6gd0g5rR7Sj2jHtuHZCO6md0k5rZ7Sz2jntvHZBu6hd0i5rV7Sr2jXtunZDu6nd0m5rd7S72j3tvvZAe6g90h5rT7Sn2jPtufZCe6m90l5rb7S32jvtvfZB+6h90j5rX7Sv2jftu/ZD+6n90n5rf7S/2j8tgZ5QT6Qn1pPoSfVkenI9hZ5ST6Wn1tPoafV0eno9g55Rz6Rn1rPoWfVsenY9h55Tz6Xn1vPoefV8en69gF5QL6QX1ovoRfVienG9hF5SL6WX1svoZfVyenm9gl5Rr6RX1qvoVfVqenW9hl5Tr6XX1uvodfV6en29gd5Qb6Q31pvoTfVmenO9hd5Sb6W31tvobfV2enu9g95R76R31rvoXfVuene9h95T76X31vvoffV+en99gD5QH6QP1ofoQ/Vh+nB9hD5SH6WP1sfoY/Vx+nh9gj5Rn6RP1qfoU3VMx3VCJ3VKp3VGZ3VO53VBF3VJl3VFV3VN13VDN3VLt3VHd3VP9/VAD/VIBzrUkR7r0/Tp+gx9pj5Ln63P0efq8/T5+gJ9ob5IX6wv0Zfqy/Tl+gp9pb5KX62v0dfq6/T1+gZ9o75J36xv0bfq2/Tt+g59p75L363v0ffq+/T9+gH9oH5IP6wf0Y/qx/Tj+gn9pH5KP62f0c/q5/Tz+gX9on5Jv6xf0a/q1/Tr+g39pn5Lv63f0e/q9/T7+gP9of5If6w/0Z/qz/Tn+gv9pf5Kf62/0d/q7/T3+gf9o/5J/6x/0b/q3/Tv+g/9p/5L/63/0f/q//QERkIjkZHYSGIkNZIZyY0URkojlZHaSGOkNdIZ6Y0MRkYjk5HZyGJkNbIZ2Y0cRk4jl5HbyGPkNfIZ+Y0CRkGjkFHYKGIUNYoZxY0SRkmjlFHaKGOUNcoZ5Y0KRkWjklHZqGJUNaoZ1Y0aRk2jllHbqGPUNeoZ9Y0GRkOjkdHYaGI0NZoZzY0WRkujldHaaGO0NdoZ7Y0ORkejk9HZ6GJ0NboZ3Y0eRk+jl9Hb6GP0NfoZ/Y0BxkBjkDHYGGIMNYYZw40RxkhjlDHaGGOMNcYZ440JxkRjkjHZmGJMNTADNwiDNCiDNhiDNTiDNwRDNCRDNhRDNTRDNwzDNCzDNhzDNTzDNwIjNCIDGNBARmxMM6YbM4yZxixjtjHHmGvMM+YbC4yFxiJjsbHEWGosM5YbK4yVxipjtbHGWGusM9YbG4yNxiZjs7HF2GpsM7YbO4ydxi5jt7HH2GvsM/YbB4yDxiHjsHHEOGocM44bJ4yTxinjtHHGOGucM84bF4yLxiXjsnHFuGpcM64bN4ybxi3jtnHHuGvcM+4bD4yHxiPjsfHEeGo8M54bL4yXxivjtfHGeGu8M94bH4yPxifjs/HF+Gp8M74bP4yfxi/jt/HH+Gv8MxKYCc1EZmIziZnUTGYmN1OYKc1UZmozjZnWTGemNzOYGc1MZmYzi5nVzGZmN3OYOc1cZm4zj5nXzGfmNwuYBc1CZmGziFnULGYWN0uYJc1SZmmzjFnWLGeWNyuYFc1KZmWzilnVrGZWN2uYNc1aZm2zjlnXrGfWNxuYDc1GZmOzidnUbGY2N1uYLc1WZmuzjdnWbGe2NzuYHc1OZmezi9nV7GZ2N3uYPc1eZm+zj9nX7Gf2NweYA81B5mBziDnUHGYON0eYI81R5mhzjDnWHGeONyeYE81J5mRzijnVxEzcJEzSpEzaZEzW5EzeFEzRlEzZVEzV1EzdNEzTtEzbdEzX9EzfDMzQjExgQhOZsTnNnG7OMGeas8zZ5hxzrjnPnG8uMBeai8zF5hJzqbnMXG6uMFeaq8zV5hpzrbnOXG9uMDeam8zN5hZzq7nN3G7uMHeau8zd5h5zr7nP3G8eMA+ah8zD5hHzqHnMPG6eME+ap8zT5hnzrHnOPG9eMC+al8zL5hXzqnnNvG7eMG+at8zb5h3zrnnPvG8+MB+aj8zH5hPzqfnMfG6+MF+ar8zX5hvzrfnOfG9+MD+an8zP5hfzq/nN/G7+MH+av8zf5h/zr/nPTGAltBJZia0kVlIrmZXcSmGltFJZqa00VlornZXeymBltDJZma0sVlYrm5XdymHltHJZua08Vl4rn5XfKmAVtApZha0iVlGrmFXcKmGVtEpZpa0yVlmrnFXeqmBVtCpZla0qVlWrmlXdqmHVtGpZta06Vl2rnlXfamA1tBpZja0mVlOrmdXcamG1tFpZra02VlurndXe6mB1tDpZna0uVlerm9Xd6mH1tHpZva0+Vl+rn9XfGmANtAZZg60h1lBrmDXcGmGNtEZZo60x1lhrnDXemmBNtCZZk60p1lQLs3CLsEiLsmiLsViLs3hLsERLsmRLsVRLs3TLsEzLsmzLsVzLs3wrsEIrsoAFLWTF1jRrujXDmmnNsmZbc6y51jxrvrXAWmgtshZbS6yl1jJrubXCWmmtslZba6y11jprvbXB2mhtsjZbW6yt1jZru7XD2mntsnZbe6y91j5rv3XAOmgdsg5bR6yj1jHruHXCOmmdsk5bZ6yz1jnrvHXBumhdsi5bV6yr1jXrunXDumndsm5bd6y71j3rvvXAemg9sh5bT6yn1jPrufXCemm9sl5bb6y31jvrvfXB+mh9sj5bX6yv1jfru/XD+mn9sn5bf6y/1j8rgZ3QTmQntpPYSe1kdnI7hZ3STmWnttPYae10dno7g53RzmRntrPYWe1sdnY7h53TzmXntvPYee18dn67gF3QLmQXtovYRe1idnG7hF3SLmWXtsvYZe1ydnm7gl3RrmRXtqvYVe1qdnW7hl3TrmXXtuvYde16dn27gd3QbmQ3tpvYTe1mdnO7hd3SbmW3ttvYbe12dnu7g93R7mR3trvYXe1udne7h93T7mX3tvvYfe1+dn97gD3QHmQPtofYQ+1h9nB7hD3SHmWPtsfYY+1x9nh7gj3RnmRPtqfYU23Mxm3CJm3Kpm3GZm3O5m3BFm3Jlm3FVm3N1m3DNm3Ltm3Hdm3P9u3ADu3IBja0kR3b0+zp9gx7pj3Lnm3Psefa8+z59gJ7ob3IXmwvsZfay+zl9gp7pb3KXm2vsdfa6+z19gZ7o73J3mxvsbfa2+zt9g57p73L3m3vsffa++z99gH7oH3IPmwfsY/ax+zj9gn7pH3KPm2fsc/a5+zz9gX7on3Jvmxfsa/a1+zr9g37pn3Lvm3fse/a9+z79gP7of3Ifmw/sZ/az+zn9gv7pf3Kfm2/sd/a7+z39gf7o/3J/mx/sb/a3+zv9g/7p/3L/m3/sf/a/+wETkInkZPYSeIkdZI5yZ0UTkonlZPaSeOkddI56Z0MTkYnk5PZyeJkdbI52Z0cTk4nl5PbyePkdfI5+Z0CTkGnkFPYKeIUdYo5xZ0STkmnlFPaKeOUdco55Z0KTkWnklPZqeJUdao51Z0aTk2nllPbqePUdeo59Z0GTkOnkdPYaeI0dZo5zZ0WTkunldPaaeO0ddo57Z0OTkenk9PZ6eJ0dbo53Z0eTk+nl9Pb6eP0dfo5/Z0BzkBnkDPYGeIMdYY5w50RzkhnlDPaGeOMdcY5450JzkRnkjPZmeJMdTAHdwiHdCiHdhiHdTiHdwRHdCRHdhRHdTRHdwzHdCzHdhzHdTzHdwIndCIHONBBTuxMc6Y7M5yZzixntjPHmevMc+Y7C5yFziJnsbPEWeosc5Y7K5yVzipntbPGWeusc9Y7G5yNziZns7PF2epsc7Y7O5ydzi5nt7PH2evsc/Y7B5yDziHnsHPEOeocc447J5yTzinntHPGOeucc847F5yLziXnsnPFuepcc647N5ybzi3ntnPHuevcc+47D5yHziPnsfPEeeo8c547L5yXzivntfPGeeu8c947H5yPzifns/PF+ep8c747P5yfzi/nt/PH+ev8cxK4Cd1EbmI3iZvUTeYmd1O4Kd1Ubmo3jZvWTeemdzO4Gd1MbmY3i5vVzeZmd3O4Od1cbm43j5vXzefmdwu4Bd1CbmG3iFvULeYWd0u4Jd1Sbmm3jFvWLeeWdyu4Fd1KbmW3ilvVreZWd2u4Nd1abm23jlvXrefWdxu4Dd1GbmO3idvUbeY2d1u4Ld1Wbmu3jdvWbee2dzu4Hd1Obme3i9vV7eZ2d3u4Pd1ebm+3j9vX7ef2dwe4A91B7mB3iDvUHeYOd0e4I91R7mh3jDvWHeeOdye4E91J7mR3ijvVxVzcJVzSpVzaZVzW5VzeFVzRlVzZVVzV1VzdNVzTtVzbdVzX9VzfDdzQjVzgQhe5sTvNne7OcGe6s9zZ7hx3rjvPne8ucBe6i9zF7hJ3qbvMXe6ucFe6q9zV7hp3rbvOXe9ucDe6m9zN7hZ3q7vN3e7ucHe6u9zd7h53r7vP3e8ecA+6h9zD7hH3qHvMPe6ecE+6p9zT7hn3rHvOPe9ecC+6l9zL7hX3qnvNve7ecG+6t9zb7h33rnvPve8+cB+6j9zH7hP3qfvMfe6+cF+6r9zX7hv3rfvOfe9+cD+6n9zP7hf3q/vN/e7+cH+6v9zf7h/3r/vPTeAl9BJ5ib0kXlIvmZfcS+Gl9FJ5qb00XlovnZfey+Bl9DJ5mb0sXlYvm5fdy+Hl9HJ5ub08Xl4vn5ffK+AV9Ap5hb0iXlGvmFfcK+GV9Ep5pb0yXlmvnFfeq+BV9Cp5lb0qXlWvmlfdq+HV9Gp5tb06Xl2vnlffa+A19Bp5jb0mXlOvmdfca+G19Fp5rb02Xluvndfe6+B19Dp5nb0uXlevm9fd6+H19Hp5vb0+Xl+vn9ffG+AN9AZ5g70h3lBvmDfcG+GN9EZ5o70x3lhvnDfem+BN9CZ5k70p3lQP83CP8EiP8miP8ViP83hP8ERP8mRP8VRP83TP8EzP8mzP8VzP83wv8EIv8oAHPeTF3jRvujfDm+nN8mZ7c7y53jxvvrfAW+gt8hZ7S7yl3jJvubfCW+mt8lZ7a7y13jpvvbfB2+ht8jZ7W7yt3jZvu7fD2+nt8nZ7e7y93j5vv3fAO+gd8g57R7yj3jHvuHfCO+md8k57Z7yz3jnvvHfBu+hd8i57V7yr3jXvunfDu+nd8m57d7y73j3vvvfAe+g98h57T7yn3jPvuffCe+m98l57b7y33jvvvffB++h98j57X7yv3jfvu/fD++n98n57f7y/3j8vgZ/QT+Qn9pP4Sf1kfnI/hZ/ST+Wn9tP4af10fno/g5/Rz+Rn9rP4Wf1sfnY/h5/Tz+Xn9vP4ef18fn6/gF/QL+QX9ov4Rf1ifnG/hF/SL+WX9sv4Zf1yfnm/gl/Rr+RX9qv4Vf1qfnW/hl/Tr+XX9uv4df16fn2/gd/Qb+Q39pv4Tf1mfnO/hd/Sb+W39tv4bf12fnu/g9/R7+R39rv4Xf1ufne/h9/T7+X39vv4ff1+fn9/gD/QH+QP9of4Q/1h/nB/hD/SH+WP9sf4Y/1x/nh/gj/Rn+RP9qf4U33Mx33CJ33Kp33GZ33O533BF33Jl33FV33N133DN33Lt33Hd33P9/3AD/3IBz70kR/70/zp/gx/pj/Ln+3P8ef68/z5/gJ/ob/IX+wv8Zf6y/zl/gp/pb/KX+2v8df66/z1/gZ/o7/J3+xv8bf62/zt/g5/p7/L3+3v8ff6+/z9/gH/oH/IP+wf8Y/6x/zj/gn/pH/KP+2f8c/65/zz/gX/on/Jv+xf8a/61/zr/g3/pn/Lv+3f8e/69/z7/gP/of/If+w/8Z/6z/zn/gv/pf/Kf+2/8d/67/z3/gf/o//J/+x/8b/63/zv/g//p//L/+3/8f/6//wEQcIgUZA4SBIkDZIFyYMUQcogVZA6SBOkDdIF6YMMQcYgU5A5yBJkDbIF2YMcQc4gV5A7yBPkDfIF+YMCQcGgUFA4KBIUDYoFxYMSQcmgVFA6KBOUDcoF5YMKQcWgUlA5qBJUDaoF1YMaQc2gVlA7qBPUDeoF9YMGQcOgUdA4aBI0DZoFzYMWQcugVdA6aBO0DdoF7YMOQcegU9A56BJ0DboF3YMeQc+gV9A76BP0DfoF/YMBwcBgUDA4GBIMDYYFw4MRwchgVDA6GBOMDcYF44MJwcRgUjA5mBJMDbAAD4iADKiADpiADbiAD4RADKRADpRADbRAD4zADKzADpzADbzAD4IgDKIABDBAQRxMC6YHM4KZwaxgdjAnmBvMC+YHC4KFwaJgcbAkWBosC5YHK4KVwapgdbAmWBusC9YHG4KNwaZgc7Al2BpsC7YHO4Kdwa5gd7An2BvsC/YHB4KDwaHgcHAkOBocC44HJ4KTwangdHAmOBucC84HF4KLwaXgcnAluBpcC64HN4Kbwa3gdnAnuBvcC+4HD4KHwaPgcfAkeBo8C54HL4KXwavgdfAmeBu8C94HH4KPwafgc/Al+Bp8C74HP4Kfwa/gd/An+Bv8CxKECcNEYeIwSZg0TBYmD1OEKcNUYeowTZg2TBemDzOEGcNMYeYwS5g1zBZmD3OEOcNcYe4wT5g3zBfmDwuEBcNCYeGwSFg0LBYWD0uEJcNSYemwTFg2LBeWDyuEFcNKYeWwSlg1rBZWD2uENcNaYe2wTlg3rBfWDxuEDcNGYeOwSdg0bBY2D1uELcNWYeuwTdg2bBe2DzuEHcNOYeewS9g17BZ2D3uEPcNeYe+wT9g37Bf2DweEA8NB4eBwSDg0HBYOD0eEI8NR4ehwTDg2HBeODyeEE8NJ4eRwSjg1xEI8JEIypEI6ZEI25EI+FEIxlEI5VEI11EI9NEIztEI7dEI39EI/DMIwjEIQwhCFcTgtnB7OCGeGs8LZ4ZxwbjgvnB8uCBeGi8LF4ZJwabgsXB6uCFeGq8LV4ZpwbbguXB9uCDeGm8LN4ZZwa7gt3B7uCHeGu8Ld4Z5wb7gv3B8eCA+Gh8LD4ZHwaHgsPB6eCE+Gp8LT4ZnwbHguPB9eCC+Gl8LL4ZXwangtvB7eCG+Gt8Lb4Z3wbngvvB8+CB+Gj8LH4ZPwafgsfB6+CF+Gr8LX4ZvwbfgufB9+CD+Gn8LP4Zfwa/gt/B7+CH+Gv8Lf4Z/wb/gvTBAljBJFiaMkUdIoWZQ8ShGljFJFqaM0UdooXZQ+yhBljDJFmaMsUdYoW5Q9yhHljHJFuaM8Ud4oX5Q/KhAVjApFhaMiUdGoWFQ8KhGVjEpFpaMyUdmoXFQ+qhBVjCpFlaMqUdWoWlQ9qhHVjGpFtaM6Ud2oXlQ/ahA1jBpFjaMmUdOoWdQ8ahG1jFpFraM2UduoXdQ+6hB1jDpFnaMuUdeoW9Q96hH1jHpFvaM+Ud+oX9Q/GhANjAZFg6Mh0dBoWDQ8GhGNjEZFo6Mx0dhoXDQ+mhBNjCZFk6Mp0dQIi/CIiMiIiuiIidiIi/hIiMRIiuRIidRIi/TIiMzIiuzIidzIi/woiMIoikAEIxTF0bRoejQjmhnNimZHc6K50bxofrQgWhgtihZHS6Kl0bJoebQiWhmtilZHa6K10bpofbQh2hhtijZHW6Kt0bZoe7Qj2hntinZHe6K90b5of3QgOhgdig5HR6Kj0bHoeHQiOhmdik5HZ6Kz0bnofHQhuhhdii5HV6Kr0bXoenQjuhndim5Hd6K70b3ofvQgehg9ih5HT6Kn0bPoefQiehm9il5Hb6K30bvoffQh+hh9ij5HX6Kv0bfoe/Qj+hn9in5Hf6K/0b8oAUgIEoHEIAlICpKB5CAFSAlSgdQgDUgL0oH0IAPICDKBzCALyAqygewgB8gJcoHcIA/IC/KB/KAAKAgKgcKgCCgKioHioAQoCUqB0qAMKAvKgfKgAqgIKoHKoAqoCqqB6qAGqAlqgdqgDqgL6oH6oAFoCBqBxqAJaAqageagBWgJWoHWoA1oC9qB9qAD6Ag6gc6gC+gKuoHuoAfoCXqB3qAP6Av6gf5gABgIBoHBYAgYCoaB4WAEGAlGgdFgDBgLxoHxYAKYCCaByWAKmAowgAMCkIACNGAACzjAAwGIQAIyUIAKNKADA5jAAjZwgAs84IMAhCACAECAQAymgelgBpgJZoHZYA6YC+aB+WABWAgWgcVgCVgKloHlYAVYCVaB1WANWAvWgfVgA9gINoHNYAvYCraB7WAH2Al2gd1gD9gL9oH94AA4CA6Bw+AIOAqOgePgBDgJToHT4Aw4C86B8+ACuAgugcvgCrgKroHr4Aa4CW6B2+AOuAvugfvgAXgIHoHH4Al4Cp6B5+AFeAlegdfgDXgL3oH34AP4CD6Bz+AL+Aq+ge/gB/gJfoHf4A/4C/6BBDAhTAQTwyQwKUwGk8MUMCVMBVPDNDAtTAfTwwwwI8wEM8MsMCvMBrPDHDAnzAVzwzwwL8wH88MCsCAsBAvDIrAoLAaLwxKwJCwFS8MysCwsB8vDCrAirAQrwyqwKqwGq8MasCasBWvDOrAurAfrwwawIWwEG8MmsClsBpvDFrAlbAVbwzawLWwH28MOsCPsBDvDLrAr7Aa7wx6wJ+wFe8M+sC/sB/vDAXAgHAQHwyFwKBwGh8MRcCQcBUfDMXAsHAfHwwlwIpwEJ8MpcCrEIA4JSEIK0pCBLOQgDwUoQgnKUIEq1KAODWhCC9rQgS70oA8DGMIIAgghgjGcBqfDGXAmnAVnwzlwLpwH58MFcCFcBBfDJXApXAaXwxVwJVwFV8M1cC1cB9fDDXAj3AQ3wy1wK9wGt8MdcCfcBXfDPXAv3Af3wwPwIDwED8Mj8Cg8Bo/DE/AkPAVPwzPwLDwHz8ML8CK8BC/DK/AqvAavwxvwJrwFb8M78C68B+/DB/AhfAQfwyfwKXwGn8MX8CV8BV/DN/AtfAffww/wI/wEP8Mv8Cv8Br/DH/An/AV/wz/wL/wHE6CEKBFKjJKgpCgZSo5SoJQoFUqN0qC0KB1KjzKgjCgTyoyyoKwoG8qOcqCcKBfKjfKgvCgfyo8KoIKoECqMiqCiqBgqjkqgkqgUKo3KoLKoHCqPKqCKqBKqjKqgqqgaqo5qoJqoFqqN6qC6qB6qjxqghqgRaoyaoKaoGWqOWqCWqBVqjdqgtqgdao86oI6oE+qMuqCuqBvqjnqgnqgX6o36oL6oH+qPBqCBaBAajIagoWgYGo5GoJFoFBqNxqCxaBwajyagiWgSmoymoKkIQzgiEIkoRCMGsYhDPBKQiCQkIwWpSEM6MpCJLGQjB7nIQz4KUIgiBBBECMVoGpqOZqCZaBaajeaguWgemo8WoIVoEVqMlqClaBlajlaglWgVWo3WoLVoHVqPNqCNaBPajLagrWgb2o52oJ1oF9qN9qC9aB/ajw6gg+gQOoyOoKPoGDqOTqCT6BQ6jc6gs+gcOo8uoIvoErqMrqCr6Bq6jm6gm+gWuo3uoLvoHrqPHqCH6BF6jJ6gp+gZeo5eoJfoFXqN3qC36B16jz6gj+gT+oy+oK/oG/qOfqCf6Bf6jf6gv+gfShAnjBPFieMkcdI4WZw8ThGnjFPFqeM0cdo4XZw+zhBnjDPFmeMscdY4W5w9zhHnjHPFueM8cd44X5w/LhAXjAvFheMicdG4WFw8LhGXjEvFpeMycdm4XFw+rhBXjCvFleMqcdW4Wlw9rhHXjGvFteM6cd24Xlw/bhA3jBvFjeMmcdO4Wdw8bhG3jFvFreM2cdu4Xdw+7hB3jDvFneMucde4W9w97hH3jHvFveM+cd+4X9w/HhAPjAfFg+Mh/wmAB8CslwAA4Nm2bdt62bbNZXuttez627bu7su2bdu23w8bhY3GxmBjsXHYeGwCFodNxCZhk7Ep2FRsGjYdm4HNxGZhs7E52FxsHjYfW4AtxBZhi7F4bAmWgC3FErFlWBK2HFuBrcRWYauxNdhabB22HtuAbcQ2YZuxLdhWDMNwjMBIjMJojMFYjMN4TMBETMJkTMFUTMN0zMBMzMJszMFczMN8LMBCLMIABjGExbBt2HZsB7YT24XtxvZge7F92H7sAHYQO4Qdxo5gR7Fj2HHsBHYSO4Wdxs5gZ7Fz2HnsAnYRu4Rdxq5gV7Fr2HXsBnYTu4Xdxu5gd7F72H3sAfYQe4Q9xp5gT7Fn2HPsBfYSe4W9xt5gb7F32HvsA/YR+4R9xr5gX7Fv2HfsB/YT+4X9xv5gf7F/WDI8OZ4CT4mnwlPjafC0eDo8PZ4Bz4hnwjPjWfCseDY8O54Dz4nnwnPjefC8eD48P14AL4gXwgvjRfCieDG8OF4CL4mXwkvjZfCyeDm8PF4Br4hXwivjVfCqeDW8Ol4Dr4nXwmvjdfC6eD28Pt4Ab4g3whvjTfCmeDP8P7w53gJvibfCW+Nt8LZ4O7w93gHviHfCO+Nd8K54N7w73gPviffCe+N98L54P7w/PgAfiA/CB+ND8KH4MHw4PgIfiY/CR+Nj8LH4OHw8PgGPwyfik/DJ+BR8Kj4Nn47PwGfis/DZ+Bx8Lj4Pn48vwBfii/DFeDy+BE/Al+KJ+DI8CV+Or8BX4qvw1fgafC2+Dl+Pb8A34pvwzfgWfCuO4ThO4CRO4TTO4CzO4Twu4CIu4TKu4Cqu4Tpu4CZu4Tbu4C7u4T4e4CEe4QCHOMJj+DZ8O74D34nvwnfje/C9+D58P34AP4gfwg/jR/Cj+DH8OH4CP4mfwk/jZ/Cz+Dn8PH4Bv4hfwi/jV/Cr+DX8On4Dv4nfwm/jd/C7+D38Pv4Af4g/wh/jT/Cn+DP8Of4Cf4m/wl/jb/C3+Dv8Pf4B/4h/wj/jX/Cv+Df8O/4D/4n/wn/jf/C/+D88GZGcSEGkJFIRqYk0RFoiHZGeyEBkJDIRmYksRFYiG5GdyEHkJHIRuYk8RF4iH5GfKEAUJAoRhYkiRFGiGFGcKEGUJEoRpYkyRFmiHFGeqEBUJCoRlYkqRFWiGlGdqEHUJGoRtYk6RF2iHlGfaEA0JBoRjYkmRFOiGfEf0ZxoQbQkWhGtiTZEW6Id0Z7oQHQkOhGdiS5EV6Ib0Z3oQfQkehG9iT5EX6If0Z8YQAwkBhGDiSHEUGIYMZwYQYwkRhGjiTHEWGIcMZ6YQMQRE4lJxGRiCjGVmEZMJ2YQM4lZxGxiDjGXmEfMJxYQC4lFxGIinlhCJBBLiURiGZFELCdWECuJVcRqYg2xllhHrCc2EBuJTcRmYguxlcAInCAIkqAImmAIluAInhAIkZAImVAIldAInTAIk7AIm3AIl/AInwiIkIgIQEACETFiG7Gd2EHsJHYRu4k9xF5iH7GfOEAcJA4Rh4kjxFHiGHGcOEGcJE4Rp4kzxFniHHGeuEBcJC4Rl4krxFXiGnGduEHcJG4Rt4k7xF3iHnGfeEA8JB4Rj4knxFPiGfGceEG8JF4Rr4k3xFviHfGe+EB8JD4Rn4kvxFfiG/Gd+EH8JH4Rv4k/xF/iH5GMTE6mIFOSqcjUZBoyLZmOTE9mIDOSmcjMZBYyK5mNzE7mIHOSucjcZB4yL5mPzE8WIAuShcjCZBGyKFmMLE6WIEuSpcjSZBmyLFmOLE9WICuSlcjKZBWyKlmNrE7WIGuStcjaZB2yLlmPrE82IBuSjcjGZBOyKdmM/I9sTrYgW5KtyNZkG7It2Y5sT3YgO5KdyM5kF7Ir2Y3sTvYge5K9yN5kH7Iv2Y/sTw4gB5KDyMHkEHIoOYwcTo4gR5KjyNHkGHIsOY4cT04g48iJ5CRyMjmFnEpOI6eTM8iZ5CxyNjmHnEvOI+eTC8iF5CJyMRlPLiETyKVkIrmMTCKXkyvIleQqcjW5hlxLriPXkxvIjeQmcjO5hdxKYiROEiRJUiRNMiRLciRPCqRISqRMKqRKaqROGqRJWqRNOqRLeqRPBmRIRiQgIYnIGLmN3E7uIHeSu8jd5B5yL7mP3E8eIA+Sh8jD5BHyKHmMPE6eIE+Sp8jT5BnyLHmOPE9eIC+Sl8jL5BXyKnmNvE7eIG+St8jb5B3yLnmPvE8+IB+Sj8jH5BPyKfmMfE6+IF+Sr8jX5BvyLfmOfE9+ID+Sn8jP5BfyK/mN/E7+IH+Sv8jf5B/yL/mPTEYlp1JQKalUVGoqDZWWSkelpzJQGalMVGYqC5WVykZlp3JQOalcVG4qD5WXykflpwpQBalCVGGqCFWUKkYVp0pQJalSVGmqDFWWKkeVpypQFalKVGWqClWVqkZVp2pQNalaVG2qDlWXqkfVpxpQDalGVGOqCdWUakb9RzWnWlAtqVZUa6oN1ZZqR7WnOlAdqU5UZ6oL1ZXqRnWnelA9qV5Ub6oP1ZfqR/WnBlADqUHUYGoINZQaRg2nRlAjqVHUaGoMNZYaR42nJlBx1ERqEjWZmkJNpaZR06kZ1ExqFjWbmkPNpeZR86kF1EJqEbWYiqeWUAnUUiqRWkYlUcupFdRKahW1mlpDraXWUeupDdRGahO1mdpCbaUwCqcIiqQoiqYYiqU4iqcESqQkSqYUSqU0SqcMyqQsyqYcyqU8yqcCKqQiClCQQlSM2kZtp3ZQO6ld1G5qD7WX2kftpw5QB6lD1GHqCHWUOkYdp05QJ6lT1GnqDHWWOkedpy5QF6lL1GXqCnWVukZdp25QN6lb1G3qDnWXukfdpx5QD6lH1GPqCfWUekY9p15QL6lX1GvqDfWWeke9pz5QH6lP1GfqC/WV+kZ9p35QP6lf1G/qD/WX+kclo5PTKeiUdCo6NZ2GTkuno9PTGeiMdCY6M52Fzkpno7PTOeicdC46N52Hzkvno/PTBeiCdCG6MF2ELkoXo4vTJeiSdCm6NF2GLkuXo8vTFeiKdCW6Ml2FrkpXo6vTNeiadC26Nl2HrkvXo+vTDeiGdCO6Md2Ebko3o/+jm9Mt6JZ0K7o13YZuS7ej29Md6I50J7oz3YXuSneju9M96J50L7o33YfuS/ej+9MD6IH0IHowPYQeSg+jh9Mj6JH0KHo0PYYeS4+jx9MT6Dh6Ij2JnkxPoafS0+jp9Ax6Jj2Lnk3PoefS8+j59AJ6Ib2IXkzH00voBHopnUgvo5Po5fQKeiW9il5Nr6HX0uvo9fQGeiO9id5Mb6G30hiN0wRN0hRN0wzN0hzN0wIt0hIt0wqt0hqt0wZt0hZt0w7t0h7t0wEd0hENaEgjOkZvo7fTO+id9C56N72H3kvvo/fTB+iD9CH6MH2EPkofo4/TJ+iT9Cn6NH2GPkufo8/TF+iL9CX6Mn2Fvkpfo6/TN+ib9C36Nn2Hvkvfo+/TD+iH9CP6Mf2Efko/o5/TL+iX9Cv6Nf2Gfku/o9/TH+iP9Cf6M/2F/kp/o7/TP+if9C/6N/2H/kv/o5MxyZkUTEomFZOaScOkZdIx6ZkMTEYmE5OZycJkZbIx2ZkcTE4mF5ObycPkZfIx+ZkCTEGmEFOYKcIUZYoxxZkSTEmmFFOaKcOUZcox5ZkKTEWmElOZqcJUZaox1ZkaTE2mFlObqcPUZeox9ZkGTEOmEdOYacI0ZZox/zHNmRZMS6YV05ppw7Rl2jHtmQ5MR6YT05npwnRlujHdmR5MT6YX05vpw/Rl+jH9mQHMQGYQM5gZwgxlhjHDmRHMSGYUM5oZw4xlxjHjmQlMHDORmcRMZqYwU5lpzHRmBjOTmcXMZuYwc5l5zHxmAbOQWcQsZuKZJUwCs5RJZJYxScxyZgWzklnFrGbWMGuZdcx6ZgOzkdnEbGa2MFsZjMEZgiEZiqEZhmEZjuEZgREZiZEZhVEZjdEZgzEZi7EZh3EZj/GZgAmZiAEMZBATY7Yx25kdzE5mF7Ob2cPsZfYx+5kDzEHmEHOYOcIcZY4xx5kTzEnmFHOaOcOcZc4x55kLzEXmEnOZucJcZa4x15kbzE3mFnObucPcZe4x95kHzEPmEfOYecI8ZZ4xz5kXzEvmFfOaecO8Zd4x75kPzEfmE/OZ+cJ8Zb4x35kfzE/mF/Ob+cP8Zf4xydjkbAo2JZuKTc2mYdOy6dj0bAY2I5uJzcxmYbOy2djsbA42J5uLzc3mYfOy+dj8bAG2IFuILcwWYYuyxdjibAm2JFuKLc2WYcuy5djybAW2IluJrcxWYauy1djqbA22JluLrc3WYeuy9dj6bAO2IduIbcw2YZuyzdj/2OZsC7Yl24ptzbZh27Lt2PZsB7Yj24ntzHZhu7Ld2O5sD7Yn24vtzfZh+7L92P7sAHYgO4gdzA5hh7LD2OHsCHYkO4odzY5hx7Lj2PHsBDaOnchOYiezU9ip7DR2OjuDncnOYmezc9i57Dx2PruAXcguYhez8ewSNoFdyiayy9gkdjm7gl3JrmJXs2vYtew6dj27gd3IbmI3s1vYrSzG4izBkizF0izDsizH8qzAiqzEyqzCqqzG6qzBmqzF2qzDuqzH+mzAhmzEAhayiI2x29jt7A52J7uL3c3uYfey+9j97AH2IHuIPcweYY+yx9jj7An2JHuKPc2eYc+y59jz7AX2InuJvcxeYa+y19jr7A32JnuLvc3eYe+y99j77AP2IfuIfcw+YZ+yz9jn7Av2JfuKfc2+Yd+y79j37Af2I/uJ/cx+Yb+y39jv7A/2J/uL/c3+Yf+y/9hkXHIuBZeSS8Wl5tJwabl0XHouA5eRy8Rl5rJwWblsXHYuB5eTy8Xl5vJwebl8XH6uAFeQK8QV5opwRbliXHGuBFeSK8WV5spwZblyXHmuAleRq8RV5qpwVblqXHWuBleTq8XV5upwdbl6XH2uAdeQa8Q15ppwTblm3H9cc64F15JrxbXm2nBtuXZce64D15HrxHXmunBduW5cd64H15PrxfXm+nB9uX5cf24AN5AbxA3mhnBDuWHccG4EN5IbxY3mxnBjuXHceG4CF8dN5CZxk7kp3FRuGjedm8HN5GZxs7k53FxuHjefW8At5BZxi7l4bgmXwC3lErllXBK3nFvBreRWcau5Ndxabh23ntvAbeQ2cZu5LdxWDuNwjuBIjuJojuFYjuN4TuBETuJkTuFUTuN0zuBMzuJszuFczuN8LuBCLuIABznExbht3HZuB7eT28Xt5vZwe7l93H7uAHeQO8Qd5o5wR7lj3HHuBHeSO8Wd5s5wZ7lz3HnuAneRu8Rd5q5wV7lr3HXuBneTu8Xd5u5wd7l73H3uAfeQe8Q95p5wT7ln3HPuBfeSe8W95t5wb7l33HvuA/eR+8R95r5wX7lv3HfuB/eT+8X95v5wf7l/XDI+OZ+CT8mn4lPzafi0fDo+PZ+Bz8hn4jPzWfisfDY+O5+Dz8nn4nPzefi8fD4+P1+AL8gX4gvzRfiifDG+OF+CL8mX4kvzZfiyfDm+PF+Br8hX4ivzVfiqfDW+Ol+Dr8nX4mvzdfi6fD2+Pt+Ab8g34hvzTfimfDP+P74534JvybfiW/Nt+LZ8O74934HvyHfiO/Nd+K58N74734Pvyffie/N9+L58P74/P4AfyA/iB/ND+KH8MH44P4IfyY/iR/Nj+LH8OH48P4GP4yfyk/jJ/BR+Kj+Nn87P4Gfys/jZ/Bx+Lj+Pn88v4Bfyi/jFfDy/hE/gl/KJ/DI+iV/Or+BX8qv41fwafi2/jl/Pb+A38pv4zfwWfiuP8ThP8CRP8TTP8CzP8Twv8CIv8TKv8Cqv8Tpv8CZv8Tbv8C7v8T4f8CEf8YCHPOJj/DZ+O7+D38nv4nfze/i9/D5+P3+AP8gf4g/zR/ij/DH+OH+CP8mf4k/zZ/iz/Dn+PH+Bv8hf4i/zV/ir/DX+On+Dv8nf4m/zd/i7/D3+Pv+Af8g/4h/zT/in/DP+Of+Cf8m/4l/zb/i3/Dv+Pf+B/8h/4j/zX/iv/Df+O/+D/8n/4n/zf/i//D8+mZBcSCGkFFIJqYU0QlohnZBeyCBkFDIJmYUsQlYhm5BdyCHkFHIJuYU8Ql4hn5BfKCAUFAoJhYUiQlGhmFBcKCGUFEoJpYUyQlmhnFBeqCBUFCoJlYUqQlWhmlBdqCHUFGoJtYU6Ql2hnlBfaCA0FBoJjYUmQlOhmfCf0FxoIbQUWgmthTZCW6Gd0F7oIHQUOgmdhS5CV6Gb0F3oIfQUegm9hT5CX6Gf0F8YIAwUBgmDhSHCUGGYMFwYIYwURgmjhTHCWGGcMF6YIMQJE4VJwmRhijBVmCZMF2YIM4VZwmxhjjBXmCfMFxYIC4VFwmIhXlgiJAhLhURhmZAkLBdWCCuFVcJqYY2wVlgnrBc2CBuFTcJmYYuwVcAEXCAEUqAEWmAEVuAEXhAEUZAEWVAEVdAEXTAEU7AEW3AEV/AEXwiEUIgEIEABCTFhm7Bd2CHsFHYJu4U9wl5hn7BfOCAcFA4Jh4UjwlHhmHBcOCGcFE4Jp4UzwlnhnHBeuCBcFC4Jl4UrwlXhmnBduCHcFG4Jt4U7wl3hnnBfeCA8FB4Jj4UnwlPhmfBceCG8FF4Jr4U3wlvhnfBe+CB8FD4Jn4Uvwlfhm/Bd+CH8FH4Jv4U/wl/hn5BMTC6mEFOKqcTUYhoxrZhOTC9mEDOKmcTMYhYxq5hNzC7mEHOKucTcYh4xr5hPzC8WEAuKhcTCYhGxqFhMLC6WEEuKpcTSYhmxrFhOLC9WECuKlcTKYhWxqlhNrC7WEGuKtcTaYh2xrlhPrC82EBuKjcTGYhOxqdhM/E9sLrYQW4qtxNZiG7Gt2E5sL3YQO4qdxM5iF7Gr2E3sLvYQe4q9xN5iH7Gv2E/sLw4QB4qDxMHiEHGoOEwcLo4QR4qjxNHiGHGsOE4cL04Q48SJ4iRxsjhFnCpOE6eLM8SZ4ixxtjhHnCvOE+eLC8SF4iJxsRgvLhETxKViorhMTBKXiyvEleIqcbW4RlwrrhPXixvEjeImcbO4RdwqYiIuEiIpUiItMiIrciIvCqIoSqIsKqIqaqIuGqIpWqItOqIreqIvBmIoRiIQoYjEmLhN3C7uEHeKu8Td4h5xr7hP3C8eEA+Kh8TD4hHxqHhMPC6eEE+Kp8TT4hnxrHhOPC9eEC+Kl8TL4hXxqnhNvC7eEG+Kt8Tb4h3xrnhPvC8+EB+Kj8TH4hPxqfhMfC6+EF+Kr8TX4hvxrfhOfC9+ED+Kn8TP4hfxq/hN/C7+EH+Kv8Tf4h/xr/hPTCYll1JIKaVUUmopjZRWSiellzJIGaVMUmYpi5RVyiZll3JIOaVcUm4pj5RXyifllwpIBaVCUmGpiFRUKiYVl0pIJaVSUmmpjFRWKieVlypIFaVKUmWpilRVqiZVl2pINaVaUm2pjlRXqifVlxpIDaVGUmOpidRUaib9JzWXWkgtpVZSa6mN1FZqJ7WXOkgdpU5SZ6mL1FXqJnWXekg9pV5Sb6mP1FfqJ/WXBkgDpUHSYGmINFQaJg2XRkgjpVHSaGmMNFYaJ42XJkhx0kRpkjRZmiJNlaZJ06UZ0kxpljRbmiPNleZJ86UF0kJpkbRYipeWSAnSUilRWiYlSculFdJKaZW0WlojrZXWSeulDdJGaZO0WdoibZUwCZcIiZQoiZYYiZU4iZcESZQkSZYUSZU0SZcMyZQsyZYcyZU8yZcCKZQiCUhQQlJM2iZtl3ZIO6Vd0m5pj7RX2iftlw5IB6VD0mHpiHRUOiYdl05IJ6VT0mnpjHRWOiedly5IF6VL0mXpinRVuiZdl25IN6Vb0m3pjnRXuifdlx5ID6VH0mPpifRUeiY9l15IL6VX0mvpjfRWeie9lz5IH6VP0mfpi/RV+iZ9l35IP6Vf0m/pj/RX+iclk5PLKeSUcio5tZxGTiunk9PLGeSMciY5s5xFzipnk7PLOeScci45t5xHzivnk/PLBeSCciG5sFxELioXk4vLJeSScim5tFxGLiuXk8vLFeSKciW5slxFripXk6vLNeSaci25tlxHrivXk+vLDeSGciO5sdxEbio3k/+Tm8st5JZyK7m13EZuK7eT28sd5I5yJ7mz3EXuKneTu8s95J5yL7m33EfuK/eT+8sD5IHyIHmwPEQeKg+Th8sj5JHyKHm0PEYeK4+Tx8sT5Dh5ojxJnixPkafK0+Tp8gx5pjxLni3PkefK8+T58gJ5obxIXizHy0vkBHmpnCgvk5Pk5fIKeaW8Sl4tr5HXyuvk9fIGeaO8Sd4sb5G3ypiMy4RMypRMy4zMypzMy4IsypIsy4qsypqsy4ZsypZsy47syp7sy4EcypEMZCgjOSZvk7fLO+Sd8i55t7xH3ivvk/fLB+SD8iH5sHxEPiofk4/LJ+ST8in5tHxGPiufk8/LF+SL8iX5snxFvipfk6/LN+Sb8i35tnxHvivfk+/LD+SH8iP5sfxEfio/k5/LL+SX8iv5tfxGfiu/k9/LH+SP8if5s/xF/ip/k7/LP+Sf8i/5t/xH/iv/k5MpyZUUSkollZJaSaOkVdIp6ZUMSkYlk5JZyaJkVbIp2ZUcSk4ll5JbyaPkVfIp+ZUCSkGlkFJYKaIUVYopxZUSSkmllFJaKaOUVcop5ZUKSkWlklJZqaJUVaop1ZUaSk2lllJbqaPUVeop9ZUGSkOlkdJYaaI0VZop/ynNlRZKS6WV0lppo7RV2intlQ5KR6WT0lnponRVuindlR5KT6WX0lvpo/RV+in9lQHKQGWQMlgZogxVhinDlRHKSGWUMloZo4xVxinjlQlKnDJRmaRMVqYoU5VpynRlhjJTmaXMVuYoc5V5ynxlgbJQWaQsVuKVJUqCslRJVJYpScpyZYWyUlmlrFbWKGuVdcp6ZYOyUdmkbFa2KFsVTMEVQiEVSqEVRmEVTuEVQREVSZEVRVEVTdEVQzEVS7EVR3EVT/GVQAmVSAEKVJASU7Yp25Udyk5ll7Jb2aPsVfYp+5UDykHlkHJYOaIcVY4px5UTyknllHJaOaOcVc4p55ULykXlknJZuaJcVa4p15Ubyk3llnJbuaPcVe4p95UHykPlkfJYeaI8VZ4pz5UXykvllfJaeaO8Vd4p75UPykflk/JZ+aJ8Vb4p35Ufyk/ll/Jb+aP8Vf4pydTkago1pZpKTa2mUdOq6dT0agY1o5pJzaxmUbOq2dTsag41p5pLza3mUfOq+dT8agG1oFpILawWUYuqxdTiagm1pFpKLa2WUcuq5dTyagW1olpJraxWUauq1dTqag21plpLra3WUeuq9dT6agO1odpIbaw2UZuqzdT/1OZqC7Wl2kptrbZR26rt1PZqB7Wj2kntrHZRu6rd1O5qD7Wn2kvtrfZR+6r91P7qAHWgOkgdrA5Rh6rD1OHqCHWkOkodrY5Rx6rj1PHqBDVOnahOUierU9Sp6jR1ujpDnanOUmerc9S56jx1vrpAXaguUher8eoSNUFdqiaqy9Qkdbm6Ql2prlJXq2vUteo6db26Qd2oblI3q1vUrSqm4iqhkiql0iqjsiqn8qqgiqqkyqqiqqqm6qqhmqql2qqjuqqn+mqghmqkAhWqSI2p29Tt6g51p7pL3a3uUfeq+9T96gH1oHpIPaweUY+qx9Tj6gn1pHpKPa2eUc+q59Tz6gX1onpJvaxeUa+q19Tr6g31pnpLva3eUe+q99T76gP1ofpIfaw+UZ+qz9Tn6gv1pfpKfa2+Ud+q79T36gf1o/pJ/ax+Ub+q39Tv6g/1p/pL/a3+Uf+q/9RkWnIthZZSS6Wl1tJoabV0Wnotg5ZRy6Rl1rJoWbVsWnYth5ZTy6Xl1vJoebV8Wn6tgFZQK6QV1opoRbViWnGthFZSK6WV1spoZbVyWnmtglZRq6RV1qpoVbVqWnWthlZTq6XV1upodbV6Wn2tgdZQa6Q11ppoTbVmWjOtudZca6m11FprrbW2WlutvdZe66h11DprnbWuWletu9Zd66n11HprvbW+Wl+tv9ZfG6gN1AZrg7Wh2jBtuDZcG6mN1EZro7Wx2lhtvDZei9PitEnaZG2KNlWbpk3XZmgztVnabG2ONlebp83XFmgLtUXaYi1ei9cStAQtUUvUkrQkbYW2QlulrdLWaGu0ddo6bYO2QdukbdK2aFs0TMM0QiM1SqM1RmM1TuM1QRM1SZM1RVM1TdM1QzM1S7M1R3M1T/O1QAu1SAMa1JAW07Zp27Ud2k5tl7Zb26Pt1fZp+7UD2kHtkHZYO6Id1Y5px7UT2kntlHZaO6Od1c5p57UL2kXtknZZu6Jd1a5p17Ub2k3tlnZbu6Pd1e5p97UH2kPtkfZYe6I91Z5pz7UX2kvtlfZae6O91d5p77UP2kftk/ZZ+6J91b5p37Uf2k/tl/Zb+6P91f5pyfTkego9pZ5KT62n0dPq6fT0egY9o55Jz6xn0bPq2fTseg49p55Lz63n0fPq+fT8egG9oF5IL6wX0YvqxfTiegm9hF5KL6WX0cvo5fRyegW9gl5Jr6RX0avo1fTqeg29hl5Lr6XX0evo9fR6egO9gd5Ib6Q30ZvozfRmenO9ud5Sb6m31lvrbfW2enu9vd5R76h31jvrXfWuene9u95T76n31nvrffW+en+9vz5QH6gP1gfrQ/Wh+nB9uD5SH6mP1kfrY/Wx+nh9vB6nx+mT9En6FH2qPk2frs/QZ+qz9Nn6HH2uPlefr8/XF+qL9EV6vB6vJ+gJeqKeqCfpSfoKfaW+Sl+tr9bX6uv09foGfaO+Sd+sb9G36piO64RO6pRO64zO6pzO64Iu6pIu64qu6pqu64Zu6pZu647u6p7u64Ee6pEOdKgjPaZv07frO/Sd+i59t75H36vv0/frB/SD+iH9sH5EP6of04/rJ/ST+in9tH5GP6uf08/rF/SL+iX9sn5Fv6pf06/rN/Sb+i39tn5Hv6vf0+/rD/SH+iP9sf5Ef6o/05/rL/SX+iv9tf5Gf6u/09/rH/SP+if9s/5F/6p/07/rP/Sf+i/9t/5H/6v/05MZyY0URkojlZHaSGOkNdIZ6Y0MRkYjk5HZyGJkNbIZ2Y0cRk4jl5HbyGPkNfIZ+Y0CRkGjkFHYKGIUNYoZxY0SRkmjlFHaKGOUNcoZ5Y0KRkWjklHZqGJUNaoZ1Y0aRk2jllHbqGPUNeoZ9Y0GRkOjkdHYaGI0NZoZ/xnNjRZGS6OV0dpoY7Q12hntjQ5GR6OT0dnoYnQ1uhndjR5GT6OX0dvoY/Q1+hn9jQHGQGOQMdgYYgw1hhnDjRHGSGOUMdoYY4w1xhnjjQlGnDHRmGRMNqYYU41pxnRjhjHTmGXMNuYYc415xnxjgbHQWGQsNuKNJUaCsdRINJYZScZyY4Wx0lhlrDbWGGuNdcZ6Y4Ox0dhkbDa2GFsNzMANwiANyqANxmANzuANwRANyZANxVANzdANwzANy7ANx3ANz/CNwAiNyAAGNJARM7YZ240dxk5jl7Hb2GPsNfYZ+40DxkHjkHHYOGIcNY4Zx40TxknjlHHaOGOcNc4Z540LxkXjknHZuGJcNa4Z140bxk3jlnHbuGPcNe4Z940HxkPjkfHYeGI8NZ4Zz40XxkvjlfHaeGO8Nd4Z740Pxkfjk/HZ+GJ8Nb4Z340fxk/jl/Hb+GP8Nf4ZyczkZgozpZnKTG2mMdOa6cz0ZgYzo5nJzGxmMbOa2czsZg4zp5nLzG3mMfOa+cz8ZgGzoFnILGwWMYuaxcziZgmzpFnKLG2WMcua5czyZgWzolnJrGxWMaua1czqZg2zplnLrG3WMeua9cz6ZgOzodnIbGw2MZuazcz/zOZmC7Ol2cpsbbYx25rtzPZmB7Oj2cnsbHYxu5rdzO5mD7On2cvsbfYx+5r9zP7mAHOgOcgcbA4xh5rDzOHmCHOkOcocbY4xx5rjzPHmBDPOnGhOMiebU8yp5jRzujnDnGnOMmebc8y55jxzvrnAXGguMheb8eYSM8Fcaiaay8wkc7m5wlxprjJXm2vMteY6c725wdxobjI3m1vMrSZm4iZhkiZl0iZjsiZn8qZgiqZkyqZiqqZm6qZhmqZl2qZjuqZn+mZghmZkAhOayIyZ28zt5g5zp7nL3G3uMfea+8z95gHzoHnIPGweMY+ax8zj5gnzpHnKPG2eMc+a58zz5gXzonnJvGxeMa+a18zr5g3zpnnLvG3eMe+a98z75gPzofnIfGw+MZ+az8zn5gvzpfnKfG2+Md+a78z35gfzo/nJ/Gx+Mb+a38zv5g/zp/nL/G3+Mf+a/8xkVnIrhZXSSmWlttJYaa10Vnorg5XRymRltrJYWa1sVnYrh5XTymXltvJYea18Vn6rgFXQKmQVtopYRa1iVnGrhFXSKmWVtspYZa1yVnmrglXRqmRVtqpYVa1qVnWrhlXTqmXVtupYda16Vn2rgdXQamQ1tppYTa1m1n9Wc6uF1dJqZbW22lhtrXZWe6uD1dHqZHW2ulhdrW5Wd6uH1dPqZfW2+lh9rX5Wf2uANdAaZA22hlhDrWHWcGuENdIaZY22xlhjrXHWeGuCFWdNtCZZk60p1lRrmjXdmmHNtGZZs6051lxrnjXfWmAttBZZi614a4mVYC21Eq1lVpK13FphrbRWWautNdZaa5213tpgbbQ2WZutLdZWC7Nwi7BIi7Joi7FYi7N4S7BES7JkS7FUS7N0y7BMy7Jsy7Fcy7N8K7BCK7KABS1kxaxt1nZrh7XT2mXttvZYe6191n7rgHXQOmQdto5YR61j1nHrhHXSOmWdts5YZ61z1nnrgnXRumRdtq5YV61r1nXrhnXTumXdtu5Yd6171n3rgfXQemQ9tp5YT61n1nPrhfXSemW9tt5Yb6131nvrg/XR+mR9tr5YX61v1nfrh/XT+mX9tv5Yf61/VjI7uZ3CTmmnslPbaey0djo7vZ3BzmhnsjPbWeysdjY7u53DzmnnsnPbeey8dj47v13ALmgXsgvbReyidjG7uF3CLmmXskvbZeyydjm7vF3BrmhXsivbVeyqdjW7ul3DrmnXsmvbdey6dj27vt3Abmg3shvbTeymdjP7P7u53cJuabeyW9tt7LZ2O7u93cHuaHeyO9td7K52N7u73cPuafeye9t97L52P7u/PcAeaA+yB9tD7KH2MHu4PcIeaY+yR9tj7LH2OHu8PcGOsyfak+zJ9hR7qj3Nnm7PsGfas+zZ9hx7rj3Pnm8vsBfai+zFdry9xE6wl9qJ9jI7yV5ur7BX2qvs1fYae629zl5vb7A32pvszfYWe6uN2bhN2KRN2bTN2KzN2bwt2KIt2bKt2Kqt2bpt2KZt2bbt2K7t2b4d2KEd2cCGNrJj9jZ7u73D3mnvsnfbe+y99j57v33APmgfsg/bR+yj9jH7uH3CPmmfsk/bZ+yz9jn7vH3Bvmhfsi/bV+yr9jX7un3Dvmnfsm/bd+y79j37vv3Afmg/sh/bT+yn9jP7uf3Cfmm/sl/bb+y39jv7vf3B/mh/sj/bX+yv9jf7u/3D/mn/sn/bf+y/9j87mZPcSeGkdFI5qZ00TlonnZPeyeBkdDI5mZ0sTlYnm5PdyeHkdHI5uZ08Tl4nn5PfKeAUdAo5hZ0iTlGnmFPcKeGUdEo5pZ0yTlmnnFPeqeBUdCo5lZ0qTlWnmlPdqeHUdGo5tZ06Tl2nnlPfaeA0dBo5jZ0mTlOnmfOf09xp4bR0WjmtnTZOW6ed097p4HR0OjmdnS5OV6eb093p4fR0ejm9nT5OX6ef098Z4Ax0BjmDnSHOUGeYM9wZ4Yx0RjmjnTHOWGecM96Z4MQ5E51JzmRnijPVmeZMd2Y4M51ZzmxnjjPXmefMdxY4C51FzmIn3lniJDhLnURnmZPkLHdWOCudVc5qZ42z1lnnrHc2OBudTc5mZ4uz1cEc3CEc0qEc2mEc1uEc3hEc0ZEc2VEc1dEc3TEc07Ec23Ec1/Ec3wmc0Ikc4EAHOTFnm7Pd2eHsdHY5u509zl5nn7PfOeAcdA45h50jzlHnmHPcOeGcdE45p50zzlnnnHPeueBcdC45l50rzlXnmnPdueHcdG45t507zl3nnnPfeeA8dB45j50nzlPnmfPceeG8dF45r503zlvnnfPe+eB8dD45n50vzlfnm/Pd+eH8dH45v50/zl/nn5PMTe6mcFO6qdzUbho3rZvOTe9mcDO6mdzMbhY3q5vNze7mcHO6udzcbh43r5vPze8WcAu6hdzCbhG3qFvMLe6WcEu6pdzSbhm3rFvOLe9WcCu6ldzKbhW3qlvNre7WcGu6tdzabh23rlvPre82cBu6jdzGbhO3qdvM/c9t7rZwW7qt3NZuG7et285t73ZwO7qd3M5uF7er283t7vZwe7q93N5uH7ev28/t7w5wB7qD3MHuEHeoO8wd7o5wR7qj3NHuGHesO84d705w49yJ7iR3sjvFnepOc6e7M9yZ7ix3tjvHnevOc+e7C9yF7iJ3sRvvLnET3KVuorvMTXKXuyvcle4qd7W7xl3rrnPXuxvcje4md7O7xd3qYi7uEi7pUi7tMi7rci7vCq7oSq7sKq7qaq7uGq7pWq7tOq7req7vBm7oRi5woYvcmLvN3e7ucHe6u9zd7h53r7vP3e8ecA+6h9zD7hH3qHvMPe6ecE+6p9zT7hn3rHvOPe9ecC+6l9zL7hX3qnvNve7ecG+6t9zb7h33rnvPve8+cB+6j9zH7hP3qfvMfe6+cF+6r9zX7hv3rfvOfe9+cD+6n9zP7hf3q/vN/e7+cH+6v9zf7h/3r/vPTeYl91J4Kb1UXmovjZfWS+el9zJ4Gb1MXmYvi5fVy+Zl93J4Ob1cXm4vj5fXy+fl9wp4Bb1CXmGviFfUK+YV90p4Jb1SXmmvjFfWK+eV9yp4Fb1KXmWvilfVq+ZV92p4Nb1aXm2vjlfXq+fV9xp4Db1GXmOvidfUa+b95zX3WngtvVZea6+N19Zr57X3OngdvU5eZ6+L19Xr5nX3eng9vV5eb6+P19fr5/X3BngDvUHeYG+IN9Qb5g33RngjvVHeaG+MN9Yb5433Jnhx3kRvkjfZm+JN9aZ5070Z3kxvljfbm+PN9eZ5870F3kJvkbfYi/eWeAneUi/RW+Ylecu9Fd5Kb5W32lvjrfXWeeu9Dd5Gb5O32dvibfUwD/cIj/Qoj/YYj/U4j/cET/QkT/YUT/U0T/cMz/Qsz/Ycz/U8z/cCL/QiD3jQQ17M2+Zt93Z4O71d3m5vj7fX2+ft9w54B71D3mHviHfUO+Yd9054J71T3mnvjHfWO+ed9y54F71L3mXvinfVu+Zd9254N71b3m3vjnfXu+fd9x54D71H3mPviffUe+Y99154L71X3mvvjffWe+e99z54H71P3mfvi/fV++Z99354P71f3m/vj/fX++cl85P7KfyUfio/tZ/GT+un89P7GfyMfiY/s5/Fz+pn87P7Ofycfi4/t5/Hz+vn8/P7BfyCfiG/sF/EL+oX84v7JfySfim/tF/GL+uX88v7FfyKfiW/sl/Fr+pX86v7Nfyafi2/tl/Hr+vX8+v7DfyGfiO/sd/Eb+o38//zm/st/JZ+K7+138Zv67fz2/sd/I5+J7+z38Xv6nfzu/s9/J5+L7+338fv6/fz+/sD/IH+IH+wP8Qf6g/zh/sj/JH+KH+0P8Yf64/zx/sT/Dh/oj/Jn+xP8af60/zp/gx/pj/Ln+3P8ef68/z5/gJ/ob/IX+zH+0v8BH+pn+gv85P85f4Kf6W/yl/tr/HX+uv89f4Gf6O/yd/sb/G3+piP+4RP+pRP+4zP+pzP+4Iv+pIv+4qv+pqv+4Zv+pZv+47v+p7v+4Ef+pEPfOgjP+Zv87f7O/yd/i5/t7/H3+vv8/f7B/yD/iH/sH/EP+of84/7J/yT/in/tH/GP+uf88/7F/yL/iX/sn/Fv+pf86/7N/yb/i3/tn/Hv+vf8+/7D/yH/iP/sf/Ef+o/85/7L/yX/iv/tf/Gf+u/89/7H/yP/if/s//F/+p/87/7P/yf/i//t//H/+v/85MFyYMUQcogVZA6SBOkDdIF6YMMQcYgU5A5yBJkDbIF2YMcQc4gV5A7yBPkDfIF+YMCQcGgUFA4KBIUDYoFxYMSQcmgVFA6KBOUDcoF5YMKQcWgUlA5qBJUDaoF1YMaQc2gVlA7qBPUDeoF9YMGQcOgUdA4aBI0DZoF/wXNgxZBy6BV0DpoE7QN2gXtgw5Bx6BT0DnoEnQNugXdgx5Bz6BX0DvoE/QN+gX9gwHBwGBQMDgYEgwNhgXDgxHByGBUMDoYE4wNxgXjgwlBXDAxmBRMDqYEU4NpwfRgRjAzmBXMDuYEc4N5wfxgQbAwWBQsDuKDJUFCsDRIDJYFScHyYEWwMlgVrA7WBGuDdcH6YEOwMdgUbA62BFsDLMADIiADKqADJmADLuADIRADKZADJVADLdADIzADK7ADJ3ADL/CDIAiDKAABDFAQC7YF24Mdwc5gV7A72BPsDfYF+4MDwcHgUHA4OBIcDY4Fx4MTwcngVHA6OBOcDc4F54MLwcXgUnA5uBJcDa4F14Mbwc3gVnA7uBPcDe4F94MHwcPgUfA4eBI8DZ4Fz4MXwcvgVfA6eBO8Dd4F74MPwcfgU/A5+BJ8Db4F34Mfwc/gV/A7+BP8Df4FycLkYYowZZgqTB2mCdOG6cL0YYYwY5gpzBxmCbOG2cLsYY4wZ5grzB3mCfOG+cL8YYGwYFgoLBwWCYuGxcLiYYmwZFgqLB2WCcuG5cLyYYWwYlgprBxWCauG1cLqYY2wZlgrrB3WCeuG9cL6YYOwYdgobBw2CZuGzcL/wuZhi7Bl2CpsHbYJ24btwvZhh7Bj2CnsHHYJu4bdwu5hj7Bn2CvsHfYJ+4b9wv7hgHBgOCgcHA4Jh4bDwuHhiHBkOCocHY4Jx4bjwvHhhDAunBhOCieHU8Kp4bRwejgjnBnOCmeHc8K54bxwfrggXBguCheH8eGSMCFcGiaGy8KkcHm4IlwZrgpXh2vCteG6cH24IdwYbgo3h1vCrSEW4iERkiEV0iETsiEX8qEQiqEUyqESqqEW6qERmqEV2qETuqEX+mEQhmEUghCGKIyF28Lt4Y5wZ7gr3B3uCfeG+8L94YHwYHgoPBweCY+Gx8Lj4YnwZHgqPB2eCc+G58Lz4YXwYngpvBxeCa+G18Lr4Y3wZngrvB3eCe+G98L74YPwYfgofBw+CZ+Gz8Ln4YvwZfgqfB2+Cd+G78L34YfwY/gp/Bx+Cb+G38Lv4Y/wZ/gr/B3+Cf+G/8JkUfIoRZQyShWljtJEaaN0UfooQ5QxyhRljrJEWaNsUfYoR5QzyhXljvJEeaN8Uf6oQFQwKhQVjopERaNiUfGoRFQyKhWVjspEZaNyUfmoQlQxqhRVjqpEVaNqUfWoRlQzqhXVjupEdaN6Uf2oQdQwahQ1jppETaNm0X9R86hF1DJqFbWO2kRto3ZR+6hD1DHqFHWOukRdo25R96hH1DPqFfWO+kR9o35R/2hANDAaFA2OhkRDo2HR8GhENDIaFY2OxkRjo3HR+GhCFBdNjCZFk6Mp0dRoWjQ9mhHNjGZFs6M50dxoXjQ/WhAtjBZFi6P4aEmUEC2NEqNlUVK0PFoRrYxWRaujNdHaaF20PtoQbYw2RZujLdHWCIvwiIjIiIroiInYiIv4SIjESIrkSInUSIv0yIjMyIrsyIncyIv8KIjCKIpABCMUxaJt0fZoR7Qz2hXtjvZEe6N90f7oQHQwOhQdjo5ER6Nj0fHoRHQyOhWdjs5EZ6Nz0fnoQnQxuhRdjq5EV6Nr0fXoRnQzuhXdju5Ed6N70f3oQfQwehQ9jp5ET6Nn0fPoRfQyehW9jt5Eb6N30fvoQ/Qx+hR9jr5EX6Nv0ffoR/Qz+hX9jv5Ef6N/UTKQHKQAKUEqkBqkAWlBOpAeZAAZQSaQGWQBWUE2kB3kADlBLpAb5AF5QT6QHxQABUEhUBgUAUVBMVAclAAlQSlQGpQBZUE5UB5UABVBJVAZVAFVQTVQHdQANUEtUBvUAXVBPVAfNAANQSPQGDQBTUEz8B9oDlqAlqAVaA3agLagHWgPOoCOoBPoDLqArqAb6A56gJ6gF+gN+oC+oB/oDwaAgWAQGAyGgKFgGBgORoCRYBQYDcaAsWAcGA8mgDgwEUwCk8EUMBVMA9PBDDATzAKzwRwwF8wD88ECsBAsAotBPFgCEsBSkAiWgSSwHKwAK8EqsBqsAWvBOrAebAAbwSawGWwBWwEGcEAAElCABgxgAQd4IAARSEAGClCBBnRgABNYwAYOcIEHfBCAEEQAAAgQiIFtYDvYAXaCXWA32AP2gn1gPzgADoJD4DA4Ao6CY+A4OAFOglPgNDgDzoJz4Dy4AC6CS+AyuAKugmvgOrgBboJb4Da4A+6Ce+A+eAAegkfgMXgCnoJn4Dl4AV6CV+A1eAPegnfgPfgAPoJP4DP4Ar6Cb+A7+AF+gl/gN/gD/oJ/IBlMDlPAlDAVTA3TwLQwHUwPM8CMMBPMDLPArDAbzA5zwJwwF8wN88C8MB/MDwvAgrAQLAyLwKKwGCwOS8CSsBQsDcvAsrAcLA8rwIqwEqwMq8CqsBqsDmvAmrAWrA3rwLqwHqwPG8CGsBFsDJvAprAZ/A82hy1gS9gKtoZtYFvYDraHHWBH2Al2hl1gV9gNdoc9YE/YC/aGfWBf2A/2hwPgQDgIDoZD4FA4DA6HI+BIOAqOhmPgWDgOjocTYBycCCfByXAKnAqnwelwBpwJZ8HZcA6cC+fB+XABXAgXwcUwHi6BCXApTITLYBJcDlfAlXAVXA3XwLVwHVwPN8CNcBPcDLfArRCDOCQgCSlIQwaykIM8FKAIJShDBapQgzo0oAktaEMHutCDPgxgCCMIIIQIxuA2uB3ugDvhLrgb7oF74T64Hx6AB+EheBgegUfhMXgcnoAn4Sl4Gp6BZ+E5eB5egBfhJXgZXoFX4TV4Hd6AN+EteBvegXfhPXgfPoAP4SP4GD6BT+Ez+By+gC/hK/gavoFv4Tv4Hn6AH+En+Bl+gV/hN/gd/oA/4S/4G/6Bf+E/mAwlRylQSpQKpUZpUFqUDqVHGVBGlAllRllQVpQNZUc5UE6UC+VGeVBelA/lRwVQQVQIFUZFUFFUDBVHJVBJVAqVRmVQWVQOlUcVUEVUCVVGVVBVVA1VRzVQTVQL1UZ1UF1UD9VHDVBD1Ag1Rk1QU9QM/YeaoxaoJWqFWqM2qC1qh9qjDqgj6oQ6oy6oK+qGuqMeqCfqhXqjPqgv6of6owFoIBqEBqMhaCgahoajEWgkGoVGozFoLBqHxqMJKA5NRJPQZDQFTUXT0HQ0A81Es9BsNAfNRfPQfLQALUSL0GIUj5agBLQUJaJlKAktRyvQSrQKrUZr0Fq0Dq1HG9BGtAltRlvQVoQhHBGIRBSiEYNYxCEeCUhEEpKRglSkIR0ZyEQWspGDXOQhHwUoRBECCCKEYmgb2o52oJ1oF9qN9qC9aB/ajw6gg+gQOoyOoKPoGDqOTqCT6BQ6jc6gs+gcOo8uoIvoErqMrqCr6Bq6jm6gm+gWuo3uoLvoHrqPHqCH6BF6jJ6gp+gZeo5eoJfoFXqN3qC36B16jz6gj+gT+oy+oK/oG/qOfqCf6Bf6jf6gv+gfShZLHksRSxlLFUsdSxNLG0sXSx/LEMsYyxTLHMsSyxrLFsseyxHLGcsVyx3LE8sbyxfLHysQKxgrFCscKxIrGisWKx4rESsZKxUrHSsTKxsrFysfqxCrGKsUqxyrEqsaqxarHqsRqxmrFasdqxOrG6sXqx9rEGsYaxRrHGsSaxprFvsv1jzWItYy1irWOtYm1jbWLtY+1iHWMdYp1jnWJdY11i3WPdYj1jPWK9Y71ifWN9Yv1j82IDYwNig2ODYkNjQ2LDY8NiI28n8O4AFojB0BAHBt27Zt27b5v9q2bds217aVZGvbtm7mPmw4loD9h43ARmKjsNHYGGwsNg4bj03AJmKTsMnYFGwqNg2bjs3AZmKzsNnYHGwuNg+bjy3AFmKLsMXYEmwptgxbjq3AVmKrsNXYGmwttg5bj23ANmKbsM3YFmwrtg3bju3AdmK7sN3YHmwvtg/bjx3ADmKHsMPYEewodgw7jp3ATmKnsNPYGewsdg47j13ALmKXsMvYFewqhmE4RmAkRmE0xmAsxmE8JmAiJmEypmAqpmE6ZmAmZmE25mAu5mE+FmAhFmEAgxjCYuwadh27gd3EbmG3sTvYXewedh97gD3EHmGPsSfYU+wZ9hx7gb3EXmGvsTfYW+wd9h77gH3EPmGfsS/YV+wb9h37gf3EfmG/sT/YX+wflghPjCfBk+LJ8OR4CjwlngpPjafB0+Lp8PR4BjwjngnPjGfBs+LZ8Ox4DjwnngvPjefB8+L58Px4AbwgXggvjBfBi+LF8OJ4CbwkXgovjZfBy+Ll8PJ4BbwiXgmvjFfBq+LV8Op4DbwmXguvjdfB6+L18Pp4A7wh3ghvjDfBm+LN8OZ4C7wl3gpvjbfB2+Lt8PZ4B7wj3gnvjHfBu+Ld8O54D7wn3gvvjffB++L98P74AHwgPggfjA/Bh+LD8OF4Av4fPgIfiY/CR+Nj8LH4OHw8PgGfiE/CJ+NT8Kn4NHw6PgOfic/CZ+Nz8Ln4PHw+vgBfiC/CF+NL8KX4Mnw5vgJfia/CV+Nr8LX4Onw9vgHfiG/CN+Nb8K34Nnw7vgPfie/Cd+N78L34Pnw/fgA/iB/CD+NH8KP4Mfw4fgI/iZ/CT+Nn8LP4Ofw8fgG/iF/CL+NX8Ks4huM4gZM4hdM4g7M4h/O4gIu4hMu4gqu4huu4gZu4hdu4g7u4h/t4gId4hAMc4giP8Wv4dfwGfhO/hd/G7+B38Xv4ffwB/hB/hD/Gn+BP8Wf4c/wF/hJ/hb/G3+Bv8Xf4e/wD/hH/hH/Gv+Bf8W/4d/wH/hP/hf/G/+B/8X94IiIxkYRISiQjkhMpiJREKiI1kYZIS6Qj0hMZiIxEJiIzkYXISmQjshM5iJxELiI3kYfIS+Qj8hMFiIJEIaIwUYQoShQjihMliJJEKaI0UYYoS5QjyhMViIpEJaIyUYWoSlQjqhM1iJpELaI2UYeoS9Qj6hMNiIZEI6Ix0YRoSjQjmhMtiJZEK6I10YZoS7Qj2hMdiI5EJ6Iz0YXoSnQjuhM9iJ5EL6I30YfoS/Qj+hMDiIHEIGIwMYQYSgwjhhMJxH/ECGIkMYoYTYwhxhLjiPHEBGIiMYmYTEwhphLTiOnEDGImMYuYTcwh5hLziPnEAmIhsYhYTCwhlhLLiOXECmIlsYpYTawh1hLriPXEBmIjsYnYTGwhthLbiO3EDmInsYvYTewh9hL7iP3EAeIgcYg4TBwhjhLHiOPECeIkcYo4TZwhzhLniPPEBeIicYm4TFwhrhIYgRMEQRIUQRMMwRIcwRMCIRISIRMKoRIaoRMGYRIWYRMO4RIe4RMBERIRAQhIICImrhHXiRvETeIWcZu4Q9wl7hH3iQfEQ+IR8Zh4QjwlnhHPiRfES+IV8Zp4Q7wl3hHviQ/ER+IT8Zn4QnwlvhHfiR/ET+IX8Zv4Q/wl/hGJyMRkEjIpmYxMTqYgU5KpyNRkGjItmY5MT2YgM5KZyMxkFjIrmY3MTuYgc5K5yNxkHjIvmY/MTxYgC5KFyMJkEbIoWYwsTpYgS5KlyNJkGbIsWY4sT1YgK5KVyMpkFbIqWY2sTtYga5K1yNpkHbIuWY+sTzYgG5KNyMZkE7Ip2YxsTrYgW5KtyNZkG7It2Y5sT3YgO5KdyM5kF7Ir2Y3sTvYge5K9yN5kH7Iv2Y/sTw4gB5KDyMHkEHIoOYwcTiaQ/5EjyJHkKHI0OYYcS44jx5MTyInkJHIyOYWcSk4jp5MzyJnkLHI2OYecS84j55MLyIXkInIxuYRcSi4jl5MryJXkKnI1uYZcS64j15MbyI3kJnIzuYXcSm4jt5M7yJ3kLnI3uYfcS+4j95MHyIPkIfIweYQ8Sh4jj5MnyJPkKfI0eYY8S54jz5MXyIvkJfIyeYW8SmIkThIkSVIkTTIkS3IkTwqkSEqkTCqkSmqkThqkSVqkTTqkS3qkTwZkSEYkICGJyJi8Rl4nb5A3yVvkbfIOeZe8R94nH5APyUfkY/IJ+ZR8Rj4nX5AvyVfka/IN+ZZ8R74nP5AfyU/kZ/IL+ZX8Rn4nf5A/yV/kb/IP+Zf8RyaiElNJqKRUMio5lYJKSaWiUlNpqLRUOio9lYHKSGWiMlNZqKxUNio7lYPKSeWiclN5qLxUPio/VYAqSBWiClNFqKJUMao4VYIqSZWiSlNlqLJUOao8VYGqSFWiKlNVqKpUNao6VYOqSdWialN1qLpUPao+1YBqSDWiGlNNqKZUM6o51YJqSbWiWlNtqLZUO6o91YHqSHWiOlNdqK5UN6o71YPqSfWielN9qL5UP6o/NYAaSA2iBlNDqKHUMGo4lUD9R42gRlKjqNHUGGosNY4aT02gJlKTqMnUFGoqNY2aTs2gZlKzqNnUHGouNY+aTy2gFlKLqMXUEmoptYxaTq2gVlKrqNXUGmottY5aT22gNlKbqM3UFmortY3aTu2gdlK7qN3UHmovtY/aTx2gDlKHqMPUEeoodYw6Tp2gTlKnqNPUGeosdY46T12gLlKXqMvUFeoqhVE4RVAkRVE0xVAsxVE8JVAiJVEypVAqpVE6ZVAmZVE25VAu5VE+FVAhFVGAghSiYuoadZ26Qd2kblG3qTvUXeoedZ96QD2kHlGPqSfUU+oZ9Zx6Qb2kXlGvqTfUW+od9Z76QH2kPlGfqS/UV+ob9Z36Qf2kflG/qT/UX+oflYhOTCehk9LJ6OR0CjolnYpOTaeh09Lp6PR0BjojnYnOTGehs9LZ6Ox0DjonnYvOTeeh89L56Px0AbogXYguTBehi9LF6OJ0CbokXYouTZehy9Ll6PJ0BboiXYmuTFehq9LV6Op0DbomXYuuTdeh69L16Pp0A7oh3YhuTDehm9LN6OZ0C7ol3YpuTbeh29Lt6PZ0B7oj3YnuTHehu9Ld6O50D7on3YvuTfeh+9L96P70AHogPYgeTA+hh9LD6OF0Av0fPYIeSY+iR9Nj6LH0OHo8PYGeSE+iJ9NT6Kn0NHo6PYOeSc+iZ9Nz6Ln0PHo+vYBeSC+iF9NL6KX0Mno5vYJeSa+iV9Nr6LX0Ono9vYHeSG+iN9Nb6K30Nno7vYPeSe+id9N76L30Pno/fYA+SB+iD9NH6KP0Mfo4fYI+SZ+iT9Nn6LP0Ofo8fYG+SF+iL9NX6Ks0RuM0QZM0RdM0Q7M0R/O0QIu0RMu0Qqu0Ruu0QZu0Rdu0Q7u0R/t0QId0RAMa0oiO6Wv0dfoGfZO+Rd+m79B36Xv0ffoB/ZB+RD+mn9BP6Wf0c/oF/ZJ+Rb+m39Bv6Xf0e/oD/ZH+RH+mv9Bf6W/0d/oH/ZP+Rf+m/9B/6X90IiYxk4RJyiRjkjMpmJRMKiY1k4ZJy6Rj0jMZmIxMJiYzk4XJymRjsjM5mJxMLiY3k4fJy+Rj8jMFmIJMIaYwU4QpyhRjijMlmJJMKaY0U4Ypy5RjyjMVmIpMJaYyU4WpylRjqjM1mJpMLaY2U4epy9Rj6jMNmIZMI6Yx04RpyjRjmjMtmJZMK6Y104Zpy7Rj2jMdmI5MJ6Yz04XpynRjujM9mJ5ML6Y304fpy/Rj+jMDmIHMIGYwM4QZygxjhjMJzH/MCGYkM4oZzYxhxjLjmPHMBGYiM4mZzExhpjLTmOnMDGYmM4uZzcxh5jLzmPnMAmYhs4hZzCxhljLLmOXMCmYls4pZzaxh1jLrmPXMBmYjs4nZzGxhtjLbmO3MDmYns4vZzexh9jL7mP3MAeYgc4g5zBxhjjLHmOPMCeYkc4o5zZxhzjLnmPPMBeYic4m5zFxhrjIYgzMEQzIUQzMMwzIcwzMCIzISIzMKozIaozMGYzIWYzMO4zIe4zMBEzIRAxjIICZmrjHXmRvMTeYWc5u5w9xl7jH3mQfMQ+YR85h5wjxlnjHPmRfMS+YV85p5w7xl3jHvmQ/MR+YT85n5wnxlvjHfmR/MT+YX85v5w/xl/jGJ2MRsEjYpm4xNzqZgU7Kp2NRsGjYtm45Nz2ZgM7KZ2MxsFjYrm43NzuZgc7K52NxsHjYvm4/NzxZgC7KF2MJsEbYoW4wtzpZgS7Kl2NJsGbYsW44tz1ZgK7KV2MpsFbYqW42tztZga7K12NpsHbYuW4+tzzZgG7KN2MZsE7Yp24xtzrZgW7Kt2NZsG7Yt245tz3ZgO7Kd2M5sF7Yr243tzvZge7K92N5sH7Yv24/tzw5gB7KD2MHsEHYoO4wdziYkTpbo/9jR7Bh2LDuOHc9OYCeyk9jJ7BR2KjuNnc7OYGeys9jZ7Bx2LjuPnc8uYBeyi9jF7BJ2KbuMXc6uYFeyq9jV7Bp2LbuOXc9uYDeym9jN7BZ2K7uN3c7uYHeyu9jd7B52L7uP3c8eYA+yh9jD7BH2KHuMPc6eYE+yp9jT7Bn2LHuOPc9eYC+yl9jL7BX2KouxOEuwJEuxNMuwLMuxPCuwIiuxMquwKquxOmuwJmuxNuuwLuuxPhuwIRuxgIUsYmP2GnudvcHeZG+xt9k77F32HnuffcA+ZB+xj9kn7FP2GfucfcG+ZF+xr9k37Fv2Hfue/cB+ZD+xn9kv7Ff2G/ud/cH+ZH+xv9k/7F/2H5uIS8wl4ZJyybjkXAouJZeKS82l4dJy6bj0XAYuI5eJy8xl4bJy2bjsXA4uJ5eLy83l4fJy+bj8XAGuIFeIK8wV4YpyxbjiXAmuJFeKK82V4cpy5bjyXAWuIleJq8xV4apy1bjqXA2uJleLq83V4epy9bj6XAOuIdeIa8w14ZpyzbjmXAuuJdeKa8214dpy7bj2XAeuI9eJ68x14bpy3bjuXA+uJ9eL68314fpy/bj+3ABuIDeIG8wN4YZyw7jhXAL3HzeCG8mN4kZzY7ix3DhuPDeBm8hN4iZzU7ip3DRuOjeDm8nN4mZzc7i53DxuPreAW8gt4hZzS7il3DJuObeCW8mt4lZza7i13DpuPbeB28ht4jZzW7it3DZuO7eD28nt4nZze7i93D5uP3eAO8gd4g5zR7ij3DHuOHeCO8md4k5zZ7iz3DnuPHeBu8hd4i5zV7irHMbhHMGRHMXRHMOxHMfxnMCJnMTJnMKpnMbpnMGZnMXZnMO5nMf5XMCFXMQBDnKIi7lr3HXuBneTu8Xd5u5wd7l73H3uAfeQe8Q95p5wT7ln3HPuBfeSe8W95t5wb7l33HvuA/eR+8R95r5wX7lv3HfuB/eT+8X95v5wf7l/XCI+MZ+ET8on45PzKfiUfCo+NZ+GT8un49PzGfiMfCY+M5+Fz8pn47PzOficfC4+N5+Hz8vn4/PzBfiCfCG+MF+EL8oX44vzJfiSfCm+NF+GL8uX48vzFfiKfCW+Ml+Fr8pX46vzNfiafC2+Nl+Hr8vX4+vzDfiGfCO+Md+Eb8o345vzLfiWfCu+Nd+Gb8u349vzHfiOfCe+M9+F78p347vzPfiefC++N9+H78v34/vzA/iB/CB+MD+EH8oP44fzCfx//Ah+JD+KH82P4cfy4/jx/AR+Ij+Jn8xP4afy0/jp/Ax+Jj+Ln83P4efy8/j5/AJ+Ib+IX8wv4Zfyy/jl/Ap+Jb+KX82v4dfy6/j1/AZ+I7+J38xv4bfy2/jt/A5+J7+L383v4ffy+/j9/AH+IH+IP8wf4Y/yx/jj/An+JH+KP82f4c/y5/jz/AX+In+Jv8xf4a/yGI/zBE/yFE/zDM/yHM/zAi/yEi/zCq/yGq/zBm/yFm/zDu/yHu/zAR/yEQ94yCM+5q/x1/kb/E3+Fn+bv8Pf5e/x9/kH/EP+Ef+Yf8I/5Z/xz/kX/Ev+Ff+af8O/5d/x7/kP/Ef+E/+Z/8J/5b/x3/kf/E/+F/+b/8P/5f/xiYTEQhIhqZBMSC6kEFIKqYTUQhohrZBOSC9kEDIKmYTMQhYhq5BNyC7kEHIKuYTcQh4hr5BPyC8UEAoKhYTCQhGhqFBMKC6UEEoKpYTSQhmhrFBOKC9UECoKlYTKQhWhqlBNqC7UEGoKtYTaQh2hrlBPqC80EBoKjYTGQhOhqdBMaC60EFoKrYTWQhuhrdBOaC90EDoKnYTOQhehq9BN6C70EHoKvYTeQh+hr9BP6C8MEAYKg4TBwhBhqDBMGC4kCP8JI4SRwihhtDBGGCuME8YLE4SJwiRhsjBFmCpME6YLM4SZwixhtjBHmCvME+YLC4SFwiJhsbBEWCosE5YLK4SVwiphtbBGWCusE9YLG4SNwiZhs7BF2CpsE7YLO4Sdwi5ht7BH2CvsE/YLB4SDwiHhsHBEOCocE44LJ4STwinhtHBGOCucE84LF4SLwiXhsnBFuCpgAi4QAilQAi0wAitwAi8IgihIgiwogipogi4YgilYgi04git4gi8EQihEAhCggIRYuCZcF24IN4Vbwm3hjnBXuCfcFx4ID4VHwmPhifBUeCY8F14IL4VXwmvhjfBWeCe8Fz4IH4VPwmfhi/BV+CZ8F34IP4Vfwm/hj/BX+CckEhOLScSkYjIxuZhCTCmmElOLacS0YjoxvZhBzChmEjOLWcSsYjYxu5hDzCnmEnOLecS8Yj4xv1hALCgWEguLRcSiYjGxuFhCLCmWEkuLZcSyYjmxvFhBrChWEiuLVcSqYjWxulhDrCnWEmuLdcS6Yj2xvthAbCg2EhuLTcSmYjOxudhCbCm2EluLbcS2YjuxvdhB7Ch2EjuLXcSuYjexu9hD7Cn2EnuLfcS+Yj+xvzhAHCgOEgeLQ8Sh4jBxuJgg/ieOEEeKo8TR4hhxrDhOHC9OECeKk8TJ4hRxqjhNnC7OEGeKs8TZ4hxxrjhPnC8uEBeKi8TF4hJxqbhMXC6uEFeKq8TV4hpxrbhOXC9uEDeKm8TN4hZxq7hN3C7uEHeKu8Td4h5xr7hP3C8eEA+Kh8TD4hHxqHhMPC6eEE+Kp8TT4hnxrHhOPC9eEC+Kl8TL4hXxqoiJuEiIpEiJtMiIrMiJvCiIoiiJsqiIqqiJumiIpmiJtuiIruiJvhiIoRiJQIQiEmPxmnhdvCHeFG+Jt8U74l3xnnhffCA+FB+Jj8Un4lPxmfhcfCG+FF+Jr8U34lvxnfhe/CB+FD+Jn8Uv4lfxm/hd/CH+FH+Jv8U/4l/xn5hISiwlkZJKyaTkUgoppZRKSi2lkdJK6aT0UgYpo5RJyixlkbJK2aTsUg4pp5RLyi3lkfJK+aT8UgGpoFRIKiwVkYpKxaTiUgmppFRKKi2VkcpK5aTyUgWpolRJqixVkapK1aTqUg2pplRLqi3VkepK9aT6UgOpodRIaiw1kZpKzaTmUguppdRKai21kdpK7aT2Ugepo9RJ6ix1kbpK3aTuUg+pp9RL6i31kfpK/aT+0gBpoDRIGiwNkYZKw6ThUoL0nzRCGimNkkZLY6Sx0jhpvDRBmihNkiZLU6Sp0jRpujRDminNkmZLc6S50jxpvrRAWigtkhZLS6Sl0jJpubRCWimtklZLa6S10jppvbRB2ihtkjZLW6St0jZpu7RD2intknZLe6S90j5pv3RAOigdkg5LR6Sj0jHpuHRCOimdkk5LZ6Sz0jnpvHRBuihdki5LV6SrEibhEiGREiXREiOxEifxkiCJkiTJkiKpkibpkiGZkiXZkiO5kif5UiCFUiQBCUpIiqVr0nXphnRTuiXdlu5Id6V70n3pgfRQeiQ9lp5IT6Vn0nPphfRSeiW9lt5Ib6V30nvpg/RR+iR9lr5IX6Vv0nfph/RT+iX9lv5If6V/UiI5sZxETionk5PLKeSUcio5tZxGTiunk9PLGeSMciY5s5xFzipnk7PLOeScci45t5xHzivnk/PLBeSCciG5sFxELioXk4vLJeSScim5tFxGLiuXk8vLFeSKciW5slxFripXk6vLNeSaci25tlxHrivXk+vLDeSGciO5sdxEbio3k5vLLeSWciu5tdxGbiu3k9vLHeSOcie5s9xF7ip3k7vLPeSeci+5t9xH7iv3k/vLA+SB8iB5sDxEHioPk4fLCfJ/8gh5pDxKHi2PkcfK4+Tx8gR5ojxJnixPkafK0+Tp8gx5pjxLni3PkefK8+T58gJ5obxIXiwvkZfKy+Tl8gp5pbxKXi2vkdfK6+T18gZ5o7xJ3ixvkbfK2+Tt8g55p7xL3i3vkffK++T98gH5oHxIPiwfkY/Kx+Tj8gn5pHxKPi2fkc/K5+Tz8gX5onxJvixfka/KmIzLhEzKlEzLjMzKnMzLgizKkizLiqzKmqzLhmzKlmzLjuzKnuzLgRzKkQxkKCM5lq/J1+Ub8k35lnxbviPfle/J9+UH8kP5kfxYfiI/lZ/Jz+UX8kv5lfxafiO/ld/J7+UP8kf5k/xZ/iJ/lb/J3+Uf8k/5l/xb/iP/lf/JiZTEShIlqZJMSa6kUFIqqZTUSholrZJOSa9kUDIqmZTMShYlq5JNya7kUHIquZTcSh4lr5JPya8UUAoqhZTCShGlqFJMKa6UUEoqpZTSShmlrFJOKa9UUCoqlZTKShWlqlJNqa7UUGoqtZTaSh2lrlJPqa80UBoqjZTGShOlqdJMaa60UFoqrZTWShulrdJOaa90UDoqnZTOShelq9JN6a70UHoqvZTeSh+lr9JP6a8MUAYqg5TByhBlqDJMGa4kKP8pI5SRyihltDJGGauMU8YrE5SJyiRlsjJFmapMU6YrM5SZyixltjJHmavMU+YrC5SFyiJlsbJEWaosU5YrK5SVyipltbJGWausU9YrG5SNyiZls7JF2apsU7YrO5Sdyi5lt7JH2avsU/YrB5SDyiHlsHJEOaocU44rJ5STyinltHJGOaucU84rF5SLyiXlsnJFuapgCq4QCqlQCq0wCqtwCq8IiqhIiqwoiqpoiq4YiqlYiq04iqt4iq8ESqhEClCggpRYuaZcV24oN5Vbym3ljnJXuafcVx4oD5VHymPlifJUeaY8V14oL5VXymvljfJWeae8Vz4oH5VPymfli/JV+aZ8V34oP5Vfym/lj/JX+ackUhOrSdSkajI1uZpCTammUlOradS0ajo1vZpBzahmUjOrWdSsajY1u5pDzanmUnOredS8aj41v1pALagWUgurRdSiajG1uFpCLamWUkurZdSyajm1vFpBrahWUiurVdSqajW1ulpDranWUmurddS6aj21vtpAbag2UhurTdSmajO1udpCbam2UlurbdS2aju1vdpB7ah2UjurXdSuaje1u9pD7an2UnurfdS+aj+1vzpAHagOUgerQ9Sh6jB1uJqg/qeOUEeqo9TR6hh1rDpOHa9OUCeqk9TJ6hR1qjpNna7OUGeqs9TZ6hx1rjpPna8uUBeqi9TF6hJ1qbpMXa6uUFeqq9TV6hp1rbpOXa9uUDeqm9TN6hZ1q7pN3a7uUHequ9Td6h51r7pP3a8eUA+qh9TD6hH1qHpMPa6eUE+qp9TT6hn1rHpOPa9eUC+ql9TL6hX1qoqpuEqopEqptMqorMqpvCqooiqpsqqoqqqpumqopmqptuqoruqpvhqooRqpQIUqUmP1mnpdvaHeVG+pt9U76l31nnpffaA+VB+pj9Un6lP1mfpcfaG+VF+pr9U36lv1nfpe/aB+VD+pn9Uv6lf1m/pd/aH+VH+pv9U/6l/1n5pIS6wl0ZJqybTkWgotpZZKS62l0dJq6bT0WgYto5ZJy6xl0bJq2bTsWg4tp5ZLy63l0fJq+bT8WgGtoFZIK6wV0YpqxbTiWgmtpFZKK62V0cpq5bTyWgWtolZJq6xV0apq1bTqWg2tplZLq63V0epq9bT6WgOtodZIa6w10ZpqzbTmWgutpdZKa6210dpq7bT2Wgeto9ZJ66x10bpq3bTuWg+tp9ZL66310fpq/bT+2gBtoDZIG6wN0YZqw7ThWoL2nzZCG6mN0kZrY7Sx2jhtvDZBm6hN0iZrU7Sp2jRtujZDm6nN0mZrc7S52jxtvrZAW6gt0hZrS7Sl2jJtubZCW6mt0lZra7S12jptvbZB26ht0jZrW7St2jZtu7ZD26nt0nZre7S92j5tv3ZAO6gd0g5rR7Sj2jHtuHZCO6md0k5rZ7Sz2jntvHZBu6hd0i5rV7SrGqbhGqGRGqXRGqOxGqfxmqCJmqTJmqKpmqbpmqGZmqXZmqO5mqf5WqCFWqQBDWpIi7Vr2nXthnZTu6Xd1u5od7V72n3tgfZQe6Q91p5oT7Vn2nPthfZSe6W91t5ob7V32nvtg/ZR+6R91r5oX7Vv2nfth/ZT+6X91v5of7V/WiI9sZ5ET6on05PrKfSUeio9tZ5GT6un09PrGfSMeiY9s55Fz6pn07PrOfScei49t55Hz6vn0/PrBfSCeiG9sF5EL6oX04vrJfSSeim9tF5GL6uX08vrFfSKeiW9sl5Fr6pX06vrNfSaei29tl5Hr6vX0+vrDfSGeiO9sd5Eb6o305vrLfSWeiu9td5Gb6u309vrHfSOeie9s95F76p307vrPfSeei+9t95H76v30/vrA/SB+iB9sD5EH6oP04frCfp/+gh9pD5KH62P0cfq4/Tx+gR9oj5Jn6xP0afq0/Tp+gx9pj5Ln63P0efq8/T5+gJ9ob5IX6wv0Zfqy/Tl+gp9pb5KX62v0dfq6/T1+gZ9o75J36xv0bfq2/Tt+g59p75L363v0ffq+/T9+gH9oH5IP6wf0Y/qx/Tj+gn9pH5KP62f0c/q5/Tz+gX9on5Jv6xf0a/qmI7rhE7qlE7rjM7qnM7rgi7qki7riq7qmq7rhm7qlm7rju7qnu7rgR7qkQ50qCM91q/p1/Ub+k39ln5bv6Pf1e/p9/UH+kP9kf5Yf6I/1Z/pz/UX+kv9lf5af6O/1d/p7/UP+kf9k/5Z/6J/1b/p3/Uf+k/9l/5b/6P/1f/piYzERhIjqZHMSG6kMFIaqYzURhojrZHOSG9kMDIamYzMRhYjq5HNyG7kMHIauYzcRh4jr5HPyG8UMAoahYzCRhGjqFHMKG6UMEoapYzSRhmjrFHOKG9UMCoalYzKRhWjqlHNqG7UMGoatYzaRh2jrlHPqG80MBoajYzGRhOjqdHMaG60MFoarYzWRhujrdHOaG90MDoanYzORhejq9HN6G70MHoavYzeRh+jr9HP6G8MMAYag4zBxhBjqDHMGG4kGP8ZI4yRxihjtDHGGGuMM8YbE4yJxiRjsjHFmGpMM6YbM4yZxixjtjHHmGvMM+YbC4yFxiJjsbHEWGosM5YbK4yVxipjtbHGWGusM9YbG4yNxiZjs7HF2GpsM7YbO4ydxi5jt7HH2GvsM/YbB4yDxiHjsHHEOGocM44bJ4yTxinjtHHGOGucM84bF4yLxiXjsnHFuGpgBm4QBmlQBm0wBmtwBm8IhmhIhmwohmpohm4YhmlYhm04hmt4hm8ERmhEBjCggYzYuGZcN24YN41bxm3jjnHXuGfcNx4YD41HxmPjifHUeGY8N14YL41XxmvjjfHWeGe8Nz4YH41Pxmfji/HV+GZ8N34YP41fxm/jj/HX+GckMhObScykZjIzuZnCTGmmMlObacy0ZjozvZnBzGhmMjObWcysZjYzu5nDzGnmMnObecy8Zj4zv1nALGgWMgubRcyiZjGzuFnCLGmWMkubZcyyZjmzvFnBrGhWMiubVcyqZjWzulnDrGnWMmubdcy6Zj2zvtnAbGg2MhubTcymZjOzudnCbGm2Mlubbcy2ZjuzvdnB7Gh2MjubXcyuZjezu9nD7Gn2Mnubfcy+Zj+zvznAHGgOMgebQ8yh5jBzuJlg/meOMEeao8zR5hhzrDnOHG9OMCeak8zJ5hRzqjnNnG7OMGeas8zZ5hxzrjnPnG8uMBeai8zF5hJzqbnMXG6uMFeaq8zV5hpzrbnOXG9uMDeam8zN5hZzq7nN3G7uMHeau8zd5h5zr7nP3G8eMA+ah8zD5hHzqHnMPG6eME+ap8zT5hnzrHnOPG9eMC+al8zL5hXzqomZuEmYpEmZtMmYrMmZvCmYoimZsqmYqqmZummYpmmZtumYrumZvhmYoRmZwIQmMmPzmnndvGHeNG+Zt8075l3znnnffGA+NB+Zj80n5lPzmfncfGG+NF+Zr8035lvznfne/GB+ND+Zn80v5lfzm/nd/GH+NH+Zv80/5l/zn5nISmwlsZJayazkVgorpZXKSm2lsdJa6az0VgYro5XJymxlsbJa2azsVg4rp5XLym3lsfJa+az8VgGroFXIKmwVsYpaxaziVgmrpFXKKm2Vscpa5azyVgWrolXJqmxVsapa1azqVg2rplXLqm3Vsepa9az6VgOrodXIamw1sZpazazmVgurpdXKam21sdpa7az2Vgero9XJ6mx1sbpa3azuVg+rp9XL6m31sfpa/az+1gBroDXIGmwNsYZaw6zhVoL1nzXCGmmNskZbY6yx1jhrvDXBmmhNsiZbU6yp1jRrujXDmmnNsmZbc6y51jxrvrXAWmgtshZbS6yl1jJrubXCWmmtslZba6y11jprvbXB2mhtsjZbW6yt1jZru7XD2mntsnZbe6y91j5rv3XAOmgdsg5bR6yj1jHruHXCOmmdsk5bZ6yz1jnrvHXBumhdsi5bV6yrFmbhFmGRFmXRFmOxFmfxlmCJlmTJlmKplmbplmGZlmXZlmO5lmf5VmCFVmQBC1rIiq1r1nXrhnXTumXdtu5Yd6171n3rgfXQemQ9tp5YT61n1nPrhfXSemW9tt5Yb6131nvrg/XR+mR9tr5YX61v1nfrh/XT+mX9tv5Yf61/ViI7sZ3ETmons5PbKeyUdio7tZ3GTmuns9PbGeyMdiY7s53Fzmpns7PbOeycdi47t53Hzmvns/PbBeyCdiG7sF3ELmoXs4vbJeySdim7tF3GLmuXs8vbFeyKdiW7sl3FrmpXs6vbNeyadi27tl3HrmvXs+vbDeyGdiO7sd3Ebmo3s5vbLeyWdiu7td3Gbmu3s9vbHeyOdie7s93F7mp3s7vbPeyedi+7t93H7mv3s/vbA+yB9iB7sD3EHmoPs4fbCfZ/9gh7pD3KHm2Pscfa4+zx9gR7oj3JnmxPsafa0+zp9gx7pj3Lnm3Psefa8+z59gJ7ob3IXmwvsZfay+zl9gp7pb3KXm2vsdfa6+z19gZ7o73J3mxvsbfa2+zt9g57p73L3m3vsffa++z99gH7oH3IPmwfsY/ax+zj9gn7pH3KPm2fsc/a5+zz9gX7on3Jvmxfsa/amI3bhE3alE3bjM3anM3bgi3aki3biq3amq3bhm3alm3bju3anu3bgR3akQ1saCM7tq/Z1+0b9k37ln3bvmPfte/Z9+0H9kP7kf3YfmI/tZ/Zz+0X9kv7lf3afmO/td/Z7+0P9kf7k/3Z/mJ/tb/Z3+0f9k/7l/3b/mP/tf/ZiZzEThInqZPMSe6kcFI6qZzUThonrZPOSe9kcDI6mZzMThYnq5PNye7kcHI6uZzcTh4nr5PPye8UcAo6hZzCThGnqFPMKe6UcEo6pZzSThmnrFPOKe9UcCo6lZzKThWnqlPNqe7UcGo6tZzaTh2nrlPPqe80cBo6jZzGThOnqdPMae60cFo6rZzWThunrdPOae90cDo6nZzOThenq9PN6e70cHo6vZzeTh+nr9PP6e8McAY6g5zBzhBnqDPMGe4kOP85I5yRzihntDPGGeuMc8Y7E5yJziRnsjPFmepMc6Y7M5yZzixntjPHmevMc+Y7C5yFziJnsbPEWeosc5Y7K5yVzipntbPGWeusc9Y7G5yNziZns7PF2epsc7Y7O5ydzi5nt7PH2evsc/Y7B5yDziHnsHPEOeocc447J5yTzinntHPGOeucc847F5yLziXnsnPFuepgDu4QDulQDu0wDutwDu8IjuhIjuwojupoju4YjulYju04jut4ju8ETuhEDnCgg5zYueZcd244N51bzm3njnPXuefcdx44D51HzmPnifPUeeY8d144L51XzmvnjfPWeee8dz44H51Pzmfni/PV+eZ8d344P51fzm/nj/PX+eckchO7SdykbjI3uZvCTemmclO7ady0bjo3vZvBzehmcjO7WdysbjY3u5vDzenmcnO7edy8bj43v1vALegWcgu7RdyibjG3uFvCLemWcku7Zdyybjm3vFvBrehWciu7VdyqbjW3ulvDrenWcmu7ddy6bj23vtvAbeg2chu7TdymbjO3udvCbem2clu7bdy2bju3vdvB7eh2cju7Xdyubje3u9vD7en2cnu7fdy+bj+3vzvAHegOcge7Q9yh7jB3uJvg/ueOcEe6o9zR7hh3rDvOHe9OcCe6k9zJ7hR3qjvNne7OcGe6s9zZ7hx3rjvPne8ucBe6i9zF7hJ3qbvMXe6ucFe6q9zV7hp3rbvOXe9ucDe6m9zN7hZ3q7vN3e7ucHe6u9zd7h53r7vP3e8ecA+6h9zD7hH3qHvMPe6ecE+6p9zT7hn3rHvOPe9ecC+6l9zL7hX3qou5uEu4pEu5tMu4rMu5vCu4oiu5squ4qqu5umu4pmu5tuu4ruu5vhu4oRu5wIUucmP3mnvdveHedG+5t9077l33nnvffeA+dB+5j90n7lP3mfvcfeG+dF+5r9037lv3nfve/eB+dD+5n90v7lf3m/vd/eH+dH+5v90/7l/3n5vIS+wl8ZJ6ybzkXgovpZfKS+2l8dJ66bz0XgYvo5fJy+xl8bJ62bzsXg4vp5fLy+3l8fJ6+bz8XgGvoFfIK+wV8Yp6xbziXgmvpFfKK+2V8cp65bzyXgWvolfJq+xV8ap61bzqXg2vplfLq+3V8ep69bz6XgOvodfIa+w18Zp6zbzmXguvpdfKa+218dp67bz2Xgevo9fJ6+x18bp63bzuXg+vp9fL6+318fp6/bz+3gBvoDfIG+wN8YZ6w7zhXoL3nzfCG+mN8kZ7Y7yx3jhvvDfBm+hN8iZ7U7yp3jRvujfDm+nN8mZ7c7y53jxvvrfAW+gt8hZ7S7yl3jJvubfCW+mt8lZ7a7y13jpvvbfB2+ht8jZ7W7yt3jZvu7fD2+nt8nZ7e7y93j5vv3fAO+gd8g57R7yj3jHvuHfCO+md8k57Z7yz3jnvvHfBu+hd8i57V7yrHubhHuGRHuXRHuOxHufxnuCJnuTJnuKpnubpnuGZnuXZnuO5nuf5XuCFXuQBD3rIi71r3nXvhnfTu+Xd9u54d7173n3vgffQe+Q99p54T71n3nPvhffSe+W99t54b7133nvvg/fR++R99r54X71v3nfvh/fT++X99v54f71/XiI/sZ/ET+on85P7KfyUfio/tZ/GT+un89P7GfyMfiY/s5/Fz+pn87P7Ofycfi4/t5/Hz+vn8/P7BfyCfiG/sF/EL+oX84v7JfySfim/tF/GL+uX88v7FfyKfiW/sl/Fr+pX86v7Nfyafi2/tl/Hr+vX8+v7DfyGfiO/sd/Eb+o385v7LfyWfiu/td/Gb+u389v7HfyOfie/s9/F7+p387v7Pfyefi+/t9/H7+v38/v7A/yB/iB/sD/EH+oP84f7Cf5//gh/pD/KH+2P8cf64/zx/gR/oj/Jn+xP8af60/zp/gx/pj/Ln+3P8ef68/z5/gJ/ob/IX+wv8Zf6y/zl/gp/pb/KX+2v8df66/z1/gZ/o7/J3+xv8bf62/zt/g5/p7/L3+3v8ff6+/z9/gH/oH/IP+wf8Y/6x/zj/gn/pH/KP+2f8c/65/zz/gX/on/Jv+xf8a/6mI/7hE/6lE/7jM/6nM/7gi/6ki/7iq/6mq/7hm/6lm/7ju/6nu/7gR/6kQ986CM/9q/51/0b/k3/ln/bv+Pf9e/59/0H/kP/kf/Yf+I/9Z/5z/0X/kv/lf/af+O/9d/57/0P/kf/k//Z/+J/9b/53/0f/k//l//b/+P/9f/5iYLEQZIgaZAsSB6kCFIGqYLUQZogbZAuSB9kCDIGmYLMQZYga5AtyB7kCHIGuYLcQZ4gb5AvyB8UCAoGhYLCQZGgaFAsKB6UCEoGpYLSQZmgbFAuKB9UCCoGlYLKQZWgalAtqB7UCGoGtYLaQZ2gblAvqB80CBoGjYLGQZOgadAsaB60CFoGrYLWQZugbdAuaB90CDoGnYLOQZega9At6B70CHoGvYLeQZ+gb9Av6B8MCAYGg4LBwZBgaDAsGB4kBP8FI4KRwahgdDAmGBuMC8YHE4KJwaRgcjAlmBpMC6YHM4KZwaxgdjAnmBvMC+YHC4KFwaJgcbAkWBosC5YHK4KVwapgdbAmWBusC9YHG4KNwaZgc7Al2BpsC7YHO4Kdwa5gd7An2BvsC/YHB4KDwaHgcHAkOBocC44HJ4KTwangdHAmOBucC84HF4KLwaXgcnAluBpgAR4QARlQAR0wARtwAR8IgRhIgRwogRpogR4YgRlYgR04gRt4gR8EQRhEAQhggII4uBZcD24EN4Nbwe3gTnA3uBfcDx4ED4NHwePgSfA0eBY8D14EL4NXwevgTfA2eBe8Dz4EH4NPwefgS/A1+BZ8D34EP4Nfwe/gT/A3+BckChOHScKkYbIweZgiTBmmClOHacK0YbowfZghzBhmCjOHWcKsYbYwe5gjzBnmCnOHecK8Yb4wf1ggLBgWCguHRcKiYbGweFgiLBmWCkuHZcKyYbmwfFghrBhWCiuHVcKqYbWwelgjrBnWCmuHdcK6Yb2wftggbBg2ChuHTcKmYbOwedgibBm2CluHbcK2Ybuwfdgh7Bh2CjuHXcKuYbewe9gj7Bn2CnuHfcK+Yb+wfzggHBgOCgeHQ8Kh4bBweJgQ/heOCEeGo8LR4ZhwbDguHB9OCCeGk8LJ4ZRwajgtnB7OCGeGs8LZ4ZxwbjgvnB8uCBeGi8LF4ZJwabgsXB6uCFeGq8LV4ZpwbbguXB9uCDeGm8LN4ZZwa7gt3B7uCHeGu8Ld4Z5wb7gv3B8eCA+Gh8LD4ZHwaHgsPB6eCE+Gp8LT4ZnwbHguPB9eCC+Gl8LL4ZXwaoiFeEiEZEiFdMiEbMiFfCiEYiiFcqiEaqiFemiEZmiFduiEbuiFfhiEYRiFIIQhCuPwWng9vBHeDG+Ft8M74d3wXng/fBA+DB+Fj8Mn4dPwWfg8fBG+DF+Fr8M34dvwXfg+/BB+DD+Fn8Mv4dfwW/g9/BH+DH+Fv8M/4d/wX5goShwliZJGyaLkUYooZZQqSh2lidJG6aL0UYYoY5QpyhxlibJG2aLsUY4oZ5Qryh3lifJG+aL8UYGoYFQoKhwViYpGxaLiUYmoZFQqKh2VicpG5aLyUYWoYlQpqhxViapG1aLqUY2oZlQrqh3ViepG9aL6UYOoYdQoahw1iZpGzaLmUYuoZdQqah21idpG7aL2UYeoY9Qp6hx1ibpG3aLuUY+oZ9Qr6h31ifpG/aL+0YBoYDQoGhwNiYZGw6LhUUL0XzQiGhmNikZHY6Kx0bhofDQhmhhNiiZHU6Kp0bRoejQjmhnNimZHc6K50bxofrQgWhgtihZHS6Kl0bJoebQiWhmtilZHa6K10bpofbQh2hhtijZHW6Kt0bZoe7Qj2hntinZHe6K90b5of3QgOhgdig5HR6Kj0bHoeHQiOhmdik5HZ6Kz0bnofHQhuhhdii5HV6KrERbhERGRERXREROxERfxkRCJkRTJkRKpkRbpkRGZkRXZkRO5kRf5URCFURSBCEYoiqNr0fXoRnQzuhXdju5Ed6N70f3oQfQwehQ9jp5ET6Nn0fPoRfQyehW9jt5Eb6N30fvoQ/Qx+hR9jr5EX6Nv0ffoR/Qz+hX9jv5Ef6N/USKQGCQBSUEykBykAClBKpAapAFpQTqQHmQAGUEmkBlkAVlBNpAd5AA5QS6QG+QBeUE+kB8UAAVBIVAYFAFFQTFQHJQAJUEpUBqUAWVBOVAeVAAVQSVQGVQBVUE1UB3UADVBLVAb1AF1QT1QHzQADUEj0Bg0AU1BM9ActAAtQSvQGrQBbUE70B50AB1BJ9AZdAFdQTfQHfQAPUEv0Bv0AX1BP9AfDAADwSAwGAwBQ8EwMBwkgP/ACDASjAKjwRgwFowD48EEMBFMApPBFDAVTAPTwQwwE8wCs8EcMBfMA/PBArAQLAKLwRKwFCwDy8EKsBKsAqvBGrAWrAPrwQawEWwCm8EWsBVsA9vBDrAT7AK7wR6wF+wD+8EBcBAcAofBEXAUHAPHwQlwEpwCp8EZcBacA+fBBXARXAKXwRVwFWAABwQgAQVowAAWcIAHAhCBBGSgABVoQAcGMIEFbOAAF3jABwEIQQQAgACBGFwD18ENcBPcArfBHXAX3AP3wQPwEDwCj8ET8BQ8A8/BC/ASvAKvwRvwFrwD78EH8BF8Ap/BF/AVfAPfwQ/wE/wCv8Ef8Bf8A4lgYpgEJoXJYHKYAqaEqWBqmAamhelgepgBZoSZYGaYBWaF2WB2mAPmhLlgbpgH5oX5YH5YABaEhWBhWAQWhcVgcVgCloSlYGlYBpaF5WB5WAFWhJVgZVgFVoXVYHVYA9aEtWBtWAfWhfVgfdgANoSNYGPYBDaFzWBz2AK2hK1ga9gGtoXtYHvYAXaEnWBn2AV2hd1gd9gD9oS9YG/YB/aF/WB/OAAOhIPgYDgEDoXD4HCYAP+DI+BIOAqOhmPgWDgOjocT4EQ4CU6GU+BUOA1OhzPgTDgLzoZz4Fw4D86HC+BCuAguhkvgUrgMLocr4Eq4Cq6Ga+BauA6uhxvgRrgJboZb4Fa4DW6HO+BOuAvuhnvgXrgP7ocH4EF4CB6GR+BReAwehyfgSXgKnoZn4Fl4Dp6HF+BFeAlehlfgVYhBHBKQhBSkIQNZyEEeClCEEpShAlWoQR0a0IQWtKEDXehBHwYwhBEEEEIEY3gNXoc34E14C96Gd+BdeA/ehw/gQ/gIPoZP4FP4DD6HL+BL+Aq+hm/gW/gOvocf4Ef4CX6GX+BX+A1+hz/gT/gL/oZ/4F/4DyZCiVESlBQlQ8lRCpQSpUKpURqUFqVD6VEGlBFlQplRFpQVZUPZUQ6UE+VCuVEelBflQ/lRAVQQFUKFURFUFBVDxVEJVBKVQqVRGVQWlUPlUQVUEVVClVEVVBVVQ9VRDVQT1UK1UR1UF9VD9VED1BA1Qo1RE9QUNUPNUQvUErVCrVEb1Ba1Q+1RB9QRdUKdURfUFXVD3VEP1BP1Qr1RH9QX9UP90QA0EA1Cg9EQNBQNQ8NRAvoPjUAj0Sg0Go1BY9E4NB5NQBPRJDQZTUFT0TQ0Hc1AM9EsNBvNQXPRPDQfLUAL0SK0GC1BS9EytBytQCvRKrQarUFr0Tq0Hm1AG9EmtBltQVvRNrQd7UA70S60G+1Be9E+tB8dQAfRIXQYHUFH0TF0HJ1AJ9EpdBqdQWfROXQeXUAX0SV0GV1BVxGGcEQgElGIRgxiEYd4JCARSUhGClKRhnRkIBNZyEYOcpGHfBSgEEUIIIgQitE1dB3dQDfRLXQb3UF30T10Hz1AD9Ej9Bg9QU/RM/QcvUAv0Sv0Gr1Bb9E79B59QB/RJ/QZfUFf0Tf0Hf1AP9Ev9Bv9QX/RP5QoThwniZPGyeLkcYo4ZZwqTh2nidPG6eL0cYY4Y5wpzhxnibPG2eLscY44Z5wrzh3nifPG+eL8cYG4YFwoLhwXiYvGxeLicYm4ZFwqLh2XicvG5eLycYW4YlwprhxXiavG1eLqcY24Zlwrrh3XievG9eL6cYO4Ydwobhw3iZvGzeLmcYu4Zdwqbh23idvG7eL2cYe4Y9wp7hx3ibvG3eLucY+4Z9wr7h33ifvG/eL+8YB4YDwoHhwPiYfGw+LhccL/CIAHADBCAACAs23btm3btm3btm3bto23bVU/47Dh2AhsJDYKG42NwcZi47Dx2ARsIjYJm4xNwaZi07Dp2AxsJjYLm43NweZi87D52AJsIbYIW4wtwZZiy7Dl2ApsJbYKW42twdZi67D12AZsI7YJ24xtwbZi27Dt2A5sJ7YL243twfZi+7D92AHsIHYIO4wdwY5ix7Dj2AnsJHYKO42dwc5i57Dz2AXsInYJu4xdwa5i17Dr2A3sJnYLu43dwe5i97D72APsIfYIe4w9wZ5iz7Dn2AvsJfYKe429wd5i77D32AfsI/YJ+4x9wb5iGIZjBEZiFEZjDMZiHMZjAiZiEiZjCqZiGqZjBmZiFmZjDuZiHuZjARZiEQYwiCEsxr5h37Ef2E/sF/Yb+4P9xf5hCfCEeCI8MZ4ET4onw5PjKfCUeCo8NZ4GT4unw9PjGfCMeCY8M54Fz4pnw7PjOfCceC48N54Hz4vnw/PjBfCCeCG8MF4EL4oXw4vjJfCSeCm8NF4GL4uXw8vjFfCKeCW8Ml4Fr4pXw6vjNfCaeC28Nl4Hr4vXw+vjDfCGeCO8Md4Eb4o3w5vjLfCWeCu8Nd4Gb4u3w9vjHfCOeCe8M94F74p3w7vjPfCeeC+8N94H74v3w/vjA/CB+CB8MD4EH4oPw4fjI/CR+Ch8ND4GH4uPw8fjE/CJ+CR8Mj4Fn4pPw6fjM/CZ+Cx8Nj4Hn4vPw+fjC/CF+CJ8Mb4EX4ovw5fjK/CV+Cp8Nb4GX4uvw9fjG/CN+CZ8M74F34pvw7fjO/Cd+C58N74H34vvw/fjB/CD+CH8MH4EP4ofw4/jJ/CT+Cn8NH4GP4ufw8/jF/CL+CX8Mn4Fv4pfw6/jN/Cb+C38Nn4Hv4vfw+/jD/CH+CP8Mf4Ef4o/w5/jL/CX+Cv8Nf4Gf4u/w9/jH/CP+Cf8M/4F/4pjOI4TOIlTOI0zOItzOI8LuIhLuIwruIpruI4buIlbuI07uIt7uI8HeIhHOMAhjvAY/4Z/x3/gP/Ff+G/8D/4X/4cnIBISiYjERBIiKZGMSE6kIFISqYjURBoiLZGOSE9kIDISmYjMRBYiK5GNyE7kIHISuYjcRB4iL5GPyE8UIAoShYjCRBGiKFGMKE6UIEoSpYjSRBmiLFGOKE9UICoSlYjKRBWiKlGNqE7UIGoStYjaRB2iLlGPqE80IBoSjYjGRBOiKdGMaE60IFoSrYjWRBuiLdGOaE90IDoSnYjORBeiK9GN6E70IHoSvYjeRB+iL9GP6E8MIAYSg4jBxBBiKDGMGE6MIEYSo4jRxBhiLDGOGE9MICYSk4jJxBRiKjGNmE7MIGYSs4jZxBxiLjGPmE8sIBYSi4jFxBJiKbGMWE6sIFYSq4jVxBpiLbGOWE9sIDYSm4jNxBZiK7GN2E7sIHYSu4jdxB5iL7GP2E8cIA4Sh4jDxBHiKHGMOE6cIE4Sp4jTxBniLHGOOE9cIC4Sl4jLxBXiKnGNuE7cIG4St4jbxB3iLnGPuE88IB4Sj4jHxBPiKfGMeE68IF4Sr4jXxBviLfGOeE98ID4Sn4jPxBfiK4EROEEQJEERNMEQLMERPCEQIiERMqEQKqEROmEQJmERNuEQLuERPhEQIRERgIAEImLiG/Gd+EH8JH4Rv4k/xF/iH5GATEgmIhOTScikZDIyOZmCTEmmIlOTaci0ZDoyPZmBzEhmIjOTWcisZDYyO5mDzEnmInOTeci8ZD4yP1mALEgWIguTRciiZDGyOFmCLEmWIkuTZciyZDmyPFmBrEhWIiuTVciqZDWyOlmDrEnWImuTdci6ZD2yPtmAbEg2IhuTTcimZDOyOdmCbEm2IluTbci2ZDuyPdmB7Eh2IjuTXciuZDeyO9mD7En2InuTfci+ZD+yPzmAHEgOIgeTQ8ih5DByODmCHEmOIkeTY8ix5DhyPDmBnEhOIieTU8ip5DRyOjmDnEnOImeTc8i55DxyPrmAXEguIheTS8il5DJyObmCXEmuIleTa8i15DpyPbmB3EhuIjeTW8it5DZyO7mD3EnuIneTe8i95D5yP3mAPEgeIg+TR8ij5DHyOHmCPEmeIk+TZ8iz5DnyPHmBvEheIi+TV8ir5DXyOnmDvEneIm+Td8i75D3yPvmAfEg+Ih+TT8in5DPyOfmCfEm+Il+Tb8i35DvyPfmB/Eh+Ij+TX8ivJEbiJEGSJEXSJEOyJEfypECKpETKpEKqpEbqpEGapEXapEO6pEf6ZECGZEQCEpKIjMlv5HfyB/mT/EX+Jv+Qf8l/ZAIqIZWISkwloZJSyajkVAoqJZWKSk2lodJS6aj0VAYqI5WJykxlobJS2ajsVA4qJ5WLyk3lofJS+aj8VAGqIFWIKkwVoYpSxajiVAmqJFWKKk2VocpS5ajyVAWqIlWJqkxVoapS1ajqVA2qJlWLqk3VoepS9aj6VAOqIdWIakw1oZpSzajmVAuqJdWKak21odpS7aj2VAeqI9WJ6kx1obpS3ajuVA+qJ9WL6k31ofpS/aj+1ABqIDWIGkwNoYZSw6jh1AhqJDWKGk2NocZS46jx1ARqIjWJmkxNoaZS06jp1AxqJjWLmk3NoeZS86j51AJqIbWIWkwtoZZSy6jl1ApqJbWKWk2todZS66j11AZqI7WJ2kxtobZS26jt1A5qJ7WL2k3tofZS+6j91AHqIHWIOkwdoY5Sx6jj1AnqJHWKOk2doc5S56jz1AXqInWJukxdoa5S16jr1A3qJnWLuk3doe5S96j71APqIfWIekw9oZ5Sz6jn1AvqJfWKek29od5S76j31AfqI/WJ+kx9ob5SGIVTBEVSFEVTDMVSHMVTAiVSEiVTCqVSGqVTBmVSFmVTDuVSHuVTARVSEQUoSCEqpr5R36kf1E/qF/Wb+kP9pf5RCeiEdCI6MZ2ETkono5PTKeiUdCo6NZ2GTkuno9PTGeiMdCY6M52Fzkpno7PTOeicdC46N52Hzkvno/PTBeiCdCG6MF2ELkoXo4vTJeiSdCm6NF2GLkuXo8vTFeiKdCW6Ml2FrkpXo6vTNeiadC26Nl2HrkvXo+vTDeiGdCO6Md2Ebko3o5vTLeiWdCu6Nd2Gbku3o9vTHeiOdCe6M92F7kp3o7vTPeiedC+6N92H7kv3o/vTA+iB9CB6MD2EHkoPo4fTI+iR9Ch6ND2GHkuPo8fTE+iJ9CR6Mj2FnkpPo6fTM+iZ9Cx6Nj2HnkvPo+fTC+iF9CJ6Mb2EXkovo5fTK+iV9Cp6Nb2GXkuvo9fTG+iN9CZ6M72F3kpvo7fTO+id9C56N72H3kvvo/fTB+iD9CH6MH2EPkofo4/TJ+iT9Cn6NH2GPkufo8/TF+iL9CX6Mn2Fvkpfo6/TN+ib9C36Nn2Hvkvfo+/TD+iH9CP6Mf2Efko/o5/TL+iX9Cv6Nf2Gfku/o9/TH+iP9Cf6M/2F/kpjNE4TNElTNE0zNEtzNE8LtEhLtEwrtEprtE4btElbtE07tEt7tE8HdEhHNKAhjeiY/kZ/p3/QP+lf9G/6D/2X/kcnYBIyiZjETBImKZOMSc6kYFIyqZjUTBomLZOOSc9kYDIymZjMTBYmK5ONyc7kYHIyuZjcTB4mL5OPyc8UYAoyhZjCTBGmKFOMKc6UYEoypZjSTBmmLFOOKc9UYCoylZjKTBWmKlONqc7UYGoytZjaTB2mLlOPqc80YBoyjZjGTBOmKdOMac60YFoyrZjWTBumLdOOac90YDoynZjOTBemK9ON6c70YHoyvZjeTB+mL9OP6c8MYAYyg5jBzBBmKDOMGc6MYEYyo5jRzBhmLDOOGc9MYCYyk5jJzBRmKjONmc7MYGYys5jZzBxmLjOPmc8sYBYyi5jFzBJmKbOMWc6sYFYyq5jVzBpmLbOOWc9sYDYym5jNzBZmK7ON2c7sYHYyu5jdzB5mL7OP2c8cYA4yh5jDzBHmKHOMOc6cYE4yp5jTzBnmLHOOOc9cYC4yl5jLzBXmKnONuc7cYG4yt5jbzB3mLnOPuc88YB4yj5jHzBPmKfOMec68YF4yr5jXzBvmLfOOec98YD4yn5jPzBfmK4MxOEMwJEMxNMMwLMMxPCMwIiMxMqMwKqMxOmMwJmMxNuMwLuMxPhMwIRMxgIEMYmLmG/Od+cH8ZH4xv5k/zF/mH5OATcgmYhOzSdikbDI2OZuCTcmmYlOzadi0bDo2PZuBzchmYjOzWdisbDY2O5uDzcnmYnOzedi8bD42P1uALcgWYguzRdiibDG2OFuCLcmWYkuzZdiybDm2PFuBrchWYiuzVdiqbDW2OluDrcnWYmuzddi6bD22PtuAbcg2YhuzTdimbDO2OduCbcm2Yluzbdi2bDu2PduB7ch2YjuzXdiubDe2O9uD7cn2Ynuzfdi+bD+2PzuAHcgOYgezQ9ih7DB2ODuCHcmOYkezY9ix7Dh2PDuBnchOYiezU9ip7DR2OjuDncnOYmezc9i57Dx2PruAXcguYhezS9il7DJ2ObuCXcmuYleza9i17Dp2PbuB3chuYjezW9it7DZ2O7uD3cnuYneze9i97D52P3uAPcgeYg+zR9ij7DH2OHuCPcmeYk+zZ9iz7Dn2PHuBvcheYi+zV9ir7DX2OnuDvcneYm+zd9i77D32PvuAfcg+Yh+zT9in7DP2OfuCfcm+Yl+zb9i37Dv2PfuB/ch+Yj+zX9ivLMbiLMGSLMXSLMOyLMfyrMCKrMTKrMKqrMbqrMGarMXarMO6rMf6bMCGbMQCFrKIjdlv7Hf2B/uT/cX+Zv+wf9l/bAIuIZeIS8wl4ZJyybjkXAouJZeKS82l4dJy6bj0XAYuI5eJy8xl4bJy2bjsXA4uJ5eLy83l4fJy+bj8XAGuIFeIK8wV4YpyxbjiXAmuJFeKK82V4cpy5bjyXAWuIleJq8xV4apy1bjqXA2uJleLq83V4epy9bj6XAOuIdeIa8w14ZpyzbjmXAuuJdeKa8214dpy7bj2XAeuI9eJ68x14bpy3bjuXA+uJ9eL68314fpy/bj+3ABuIDeIG8wN4YZyw7jh3AhuJDeKG82N4cZy47jx3ARuIjeJm8xN4aZy07jp3AxuJjeLm83N4eZy87j53AJuIbeIW8wt4ZZyy7jl3ApuJbeKW82t4dZy67j13AZuI7eJ28xt4bZy27jt3A5uJ7eL283t4fZy+7j93AHuIHeIO8wd4Y5yx7jj3AnuJHeKO82d4c5y57jz3AXuIneJu8xd4a5y17jr3A3uJneLu83d4e5y97j73APuIfeIe8w94Z5yz7jn3AvuJfeKe8294d5y77j33AfuI/eJ+8x94b5yGIdzBEdyFEdzDMdyHMdzAidyEidzCqdyGqdzBmdyFmdzDudyHudzARdyEQc4yCEu5r5x37kf3E/uF/eb+8P95f5xCfiEfCI+MZ+ET8on45PzKfiUfCo+NZ+GT8un49PzGfiMfCY+M5+Fz8pn47PzOficfC4+N5+Hz8vn4/PzBfiCfCG+MF+EL8oX44vzJfiSfCm+NF+GL8uX48vzFfiKfCW+Ml+Fr8pX46vzNfiafC2+Nl+Hr8vX4+vzDfiGfCO+Md+Eb8o345vzLfiWfCu+Nd+Gb8u349vzHfiOfCe+M9+F78p347vzPfiefC++N9+H78v34/vzA/iB/CB+MD+EH8oP44fzI/iR/Ch+ND+GH8uP48fzE/iJ/CR+Mj+Fn8pP46fzM/iZ/Cx+Nj+Hn8vP4+fzC/iF/CJ+Mb+EX8ov45fzK/iV/Cp+Nb+GX8uv49fzG/iN/CZ+M7+F38pv47fzO/id/C5+N7+H38vv4/fzB/iD/CH+MH+EP8of44/zJ/iT/Cn+NH+GP8uf48/zF/iL/CX+Mn+Fv8pf46/zN/ib/C3+Nn+Hv8vf4+/zD/iH/CP+Mf+Ef8o/45/zL/iX/Cv+Nf+Gf8u/49/zH/iP/Cf+M/+F/8pjPM4TPMlTPM0zPMtzPM8LvMhLvMwrvMprvM4bvMlbvM07vMt7vM8HfMhHPOAhj/iY/8Z/53/wP/lf/G/+D/+X/8cnEBIKiYTEQhIhqZBMSC6kEFIKqYTUQhohrZBOSC9kEDIKmYTMQhYhq5BNyC7kEHIKuYTcQh4hr5BPyC8UEAoKhYTCQhGhqFBMKC6UEEoKpYTSQhmhrFBOKC9UECoKlYTKQhWhqlBNqC7UEGoKtYTaQh2hrlBPqC80EBoKjYTGQhOhqdBMaC60EFoKrYTWQhuhrdBOaC90EDoKnYTOQhehq9BN6C70EHoKvYTeQh+hr9BP6C8MEAYKg4TBwhBhqDBMGC6MEEYKo4TRwhhhrDBOGC9MECYKk4TJwhRhqjBNmC7MEGYKs4TZwhxhrjBPmC8sEBYKi4TFwhJhqbBMWC6sEFYKq4TVwhphrbBOWC9sEDYKm4TNwhZhq7BN2C7sEHYKu4Tdwh5hr7BP2C8cEA4Kh4TDwhHhqHBMOC6cEE4Kp4TTwhnhrHBOOC9cEC4Kl4TLwhXhqnBNuC7cEG4Kt4Tbwh3hrnBPuC88EB4Kj4THwhPhqfBMeC68EF4Kr4TXwhvhrfBOeC98ED4Kn4TPwhfhq4AJuEAIpEAJtMAIrMAJvCAIoiAJsqAIqqAJumAIpmAJtuAIruAJvhAIoRAJQIACEmLhm/Bd+CH8FH4Jv4U/wl/hn5BATCgmEhOLScSkYjIxuZhCTCmmElOLacS0YjoxvZhBzChmEjOLWcSsYjYxu5hDzCnmEnOLecS8Yj4xv1hALCgWEguLRcSiYjGxuFhCLCmWEkuLZcSyYjmxvFhBrChWEiuLVcSqYjWxulhDrCnWEmuLdcS6Yj2xvthAbCg2EhuLTcSmYjOxudhCbCm2EluLbcS2YjuxvdhB7Ch2EjuLXcSuYjexu9hD7Cn2EnuLfcS+Yj+xvzhAHCgOEgeLQ8Sh4jBxuDhCHCmOEkeLY8Sx4jhxvDhBnChOEieLU8Sp4jRxujhDnCnOEmeLc8S54jxxvrhAXCguEheLS8Sl4jJxubhCXCmuEleLa8S14jpxvbhB3ChuEjeLW8St4jZxu7hD3CnuEneLe8S94j5xv3hAPCgeEg+LR8Sj4jHxuHhCPCmeEk+LZ8Sz4jnxvHhBvCheEi+LV8Sr4jXxunhDvCneEm+Ld8S74j3xvvhAfCg+Eh+LT8Sn4jPxufhCfCm+El+Lb8S34jvxvfhB/Ch+Ej+LX8SvIibiIiGSIiXSIiOyIifyoiCKoiTKoiKqoibqoiGaoiXaoiO6oif6YiCGYiQCEYpIjMVv4nfxh/hT/CX+Fv+If8V/YgIpoZRISiwlkZJKyaTkUgoppZRKSi2lkdJK6aT0UgYpo5RJyixlkbJK2aTsUg4pp5RLyi3lkfJK+aT8UgGpoFRIKiwVkYpKxaTiUgmppFRKKi2VkcpK5aTyUgWpolRJqixVkapK1aTqUg2pplRLqi3VkepK9aT6UgOpodRIaiw1kZpKzaTmUguppdRKai21kdpK7aT2Ugepo9RJ6ix1kbpK3aTuUg+pp9RL6i31kfpK/aT+0gBpoDRIGiwNkYZKw6Th0ghppDRKGi2NkcZK46Tx0gRpojRJmixNkaZK06Tp0gxppjRLmi3NkeZK86T50gJpobRIWiwtkZZKy6Tl0gpppbRKWi2tkdZK66T10gZpo7RJ2ixtkbZK26Tt0g5pp7RL2i3tkfZK+6T90gHpoHRIOiwdkY5Kx6Tj0gnppHRKOi2dkc5K56Tz0gXponRJuixdka5K16Tr0g3ppnRLui3dke5K96T70gPpofRIeiw9kZ5Kz6Tn0gvppfRKei29kd5K76T30gfpo/RJ+ix9kb5KmIRLhERKlERLjMRKnMRLgiRKkiRLiqRKmqRLhmRKlmRLjuRKnuRLgRRKkQQkKCEplr5J36Uf0k/pl/Rb+iP9lf5JCeSEciI5sZxETionk5PLKeSUcio5tZxGTiunk9PLGeSMciY5s5xFzipnk7PLOeScci45t5xHzivnk/PLBeSCciG5sFxELioXk4vLJeSScim5tFxGLiuXk8vLFeSKciW5slxFripXk6vLNeSaci25tlxHrivXk+vLDeSGciO5sdxEbio3k5vLLeSWciu5tdxGbiu3k9vLHeSOcie5s9xF7ip3k7vLPeSeci+5t9xH7iv3k/vLA+SB8iB5sDxEHioPk4fLI+SR8ih5tDxGHiuPk8fLE+SJ8iR5sjxFnipPk6fLM+SZ8ix5tjxHnivPk+fLC+SF8iJ5sbxEXiovk5fLK+SV8ip5tbxGXiuvk9fLG+SN8iZ5s7xF3ipvk7fLO+Sd8i55t7xH3ivvk/fLB+SD8iH5sHxEPiofk4/LJ+ST8in5tHxGPiufk8/LF+SL8iX5snxFvipfk6/LN+Sb8i35tnxHvivfk+/LD+SH8iP5sfxEfio/k5/LL+SX8iv5tfxGfiu/k9/LH+SP8if5s/xF/ipjMi4TMilTMi0zMitzMi8LsihLsiwrsiprsi4bsilbsi07sit7si8HcihHMpChjORY/iZ/l3/IP+Vf8m/5j/xX/icnUBIqiZTEShIlqZJMSa6kUFIqqZTUSholrZJOSa9kUDIqmZTMShYlq5JNya7kUHIquZTcSh4lr5JPya8UUAoqhZTCShGlqFJMKa6UUEoqpZTSShmlrFJOKa9UUCoqlZTKShWlqlJNqa7UUGoqtZTaSh2lrlJPqa80UBoqjZTGShOlqdJMaa60UFoqrZTWShulrdJOaa90UDoqnZTOShelq9JN6a70UHoqvZTeSh+lr9JP6a8MUAYqg5TByhBlqDJMGa6MUEYqo5TRyhhlrDJOGa9MUCYqk5TJyhRlqjJNma7MUGYqs5TZyhxlrjJPma8sUBYqi5TFyhJlqbJMWa6sUFYqq5TVyhplrbJOWa9sUDYqm5TNyhZlq7JN2a7sUHYqu5Tdyh5lr7JP2a8cUA4qh5TDyhHlqHJMOa6cUE4qp5TTyhnlrHJOOa9cUC4ql5TLyhXlqnJNua7cUG4qt5Tbyh3lrnJPua88UB4qj5THyhPlqfJMea68UF4qr5TXyhvlrfJOea98UD4qn5TPyhflq4IpuEIopEIptMIorMIpvCIooiIpsqIoqqIpumIopmIptuIoruIpvhIooRIpQIEKUmLlm/Jd+aH8VH4pv5U/yl/ln5JATagmUhOrSdSkajI1uZpCTammUlOradS0ajo1vZpBzahmUjOrWdSsajY1u5pDzanmUnOredS8aj41v1pALagWUgurRdSiajG1uFpCLamWUkurZdSyajm1vFpBrahWUiurVdSqajW1ulpDranWUmurddS6aj21vtpAbag2UhurTdSmajO1udpCbam2UlurbdS2aju1vdpB7ah2UjurXdSuaje1u9pD7an2UnurfdS+aj+1vzpAHagOUgerQ9Sh6jB1uDpCHamOUkerY9Sx6jh1vDpBnahOUierU9Sp6jR1ujpDnanOUmerc9S56jx1vrpAXaguUherS9Sl6jJ1ubpCXamuUlera9S16jp1vbpB3ahuUjerW9St6jZ1u7pD3anuUnere9S96j51v3pAPageUg+rR9Sj6jH1uHpCPameUk+rZ9Sz6jn1vHpBvaheUi+rV9Sr6jX1unpDvaneUm+rd9S76j31vvpAfag+Uh+rT9Sn6jP1ufpCfam+Ul+rb9S36jv1vfpB/ah+Uj+rX9SvKqbiKqGSKqXSKqOyKqfyqqCKqqTKqqKqqqbqqqGaqqXaqqO6qqf6aqCGaqQCFapIjdVv6nf1h/pT/aX+Vv+of9V/agItoZZIS6wl0ZJqybTkWgotpZZKS62l0dJq6bT0WgYto5ZJy6xl0bJq2bTsWg4tp5ZLy63l0fJq+bT8WgGtoFZIK6wV0YpqxbTiWgmtpFZKK62V0cpq5bTyWgWtolZJq6xV0apq1bTqWg2tplZLq63V0epq9bT6WgOtodZIa6w10ZpqzbTmWgutpdZKa6210dpq7bT2Wgeto9ZJ66x10bpq3bTuWg+tp9ZL66310fpq/bT+2gBtoDZIG6wN0YZqw7Th2ghtpDZKG62N0cZq47Tx2gRtojZJm6xN0aZq07Tp2gxtpjZLm63N0eZq87T52gJtobZIW6wt0ZZqy7Tl2gptpbZKW62t0dZq67T12gZto7ZJ26xt0bZq27Tt2g5tp7ZL263t0fZq+7T92gHtoHZIO6wd0Y5qx7Tj2gntpHZKO62d0c5q57Tz2gXtonZJu6xd0a5q17Tr2g3tpnZLu63d0e5q97T72gPtofZIe6w90Z5qz7Tn2gvtpfZKe6290d5q77T32gfto/ZJ+6x90b5qmIZrhEZqlEZrjMZqnMZrgiZqkiZriqZqmqZrhmZqlmZrjuZqnuZrgRZqkQY0qCEt1r5p37Uf2k/tl/Zb+6P91f5pCfSEeiI9sZ5ET6on05PrKfSUeio9tZ5GT6un09PrGfSMeiY9s55Fz6pn07PrOfScei49t55Hz6vn0/PrBfSCeiG9sF5EL6oX04vrJfSSeim9tF5GL6uX08vrFfSKeiW9sl5Fr6pX06vrNfSaei29tl5Hr6vX0+vrDfSGeiO9sd5Eb6o305vrLfSWeiu9td5Gb6u309vrHfSOeie9s95F76p307vrPfSeei+9t95H76v30/vrA/SB+iB9sD5EH6oP04frI/SR+ih9tD5GH6uP08frE/SJ+iR9sj5Fn6pP06frM/SZ+ix9tj5Hn6vP0+frC/SF+iJ9sb5EX6ov05frK/SV+ip9tb5GX6uv09frG/SN+iZ9s75F36pv07frO/Sd+i59t75H36vv0/frB/SD+iH9sH5EP6of04/rJ/ST+in9tH5GP6uf08/rF/SL+iX9sn5Fv6pf06/rN/Sb+i39tn5Hv6vf0+/rD/SH+iP9sf5Ef6o/05/rL/SX+iv9tf5Gf6u/09/rH/SP+if9s/5F/6pjOq4TOqlTOq0zOqtzOq8LuqhLuqwruqpruq4buqlbuq07uqt7uq8HeqhHOtChjvRY/6Z/13/oP/Vf+m/9j/5X/6cnMBIaiYzERhIjqZHMSG6kMFIaqYzURhojrZHOSG9kMDIamYzMRhYjq5HNyG7kMHIauYzcRh4jr5HPyG8UMAoahYzCRhGjqFHMKG6UMEoapYzSRhmjrFHOKG9UMCoalYzKRhWjqlHNqG7UMGoatYzaRh2jrlHPqG80MBoajYzGRhOjqdHMaG60MFoarYzWRhujrdHOaG90MDoanYzORhejq9HN6G70MHoavYzeRh+jr9HP6G8MMAYag4zBxhBjqDHMGG6MMEYao4zRxhhjrDHOGG9MMCYak4zJxhRjqjHNmG7MMGYas4zZxhxjrjHPmG8sMBYai4zFxhJjqbHMWG6sMFYaq4zVxhpjrbHOWG9sMDYam4zNxhZjq7HN2G7sMHYau4zdxh5jr7HP2G8cMA4ah4zDxhHjqHHMOG6cME4ap4zTxhnjrHHOOG9cMC4al4zLxhXjqnHNuG7cMG4at4zbxh3jrnHPuG88MB4aj4zHxhPjqfHMeG68MF4ar4zXxhvjrfHOeG98MD4an4zPxhfjq4EZuEEYpEEZtMEYrMEZvCEYoiEZsqEYqqEZumEYpmEZtuEYruEZvhEYoREZwIAGMmLjm/Hd+GH8NH4Zv40/xl/jn5HATGgmMhObScykZjIzuZnCTGmmMlObacy0ZjozvZnBzGhmMjObWcysZjYzu5nDzGnmMnObecy8Zj4zv1nALGgWMgubRcyiZjGzuFnCLGmWMkubZcyyZjmzvFnBrGhWMiubVcyqZjWzulnDrGnWMmubdcy6Zj2zvtnAbGg2MhubTcymZjOzudnCbGm2Mlubbcy2ZjuzvdnB7Gh2MjubXcyuZjezu9nD7Gn2Mnubfcy+Zj+zvznAHGgOMgebQ8yh5jBzuDnCHGmOMkebY8yx5jhzvDnBnGhOMiebU8yp5jRzujnDnGnOMmebc8y55jxzvrnAXGguMhebS8yl5jJzubnCXGmuMleba8y15jpzvbnB3GhuMjebW8yt5jZzu7nD3GnuMnebe8y95j5zv3nAPGgeMg+bR8yj5jHzuHnCPGmeMk+bZ8yz5jnzvHnBvGheMi+bV8yr5jXzunnDvGneMm+bd8y75j3zvvnAfGg+Mh+bT8yn5jPzufnCfGm+Ml+bb8y35jvzvfnB/Gh+Mj+bX8yvJmbiJmGSJmXSJmOyJmfypmCKpmTKpmKqpmbqpmGapmXapmO6pmf6ZmCGZmQCE5rIjM1v5nfzh/nT/GX+Nv+Yf81/ZgIroZXISmwlsZJayazkVgorpZXKSm2lsdJa6az0VgYro5XJymxlsbJa2azsVg4rp5XLym3lsfJa+az8VgGroFXIKmwVsYpaxaziVgmrpFXKKm2Vscpa5azyVgWrolXJqmxVsapa1azqVg2rplXLqm3Vsepa9az6VgOrodXIamw1sZpazazmVgurpdXKam21sdpa7az2Vgero9XJ6mx1sbpa3azuVg+rp9XL6m31sfpa/az+1gBroDXIGmwNsYZaw6zh1ghrpDXKGm2NscZa46zx1gRrojXJmmxNsaZa06zp1gxrpjXLmm3NseZa86z51gJrobXIWmwtsZZay6zl1gprpbXKWm2tsdZa66z11gZro7XJ2mxtsbZa26zt1g5rp7XL2m3tsfZa+6z91gHroHXIOmwdsY5ax6zj1gnrpHXKOm2dsc5a56zz1gXronXJumxdsa5a16zr1g3rpnXLum3dse5a96z71gProfXIemw9sZ5az6zn1gvrpfXKem29sd5a76z31gfro/XJ+mx9sb5amIVbhEValEVbjMVanMVbgiVakiVbiqVamqVbhmValmVbjuVanuVbgRVakQUsaCErtr5Z360f1k/rl/Xb+mP9tf5ZCeyEdiI7sZ3ETmons5PbKeyUdio7tZ3GTmuns9PbGeyMdiY7s53Fzmpns7PbOeycdi47t53Hzmvns/PbBeyCdiG7sF3ELmoXs4vbJeySdim7tF3GLmuXs8vbFeyKdiW7sl3FrmpXs6vbNeyadi27tl3HrmvXs+vbDeyGdiO7sd3Ebmo3s5vbLeyWdiu7td3Gbmu3s9vbHeyOdie7s93F7mp3s7vbPeyedi+7t93H7mv3s/vbA+yB9iB7sD3EHmoPs4fbI+yR9ih7tD3GHmuPs8fbE+yJ9iR7sj3FnmpPs6fbM+yZ9ix7tj3HnmvPs+fbC+yF9iJ7sb3EXmovs5fbK+yV9ip7tb3GXmuvs9fbG+yN9iZ7s73F3mpvs7fbO+yd9i57t73H3mvvs/fbB+yD9iH7sH3EPmofs4/bJ+yT9in7tH3GPmufs8/bF+yL9iX7sn3Fvmpfs6/bN+yb9i37tn3Hvmvfs+/bD+yH9iP7sf3Efmo/s5/bL+yX9iv7tf3Gfmu/s9/bH+yP9if7s/3F/mpjNm4TNmlTNm0zNmtzNm8LtmhLtmwrtmprtm4btmlbtm07tmt7tm8HdmhHNrChjezY/mZ/t3/YP+1f9m/7j/3X/mcncBI6iZzEThInqZPMSe6kcFI6qZzUThonrZPOSe9kcDI6mZzMThYnq5PNye7kcHI6uZzcTh4nr5PPye8UcAo6hZzCThGnqFPMKe6UcEo6pZzSThmnrFPOKe9UcCo6lZzKThWnqlPNqe7UcGo6tZzaTh2nrlPPqe80cBo6jZzGThOnqdPMae60cFo6rZzWThunrdPOae90cDo6nZzOThenq9PN6e70cHo6vZzeTh+nr9PP6e8McAY6g5zBzhBnqDPMGe6McEY6o5zRzhhnrDPOGe9McCY6k5zJzhRnqjPNme7McGY6s5zZzhxnrjPPme8scBY6i5zFzhJnqbPMWe6scFY6q5zVzhpnrbPOWe9scDY6m5zNzhZnq7PN2e7scHY6u5zdzh5nr7PP2e8ccA46h5zDzhHnqHPMOe6ccE46p5zTzhnnrHPOOe9ccC46l5zLzhXnqnPNue7ccG46t5zbzh3nrnPPue88cB46j5zHzhPnqfPMee68cF46r5zXzhvnrfPOee98cD46n5zPzhfnq4M5uEM4pEM5tMM4rMM5vCM4oiM5sqM4qqM5umM4pmM5tuM4ruM5vhM4oRM5wIEOcmLnm/Pd+eH8dH45v50/zl/nn5PATegmchO7SdykbjI3uZvCTemmclO7ady0bjo3vZvBzehmcjO7WdysbjY3u5vDzenmcnO7edy8bj43v1vALegWcgu7RdyibjG3uFvCLemWcku7Zdyybjm3vFvBrehWciu7VdyqbjW3ulvDrenWcmu7ddy6bj23vtvAbeg2chu7TdymbjO3udvCbem2clu7bdy2bju3vdvB7eh2cju7Xdyubje3u9vD7en2cnu7fdy+bj+3vzvAHegOcge7Q9yh7jB3uDvCHemOcke7Y9yx7jh3vDvBnehOcie7U9yp7jR3ujvDnenOcme7c9y57jx3vrvAXeguche7S9yl7jJ3ubvCXemucle7a9y17jp3vbvB3ehucje7W9yt7jZ3u7vD3enucne7e9y97j53v3vAPegecg+7R9yj7jH3uHvCPemeck+7Z9yz7jn3vHvBveheci+7V9yr7jX3unvDvenecm+7d9y77j33vvvAfeg+ch+7T9yn7jP3ufvCfem+cl+7b9y37jv3vfvB/eh+cj+7X9yvLubiLuGSLuXSLuOyLufyruCKruTKruKqrubqruGaruXaruO6ruf6buCGbuQCF7rIjd1v7nf3h/vT/eX+dv+4f91/bgIvoZfIS+wl8ZJ6ybzkXgovpZfKS+2l8dJ66bz0XgYvo5fJy+xl8bJ62bzsXg4vp5fLy+3l8fJ6+bz8XgGvoFfIK+wV8Yp6xbziXgmvpFfKK+2V8cp65bzyXgWvolfJq+xV8ap61bzqXg2vplfLq+3V8ep69bz6XgOvodfIa+w18Zp6zbzmXguvpdfKa+218dp67bz2Xgevo9fJ6+x18bp63bzuXg+vp9fL6+318fp6/bz+3gBvoDfIG+wN8YZ6w7zh3ghvpDfKG+2N8cZ647zx3gRvojfJm+xN8aZ607zp3gxvpjfLm+3N8eZ687z53gJvobfIW+wt8ZZ6y7zl3gpvpbfKW+2t8dZ667z13gZvo7fJ2+xt8bZ627zt3g5vp7fL2+3t8fZ6+7z93gHvoHfIO+wd8Y56x7zj3gnvpHfKO+2d8c5657zz3gXvonfJu+xd8a5617zr3g3vpnfLu+3d8e5697z73gPvoffIe+w98Z56z7zn3gvvpffKe+298d5677z33gfvo/fJ++x98b56mId7hEd6lEd7jMd6nMd7gid6kid7iqd6mqd7hmd6lmd7jud6nud7gRd6kQc86CEv9r55370f3k/vl/fb++P99f55CfyEfiI/sZ/ET+on85P7KfyUfio/tZ/GT+un89P7GfyMfiY/s5/Fz+pn87P7Ofycfi4/t5/Hz+vn8/P7BfyCfiG/sF/EL+oX84v7JfySfim/tF/GL+uX88v7FfyKfiW/sl/Fr+pX86v7Nfyafi2/tl/Hr+vX8+v7DfyGfiO/sd/Eb+o385v7LfyWfiu/td/Gb+u389v7HfyOfie/s9/F7+p387v7Pfyefi+/t9/H7+v38/v7A/yB/iB/sD/EH+oP84f7I/yR/ih/tD/GH+uP88f7E/yJ/iR/sj/Fn+pP86f7M/yZ/ix/tj/Hn+vP8+f7C/yF/iJ/sb/EX+ov85f7K/yV/ip/tb/GX+uv89f7G/yN/iZ/s7/F3+pv87f7O/yd/i5/t7/H3+vv8/f7B/yD/iH/sH/EP+of84/7J/yT/in/tH/GP+uf88/7F/yL/iX/sn/Fv+pf86/7N/yb/i3/tn/Hv+vf8+/7D/yH/iP/sf/Ef+o/85/7L/yX/iv/tf/Gf+u/89/7H/yP/if/s//F/+pjPu4TPulTPu0zPutzPu8LvuhLvuwrvuprvu4bvulbvu07vut7vu8HfuhHPvChj/zY/+Z/93/4P/1f/m//j//X/+cnCBIGiYLEQZIgaZAsSB6kCFIGqYLUQZogbZAuSB9kCDIGmYLMQZYga5AtyB7kCHIGuYLcQZ4gb5AvyB8UCAoGhYLCQZGgaFAsKB6UCEoGpYLSQZmgbFAuKB9UCCoGlYLKQZWgalAtqB7UCGoGtYLaQZ2gblAvqB80CBoGjYLGQZOgadAsaB60CFoGrYLWQZugbdAuaB90CDoGnYLOQZega9At6B70CHoGvYLeQZ+gb9Av6B8MCAYGg4LBwZBgaDAsGB6MCEYGo4LRwZhgbDAuGB9MCCYGk4LJwZRgajAtmB7MCGYGs4LZwZxgbjAvmB8sCBYGi4LFwZJgabAsWB6sCFYGq4LVwZpgbbAuWB9sCDYGm4LNwZZga7At2B7sCHYGu4LdwZ5gb7Av2B8cCA4Gh4LDwZHgaHAsOB6cCE4Gp4LTwZngbHAuOB9cCC4Gl4LLwZXganAtuB7cCG4Gt4LbwZ3gbnAvuB88CB4Gj4LHwZPgafAseB68CF4Gr4LXwZvgbfAueB98CD4Gn4LPwZfga4AFeEAEZEAFdMAEbMAFfCAEYiAFcqAEaqAFemAEZmAFduAEbuAFfhAEYRAFIIABCuLgW/A9+BH8DH4Fv4M/wd/gX5AgTBgmChOHScKkYbIweZgiTBmmClOHacK0YbowfZghzBhmCjOHWcKsYbYwe5gjzBnmCnOHecK8Yb4wf1ggLBgWCguHRcKiYbGweFgiLBmWCkuHZcKyYbmwfFghrBhWCiuHVcKqYbWwelgjrBnWCmuHdcK6Yb2wftggbBg2ChuHTcKmYbOwedgibBm2CluHbcK2Ybuwfdgh7Bh2CjuHXcKuYbewe9gj7Bn2CnuHfcK+Yb+wfzggHBgOCgeHQ8Kh4bBweDgiHBmOCkeHY8Kx4bhwfDghnBhOCieHU8Kp4bRwejgjnBnOCmeHc8K54bxwfrggXBguCheHS8Kl4bJwebgiXBmuCleHa8K14bpwfbgh3BhuCjeHW8Kt4bZwe7gj3BnuCneHe8K94b5wf3ggPBgeCg+HR8Kj4bHweHgiPBmeCk+HZ8Kz4bnwfHghvBheCi+HV8Kr4bXwengjvBneCm+Hd8K74b3wfvggfBg+Ch+HT8Kn4bPwefgifBm+Cl+Hb8K34bvwffgh/Bh+Cj+HX8KvIRbiIRGSIRXSIROyIRfyoRCKoRTKoRKqoRbqoRGaoRXaoRO6oRf6YRCGYRSCEIYojMNv4ffwR/gz/BX+Dv+Ef8N/YYIoYZQoShwliZJGyaLkUYooZZQqSh2lidJG6aL0UYYoY5QpyhxlibJG2aLsUY4oZ5Qryh3lifJG+aL8UYGoYFQoKhwViYpGxaLiUYmoZFQqKh2VicpG5aLyUYWoYlQpqhxViapG1aLqUY2oZlQrqh3ViepG9aL6UYOoYdQoahw1iZpGzaLmUYuoZdQqah21idpG7aL2UYeoY9Qp6hx1ibpG3aLuUY+oZ9Qr6h31ifpG/aL+0YBoYDQoGhwNiYZGw6Lh0YhoZDQqGh2NicZG46Lx0YRoYjQpmhxNiaZG06Lp0YxoZjQrmh3NieZG86L50YJoYbQoWhwtiZZGy6Ll0YpoZbQqWh2tidZG66L10YZoY7Qp2hxtibZG26Lt0Y5oZ7Qr2h3tifZG+6L90YHoYHQoOhwdiY5Gx6Lj0YnoZHQqOh2dic5G56Lz0YXoYnQpuhxdia5G16Lr0Y3oZnQruh3die5G96L70YPoYfQoehw9iZ5Gz6Ln0YvoZfQqeh29id5G76L30YfoY/Qp+hx9ib5GWIRHRERGVERHTMRGXMRHQiRGUiRHSqRGWqRHRmRGVmRHTuRGXuRHQRRGUQQiGKEojr5F36Mf0c/oV/Q7+hP9jf5FCUBCkAgkBklAUpAMJAcpQEqQCqQGaUBakA6kBxlARpAJZAZZQFaQDWQHOUBOkAvkBnlAXpAP5AcFQEFQCBQGRUBRUAwUByVASVAKlAZlQFlQDpQHFUBFUAlUBlVAVVANVAc1QE1QC9QGdUBdUA/UBw1AQ9AINAZNQFPQDDQHLUBL0Aq0Bm1AW9AOtAcdQEfQCXQGXUBX0A10Bz1AT9AL9AZ9QF/QD/QHA8BAMAgMBkPAUDAMDAcjwEgwCowGY8BYMA6MBxPARDAJTAZTwFQwDUwHM8BMMAvMBnPAXDAPzAcLwEKwCCwGS8BSsAwsByvASrAKrAZrwFqwDqwHG8BGsAlsBlvAVrANbAc7wE6wC+wGe8BesA/sBwfAQXAIHAZHwFFwDBwHJ8BJcAqcBmfAWXAOnAcXwEVwCVwGV8BVcA1cBzfATXAL3AZ3wF1wD9wHD8BD8Ag8Bk/AU/AMPAcvwEvwCrwGb8Bb8A68Bx/AR/AJfAZfwFeAARwQgAQUoAEDWMABHghABBKQgQJUoAEdGMAEFrCBA1zgAR8EIAQRAAACBGLwDXwHP8BP8Av8Bn/AX/APJIAJYSKYGCaBSWEymBymgClhKpgapoFpYTqYHmaAGWEmmBlmgVlhNpgd5oA5YS6YG+aBeWE+mB8WgAVhIVgYFoFFYTFYHJaAJWEpWBqWgWVhOVgeVoAVYSVYGVaBVWE1WB3WgDVhLVgb1oF1YT1YHzaADWEj2Bg2gU1hM9gctoAtYSvYGraBbWE72B52gB1hJ9gZdoFdYTfYHfaAPWEv2Bv2gX1hP9gfDoAD4SA4GA6BQ+EwOByOgCPhKDgajoFj4Tg4Hk6AE+EkOBlOgVPhNDgdzoAz4Sw4G86Bc+E8OB8ugAvhIrgYLoFL4TK4HK6AK+EquBqugWvhOrgeboAb4Sa4GW6BW+E2uB3ugDvhLrgb7oF74T64Hx6AB+EheBgegUfhMXgcnoAn4Sl4Gp6BZ+E5eB5egBfhJXgZXoFX4TV4Hd6AN+EteBvegXfhPXgfPoAP4SP4GD6BT+Ez+By+gC/hK/gavoFv4Tv4Hn6AH+En+Bl+gV8hBnFIQBJSkIYMZCEHeShAEUpQhgpUoQZ1aEATWtCGDnShB30YwBBGEEAIEYzhN/gd/oA/4S/4G/6Bf+E/mAAlRIlQYpQEJUXJUHKUAqVEqVBqlAalRelQepQBZUSZUGaUBWVF2VB2lAPlRLlQbpQH5UX5UH5UABVEhVBhVAQVRcVQcVQClUSlUGlUBpVF5VB5VAFVRJVQZVQFVUXVUHVUA9VEtVBtVAfVRfVQfdQANUSNUGPUBDVFzVBz1AK1RK1Qa9QGtUXtUHvUAXVEnVBn1AV1Rd1Qd9QD9US9UG/UB/VF/VB/NAANRIPQYDQEDUXD0HA0Ao1Eo9BoNAaNRePQeDQBTUST0GQ0BU1F09B0NAPNRLPQbDQHzUXz0Hy0AC1Ei9BitAQtRcvQcrQCrUSr0Gq0Bq1F69B6tAFtRJvQZrQFbUXb0Ha0A+1Eu9ButAftRfvQfnQAHUSH0GF0BB1Fx9BxdAKdRKfQaXQGnUXn0Hl0AV1El9BldAVdRdfQdXQD3US30G10B91F99B99AA9RI/QY/QEPUXP0HP0Ar1Er9Br9Aa9Re/Qe/QBfUSf0Gf0BX1FGMIRgUhEIRoxiEUc4pGARCQhGSlIRRrSkYFMZCEbOchFHvJRgEIUIYAgQihG39B39AP9RL/Qb/QH/UX/UII4YZwoThwniZPGyeLkcYo4ZZwqTh2nidPG6eL0cYY4Y5wpzhxnibPG2eLscY44Z5wrzh3nifPG+eL8cYG4YFwoLhwXiYvGxeLicYm4ZFwqLh2XicvG5eLycYW4YlwprhxXiavG1eLqcY24Zlwrrh3XievG9eL6cYO4Ydwobhw3iZvGzeLmcYu4Zdwqbh23idvG7eL2cYe4Y9wp7hx3ibvG3eLucY+4Z9wr7h33ifvG/eL+8YB4YDwoHhwPiYfGw/6zdxfAmhWHvui/EdzdYXB3dyKQkEBCsBB8gAEGBgZmsEEHd3d3d3d3d3d3hyVt61XIwD0kJ+/ec1/dk5dbv1/V3t1ff/119+q9/l1rV+2qXW1RbVkNrraqtq6GVNtU21ZDq+2q7ath1fBqh2rHaqdq52qXakS1a7VbtXu1R7VntVc1stq72qfat9qv2r86oDqwOqg6uDqkOrQ6rDq8OqI6sjqqOro6pjq2Oq46vjqhOrE6qTq5OqU6tTqtOr06ozqzOqs6uzqnOrc6rzq/uqC6sLqouri6pLq0uqy6vLqiurK6qrq6uqa6trquur66obqxuqm6ubqlurW6rbq9uqO6s7qruru6p7q3uq+6v3qgerB6qHq4eqR6tHqserx6onqyeqp6unqmerZ6rnq+eqF6sXqperl6pXq1eq16vXqjerN6q3q7eqd6t3qver/6oPqw+qj6uPqk+rT6rPq8+qL6svqq+rr6pvq2+q76vqqqumqqtgpVrFKVq1J1Va/uU/et+9X969Hq0esx6jHrseqx63Hqcevx6vHrCeoJ64nqietJ6knryerJ6ynqKeup6qnraepp6+nq6esZ6gH1jPVM9cz1LPWs9Wz17PUc9Zz1XPXc9Tz1vPV89fz1AvWC9UL1wvUi9aL1YvXi9RL1kvVS9dL1MvWy9XL18vUK9S/qX9a/qn9dr1ivVI/1t//f2ft9vUq9av2H+o/1avWf6tXrNeo167Xqtes/1+vUf6nXrder1683qDesN6o3rgfWm9Sb1pvVg+rN6y3qLevB9Vb11vWQept623povV29fT2sHl7vUO9Y71TvXO9Sj6h3rXerd6/3qPes96pH1nvX+9T71vvV+9cH1AfWB9UH14fUh9aH1YfXR9RH1kfVR9fH1MfWx9XH1yfUJ9Yn1SfXp9Sn1qfVp9dn1GfWZ9Vn1+fU59bn1efXF9QX1hfVF9eX1JfWl9WX11fUV9ZX1VfX19TX1tfV19c31DfWN9U317fUt9a31bfXd9R31nfVd9f31PfW99X31w/UD9YP1Q/Xj9SP1o/Vj9dP1E/WT9VP18/Uz9bP1c/XL9Qv1i/VL9ev1K/Wr9Wv12/Ub9Zv1W/X79Tv1u/V79cf1B/WH9Uf15/Un9af1Z/XX9Rf1l/VX9ff1N/W39Xf11Vd103d1qGOdapzXequ7jV9mr5Nv6Z/M1ozejNGM2YzVjN2M04zbjNeM34zQTNhM1EzcTNJM2kzWTN5M0UzZTNVM3UzTTNtM10zfTNDM6CZsZmpmbmZpZm1ma2ZvZmjmbOZq5m7maeZt5mvmb9ZoFmwWahZuFmkWbRZrFm8WaJZslmqWbpZplm2Wa5Zvlmh+UXzy+ZXza+bFZuVmt80v21Wbn7Xp9fr/fWr+WOzWvOnZvVmjWbNZq1m7ebPzTrNX5p1m/Wa9ZsNmg2bjZqNm4HNJs2mzWbNoGbzZotmy2Zws1WzdTOk2abZthnabNds3wxrhjc7NDs2OzU7N7s0I5pdm92a3Zs9mtFG3W37NPs2+zX7Nwc0BzYHNQc3hzSHNoc1hzdHNEc2RzVHN8c0xzbHNcc3JzQnNic1JzenNKc2pzWnN2c0ZzZnNWc35zTnNuc15zcXNBc2FzUXN5c0lzaXNZc3VzRXNlc1VzfXNNc21zXXNzc0NzY3NTc3tzS3Nrc1tzd3NHc2dzV3N/c09zb3Nfc3DzQPNg81DzePNI82jzWPN080TzZPNU83zzTPNs81zzcvNC82LzUvN680rzavNa83bzRvNm81bzfvNO827zXvNx80HzYfNR83nzSfNp81nzdfNF82XzVfN9803zbfNd83VVM3TdM2oYlNanJTmq7ptX3avm2/tn87Wjt6O0Y7ZjtWO3Y7TjtuO147fjtBO2E7UTtxO0k7aTtZO3k7RTtlO1U7dTtNO207XTt9O0M7oJ2xnamduZ2lnbWdrZ29naOds52rnbudp523na+dv12gXbBdqF24XaRdtF2sXbxdol2yXapdul2mXbZdrl2+XaH9RfvL9lftr9sV25Xa37S/bVduf9f+vl2lXbX9Q/vHdrX2T+3q7Rrtmu1a7drtn9t12r+067brteu3G7Qbthu1G7cD203aTdvN2kHt5u0W7Zbt4Hardut2SLtNu207tN2u3b4d1g5vd2h3bHdqd253aUe0u7a7tbu3e7R7tnu1I9u9233afdv92v3bA9oD24Pag9tD2kPbw9rD2yPaI9uj2qPbY9pj2+Pa49sT2hPbk9qT21PaU9vT2tPbM9oz27Pas9tz2nPb89rz2wvaC9uL2ovbS9pL28vay9sr2ivbq9qr22vaa9vr2uvbG9ob25vam9tb2lvb29rb2zvaO9u72rvbe9p72/va+9sH2gfbh9qH20faR9vH2sfbJ9on26fap9tn2mfb59rn2xfaF9uX2pfbV9pX29fa19s32jfbt9q323fad9v32vfbD9oP24/aj9tP2k/bz9rP2y/aL9uv2q/bb9pv2+/a79uqrdumbdvQxja1uS1t1/ZCn9A39Av9w2hh9DBGGDOMFcYO44Rxw3hh/DBBmDBMFCYOk4RJw2Rh8jBFmDJMFaYO04Rpw3Rh+jBDGBBmDDOFmcMsYdYwW5g9zBHmDHOFucM8Yd4wX5g/LBAWDAuFhcMiYdGwWFg8LBGWDEuFpcMyYdmwXFg+rBB+EX4ZfhV+HVYMK4XfhN+GlcPvwu/DKmHV8Ifwx7Ba+FNYPawR1gxrhbXDn8M64S9h3bBeWD9sEDYMG4WNw8CwSdg0bBYGhc3DFmHLMDhsFbYOQ8I2YdswNGwXtg/DwvCwQ9gx7BR2DruEEWHXsFvYPewR9gx7hZFh77BP2DfsF/YPB4QDw0Hh4HBIODQcFg4PR4Qjw1Hh6HBMODYcF44PJ4QTw0nh5HBKODWcFk4PZ4Qzw1nh7HBOODecF84PF4QLw0Xh4nBJuDRcFi4PV4Qrw1Xh6nBNuDZcF64PN4Qbw03h5nBLuDXcFm4Pd4Q7w13h7nBPuDfcF+4PD4QHw0Ph4fBIeDQ8Fh4PT4Qnw1Ph6fBMeDY8F54PL4QXw0vh5fBKeDW8Fl4Pb4Q3w1vh7fBOeDe8F94PH4QPw0fh4/BJ+DR8Fj4PX4Qvw1fh6/BN+DZ8F74PVahDE9oQQgwp5FBCF3qxT+wb+8X+cbQ4ehwjjhnHimPHceK4cbw4fpwgThgnihPHSeKkcbI4eZwiThmnilPHaeK0cbo4fZwhDogzxpnizHGWOGucLc4e54hzxrni3HGeOG+cL84fF4gLxoXiwnGRuGhcLC4el4hLxqXi0nGZuGxcLi4fV4i/iL+Mv4q/jivGleJv4m/jyvF38fdxlbhq/EP8Y1wt/imuHteIa8a14trxz3Gd+Je4blwvrh83iBvGjeLGcWDcJG4aN4uD4uZxi7hlHBy3ilvHIXGbuG0cGreL28dhcXjcIe4Yd4o7x13iiLhr3C3uHveIe8a94si4d9wn7hv3i/vHA+KB8aB4cDwkHhoPi4fHI+KR8ah4dDwmHhuPi8fHE+KJ8aR4cjwlnhpPi6fHM+KZ8ax4djwnnhvPi+fHC+KF8aJ4cbwkXhovi5fHK+KV8ap4dbwmXhuvi9fHG+KN8aZ4c7wl3hpvi7fHO+Kd8a54d7wn3hvvi/fHB+KD8aH4cHwkPhofi4/HJ+KT8an4dHwmPhufi8/HF+KL8aX4cnwlvhpfi6/HN+Kb8a34dnwnvhvfi+/HD+KH8aP4cfwkfho/i5/HL+KX8av4dfwmfhu/i9/HKtaxiW0MMcYUcyyxi73UJ/VN/VL/NFoaPY2RxkxjpbHTOGncNF4aP02QJkwTpYnTJGnSNFmaPE2RpkxTpanTNGnaNF2aPs2QBqQZ00xp5jRLmjXNlmZPc6Q501xp7jRPmjfNl+ZPC6QF00Jp4bRIWjQtlhZPS6Ql01Jp6bRMWjYtl5ZPK6RfpF+mX6VfpxXTSuk36bdp5fS79Pu0Slo1/SH9Ma2W/pRWT2ukNdNaae3057RO+ktaN62X1k8bpA3TRmnjNDBtkjZNm6VBafO0RdoyDU5bpa3TkLRN2jYNTdul7dOwNDztkHZMO6Wd0y5pRNo17ZZ2T3ukPdNeaWTaO+2T9k37pf3TAenAdFA6OB2SDk2HpcPTEenIdFQ6Oh2Tjk3HpePTCenEdFI6OZ2STk2npdPTGenMdFY6O52Tzk3npfPTBenCdFG6OF2SLk2XpcvTFenKdFW6Ol2Trk3XpevTDenGdFO6Od2Sbk23pdvTHenOdFe6O92T7k33pfvTA+nB9FB6OD2SHk2PpcfTE+nJ9FR6Oj2Tnk3PpefTC+nF9FJ6Ob2SXk2vpdfTG+nN9FZ6O72T3k3vpffTB+nD9FH6OH2SPk2fpc/TF+nL9FX6On2Tvk3fpe9TlerUpDaFFFNKOZXUpV7uk/vmfrl/Hi2PnsfIY+ax8th5nDxuHi+PnyfIE+aJ8sR5kjxpnixPnqfIU+ap8tR5mjxtni5Pn2fIA/KMeaY8c54lz5pny7PnOfKcea48d54nz5vny/PnBfKCeaG8cF4kL5oXy4vnJfKSeam8dF4mL5uXy8vnFfIv8i/zr/Kv84p5pfyb/Nu8cv5d/n1eJa+a/5D/mFfLf8qr5zXymnmtvHb+c14n/yWvm9fL6+cN8oZ5o7xxHpg3yZvmzfKgvHneIm+ZB+et8tZ5SN4mb5uH5u3y9nlYHp53yDvmnfLOeZc8Iu+ad8u75z3ynnmvPDLvnffJ++b98v75gHxgPigfnA/Jh+bD8uH5iHxkPiofnY/Jx+bj8vH5hHxiPimfnE/Jp+bT8un5jHxmPiufnc/J5+bz8vn5gnxhvihfnC/Jl+bL8uX5inxlvipfna/J1+br8vX5hnxjvinfnG/Jt+bb8u35jnxnvivfne/J9+b78v35gfxgfig/nB/Jj+bH8uP5ifxkfio/nZ/Jz+bn8vP5hfxifim/nF/Jr+bX8uv5jfxmfiu/nd/J7+b38vv5g/xh/ih/nD/Jn+bP8uf5i/xl/ip/nb/J3+bv8ve5ynVucptDjjnlnEvucq/0KX1Lv9K/jFZGL2OUMctYZewyThm3jFfGLxOUCctEZeIySZm0TFYmL1OUKctUZeoyTZm2TFemLzOUAWXGMlOZucxSZi2zldnLHGXOMleZu8xT5i3zlfnLAmXBslBZuCxSFi2LlcXLEmXJslRZuixTli3LleXLCuUX5ZflV+XXZcWyUvlN+W1Zufyu/L6sUlYtfyh/LKuVP5XVyxplzbJWWbv8uaxT/lLWLeuV9csGZcOyUdm4DCyblE3LZmVQ2bxsUbYsg8tWZesypGxTti1Dy3Zl+zKsDC87lB3LTmXnsksZUXYtu5Xdyx5lz7JXGVn2LvuUfct+Zf9yQDmwHFQOLoeUQ8th5fByRDmyHFWOLseUY8tx5fhyQjmxnFROLqeUU8tp5fRyRjmznFXOLueUc8t55fxyQbmwXFQuLpeUS8tl5fJyRbmyXFWuLteUa8t15fpyQ7mx3FRuLreUW8tt5fZyR7mz3FXuLveUe8t95f7yQHmwPFQeLo+UR8tj5fHyRHmyPFWeLs+UZ8tz5fnyQnmxvFReLq+UV8tr5fXyRnmzvFXeLu+Ud8t75f3yQfmwfFQ+Lp+UT8tn5fPyRfmyfFW+Lt+Ub8t35ftSlbo0pS2hxJJKLqV0pdf16fp2/br+3Wjd6N0Y3ZjdWN3Y3TjduN143fjdBN2E3UTdxN0k3aTdZN3k3RTdlN1U3dTdNN203XTd9N0M3YBuxm6mbuZulm7WbrZu9m6Obs5urm7ubp5u3m6+bv5ugW7BbqFu4W6RbtFusW7xboluyW6pbulumW7Zbrlu+W6F/63Pr9et323Qbdht1G3cDew26TbtNusGdZt3W3RbdoO7rbqtuyHdNt223dBuu277blg3vNuh27Hbqdu526Ub0e3a7dbt3u3R7dnt1Y3s9u726fbt9uv27w7oDuwO6g7uDukO7Q7rDu+O6I7sjuqO7o7pju2O647vTuhO7E7qTu5O6U7tTutO787ozuzO6s7uzunO7c7rzu8u6C7sLuou7i7pLu0u6y7vruiu7K7qru6u6a7truuu727obuxu6m7ubulu7W7rbu/u6O7s7uru7u7p7u3u6+7vHuge7B7qHu4e6R7tHuse757onuye6p7unume7Z7rnu9e6F7sXupe7l7pXu1e617v3uje7N7q3u7e6d7t3uve7z7oPuw+6j7uPuk+7T7rPu++6L7svuq+7r7pvu2+677vqq7umq7tQhe71OWudF3XAwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4AcrrbzKigv0+v30uk+vT2+JXp/eSX16vV7//9Hvx+qPPSfs9Xob/1Cb8ofvvx1VG3nx+PMMCS/1+Wfl6P/ZIvr8Y9MCvV5vy5/G79v73Q+1Pr3Rfmgb7X86T2/IqIFGlT/O27/fLL1Veyv11hz1euSotffprfCzhZRR5Qo/NqR+/2k5cffDVvSu+tk4/f7helb4u40c0Pt5OVGfMX8ou67r/rMt+j/jH9fJ/7/9V++zMXs/L39+n/X7p/lf5+/y329UOvqOev3fkf/tfhq/b2/1/2r+J/wPC/0P+R/zp/yv2hvcG9YbNqr9n50D/f5+Xyf8z8sZ+3f9ev/t+f3/qu+/egH8S/X9h/z3/X/Jf99/p/z/tPi/lf8x/6v0hva26K3UG9wb0hs0qv2f5f/HMP+U/78b98dypl6ffv3ln38r/5j/fqPy/9bf5X/0UWfAj00TjsrLj/lf4H8z/z9/zu/TW+2/nPOf+3H8MfrN0lu7N7Q3pLdjb5veoB/GHfnTPH17m/00Y/+Rf72OH38fmPSHdxcZlYxJe2f2mbjX52+zjDbxqM//0Pa3DqP99fl9QN/ez/r8/Xu9UWflAj/N37838aja8N6I3q69rXsDe0N+OI1+fB7569k3x0/9R+uN+9NOj/o5jbrykT+1T/nT08qU/8vnUP//eRf+L9b/h/sEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOA/6gEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD8E8NH7Lr1wCFDBg1TUVFR+anyrz6ZgP/T/kfo/9UrAQAAAAAAAAAAAP5X/Xf8OfG/+hoBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA+Pfz6epH/WHNldYYMGDAgF7fvqMam5/3Gdkb2esm+Ft9wlFtXb+/lX16vV7/Xq935CzzbrTyNquN89e28We4Y/vdL5vprh3GWfu68W8do/f4hBt++tVC7z0++eNTfVrW3HLw8AGDhw/YdugOAwYO2GTo0B0GbjJk0IDNBg/fer4Bqw0ZNHD4oAGDtx0+aNjP3t58yNDtthsxYOC2m4039nbDBg0fPmDgtiMGbD1oxIAdhg7YYdiIAQO3GDh42wHzzTffgPHG/m/bvH97a13y/wQAAP//0Wjy3A==") mkdirat(0xffffffffffffff9c, &(0x7f0000000600)='./file0aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa\x00', 0x0) chdir(&(0x7f0000000b80)='./file0aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa\x00') creat(&(0x7f0000000040)='./file0aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa\x00', 0x0) mkdir(&(0x7f00000001c0)='./file0\x00', 0x0) 09:27:20 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r1 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB, @ANYRESHEX=r1, @ANYBLOB=',rootmode=00000000000000000100000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r1, &(0x7f00000103c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r1, &(0x7f0000000040)={0x50, 0x0, r2, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r1, &(0x7f000000e3c0)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r3 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x1c1401, 0x0) r4 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setuid(r5) write(r3, &(0x7f0000004200)='t', 0x2) read$FUSE(r1, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_LSEEK(r1, &(0x7f00000000c0)={0x18, 0x0, r6, {0x400}}, 0x18) dup3(r0, r1, 0x0) 09:27:20 executing program 3: syz_mount_image$cramfs(&(0x7f0000000140), &(0x7f0000000180)='./file0\x00', 0x804001, &(0x7f0000000080)=ANY=[], 0x1, 0x15d, &(0x7f0000000700)="$eJzszzFrGmEYwPH/6bW2RaulFiy0tsWhR8V6nujWoZZKhdqDFhcnQa9U0FoUytGpFpopQz6AQxIhkziEjBmiyWKiEMznkGQIZEx4vZDgkiHz+xvuuP/7cM/dx3cTDRfgZ+5Do/6rabVaVuXlVzOf/ba5tf1QdA9wb+Hcmd95Dz/EXYVZG0Te9cH3as16W27UxPOs0uQZkFGAas3ScWYfiBZ0ZhPi5W3QIvcZPnGacTmnRbhqSaell4qv5vtFU4HzNXgNx5lHog2sBHAK2J1ov7f/ZTzKxd5sPHfzPxd98VgB277uYWvZyD7tekNuCtbe+vw7bY7io9hhvN+bTsb5z2benCQNI53UE7qempoH41zq7wrqJ+9vKCqLe+4SCqsF+KfwR/xWT1w6s4HiA4arZ2bd7wkCJz8DoNg3nJRLoTvdUjDgwq2hIEmSJEmSJEmSJEm3dBEAAP//6F1sqg==") open$dir(&(0x7f0000000000)='./file3\x00', 0x0, 0x0) 09:27:20 executing program 4: r0 = syz_io_uring_setup(0x3f47, &(0x7f00000027c0), &(0x7f0000ffd000/0x3000)=nil, &(0x7f0000ffc000/0x1000)=nil, &(0x7f0000002840), &(0x7f0000002880)) io_uring_register$IORING_REGISTER_FILES(r0, 0x2, 0x0, 0x0) 09:27:20 executing program 0: syz_mount_image$xfs(&(0x7f0000009700), &(0x7f0000009740)='./file0\x00', 0x0, &(0x7f0000000280)={[{@inode64}, {@gqnoenforce}, {@largeio}]}, 0xff, 0x96cb, &(0x7f0000012ec0)="$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") openat$incfs(0xffffffffffffff9c, &(0x7f0000000000)='.log\x00', 0x241, 0x0) rename(&(0x7f0000000100)='./file0/file0\x00', &(0x7f0000000200)='./file1\x00') 09:27:21 executing program 2: openat$incfs(0xffffffffffffff9c, &(0x7f0000008780)='.pending_reads\x00', 0x240c0, 0x0) openat$incfs(0xffffffffffffff9c, &(0x7f000000a140)='.pending_reads\x00', 0x202, 0x0) [ 1317.326302][T18514] fuse: Unknown parameter '0x0000000000000004' [ 1317.378135][ T24] audit: type=1804 audit(1676021241.429:330): pid=18514 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.5" name="/root/syzkaller-testdir1381080882/syzkaller.yPW3TN/728/file0" dev="sda1" ino=1169 res=1 errno=0 [ 1317.430392][T18516] loop3: detected capacity change from 0 to 8 [ 1317.582864][ T24] audit: type=1800 audit(1676021241.639:331): pid=18523 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.2" name=".pending_reads" dev="sda1" ino=1184 res=0 errno=0 [ 1317.605483][ T24] audit: type=1804 audit(1676021241.639:332): pid=18523 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.2" name="/root/syzkaller-testdir3896343466/syzkaller.xYmcNC/750/.pending_reads" dev="sda1" ino=1184 res=1 errno=0 [ 1317.645353][T18516] ===================================================== [ 1317.652965][T18516] BUG: KMSAN: uninit-value in ondemand_readahead+0xddf/0x1a10 [ 1317.660669][T18516] ondemand_readahead+0xddf/0x1a10 [ 1317.666337][T18516] page_cache_sync_ra+0x899/0x8d0 [ 1317.671594][T18516] cramfs_read+0x76d/0x1530 [ 1317.676405][T18516] cramfs_read_folio+0x4bf/0x12f0 [ 1317.681641][T18516] read_pages+0xfc2/0x14b0 [ 1317.686480][T18516] page_cache_ra_unbounded+0xa60/0xae0 [ 1317.692455][T18516] page_cache_ra_order+0x12d4/0x13b0 [ 1317.697967][T18516] ondemand_readahead+0x1376/0x1a10 [ 1317.703595][T18516] page_cache_sync_ra+0x899/0x8d0 [ 1317.708830][T18516] filemap_read+0xb48/0x45f0 [ 1317.713842][T18516] generic_file_read_iter+0x198/0xb90 [ 1317.719454][T18516] __kernel_read+0x56e/0xdb0 [ 1317.724497][T18516] integrity_kernel_read+0xfc/0x140 [ 1317.729973][T18516] ima_calc_file_hash+0x10d0/0x4000 [ 1317.735593][T18516] ima_collect_measurement+0x677/0xe90 [ 1317.741280][T18516] process_measurement+0x24d7/0x3930 [ 1317.746903][T18516] ima_file_check+0x126/0x170 [ 1317.751798][T18516] path_openat+0x4ec8/0x5bc0 [ 1317.756732][T18516] do_filp_open+0x309/0x760 [ 1317.761438][T18516] do_sys_openat2+0x257/0x8e0 [ 1317.766486][T18516] __ia32_compat_sys_open+0x301/0x360 [ 1317.772322][T18516] __do_fast_syscall_32+0xa2/0x100 [ 1317.777668][T18516] do_fast_syscall_32+0x37/0x80 [ 1317.783330][T18516] do_SYSENTER_32+0x1f/0x30 [ 1317.788060][T18516] entry_SYSENTER_compat_after_hwframe+0x70/0x82 [ 1317.794883][T18516] [ 1317.797311][T18516] Local variable ra.i created at: [ 1317.802592][T18516] cramfs_read+0x10a/0x1530 [ 1317.807299][T18516] cramfs_read_folio+0x4bf/0x12f0 [ 1317.812775][T18516] [ 1317.815221][T18516] CPU: 0 PID: 18516 Comm: syz-executor.3 Not tainted 6.2.0-rc7-syzkaller-80760-g8c89ecf5c13b #0 [ 1317.826009][T18516] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 01/21/2023 [ 1317.836363][T18516] ===================================================== 09:27:21 executing program 4: mknodat(0xffffffffffffff9c, &(0x7f00000000c0)='./file0\x00', 0x0, 0x0) mount(&(0x7f0000000040)=@filename='./file0\x00', &(0x7f0000000100)='./file0\x00', &(0x7f0000000140)='ufs\x00', 0x0, 0x0) 09:27:21 executing program 2: mknodat(0xffffffffffffff9c, &(0x7f0000000040)='./file0\x00', 0x0, 0x0) mount(&(0x7f0000000000)=@sg0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0)='smb3\x00', 0x0, 0x0) [ 1317.843687][T18516] Disabling lock debugging due to kernel taint [ 1317.849964][T18516] Kernel panic - not syncing: kmsan.panic set ... [ 1317.856509][T18516] CPU: 0 PID: 18516 Comm: syz-executor.3 Tainted: G B 6.2.0-rc7-syzkaller-80760-g8c89ecf5c13b #0 [ 1317.868612][T18516] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 01/21/2023 [ 1317.878842][T18516] Call Trace: [ 1317.882259][T18516] [ 1317.885313][T18516] dump_stack_lvl+0x200/0x290 [ 1317.890242][T18516] dump_stack+0x29/0x30 [ 1317.894649][T18516] panic+0x4fd/0xc70 [ 1317.898767][T18516] ? add_taint+0x185/0x210 [ 1317.903393][T18516] kmsan_report+0x2d0/0x2d0 [ 1317.908145][T18516] ? kmsan_get_shadow_origin_ptr+0x4d/0xa0 [ 1317.914224][T18516] ? __msan_warning+0x96/0x110 [ 1317.919223][T18516] ? ondemand_readahead+0xddf/0x1a10 [ 1317.924731][T18516] ? page_cache_sync_ra+0x899/0x8d0 [ 1317.930147][T18516] ? cramfs_read+0x76d/0x1530 [ 1317.935051][T18516] ? cramfs_read_folio+0x4bf/0x12f0 [ 1317.940475][T18516] ? read_pages+0xfc2/0x14b0 [ 1317.945270][T18516] ? page_cache_ra_unbounded+0xa60/0xae0 [ 1317.951127][T18516] ? page_cache_ra_order+0x12d4/0x13b0 [ 1317.956837][T18516] ? ondemand_readahead+0x1376/0x1a10 [ 1317.962409][T18516] ? page_cache_sync_ra+0x899/0x8d0 [ 1317.967795][T18516] ? filemap_read+0xb48/0x45f0 [ 1317.972737][T18516] ? generic_file_read_iter+0x198/0xb90 [ 1317.978469][T18516] ? __kernel_read+0x56e/0xdb0 [ 1317.983449][T18516] ? integrity_kernel_read+0xfc/0x140 [ 1317.988986][T18516] ? ima_calc_file_hash+0x10d0/0x4000 [ 1317.994618][T18516] ? ima_collect_measurement+0x677/0xe90 [ 1318.000427][T18516] ? process_measurement+0x24d7/0x3930 [ 1318.006053][T18516] ? ima_file_check+0x126/0x170 [ 1318.011064][T18516] ? path_openat+0x4ec8/0x5bc0 [ 1318.016005][T18516] ? do_filp_open+0x309/0x760 [ 1318.020854][T18516] ? do_sys_openat2+0x257/0x8e0 [ 1318.025893][T18516] ? __ia32_compat_sys_open+0x301/0x360 [ 1318.031633][T18516] ? __do_fast_syscall_32+0xa2/0x100 [ 1318.037109][T18516] ? do_fast_syscall_32+0x37/0x80 [ 1318.042332][T18516] ? do_SYSENTER_32+0x1f/0x30 [ 1318.047199][T18516] ? entry_SYSENTER_compat_after_hwframe+0x70/0x82 [ 1318.053952][T18516] ? unwind_get_return_address+0x90/0x130 [ 1318.059882][T18516] ? entry_SYSENTER_compat_after_hwframe+0x70/0x82 [ 1318.066621][T18516] ? kmsan_get_shadow_origin_ptr+0x4d/0xa0 [ 1318.072634][T18516] ? try_charge_memcg+0x230/0x2e50 [ 1318.077958][T18516] ? kmsan_get_shadow_origin_ptr+0x4d/0xa0 [ 1318.083981][T18516] ? kmsan_get_shadow_origin_ptr+0x4d/0xa0 [ 1318.090019][T18516] __msan_warning+0x96/0x110 [ 1318.094802][T18516] ondemand_readahead+0xddf/0x1a10 [ 1318.100144][T18516] page_cache_sync_ra+0x899/0x8d0 [ 1318.105367][T18516] ? kmsan_internal_set_shadow_origin+0x66/0xe0 [ 1318.111870][T18516] cramfs_read+0x76d/0x1530 [ 1318.116692][T18516] ? kmsan_get_shadow_origin_ptr+0x4d/0xa0 [ 1318.122726][T18516] cramfs_read_folio+0x4bf/0x12f0 [ 1318.127988][T18516] ? cramfs_statfs+0x4c0/0x4c0 [ 1318.132930][T18516] read_pages+0xfc2/0x14b0 [ 1318.137550][T18516] page_cache_ra_unbounded+0xa60/0xae0 [ 1318.143258][T18516] page_cache_ra_order+0x12d4/0x13b0 [ 1318.148737][T18516] ? kmsan_get_shadow_origin_ptr+0x4d/0xa0 [ 1318.154788][T18516] ondemand_readahead+0x1376/0x1a10 [ 1318.160209][T18516] ? kmsan_get_shadow_origin_ptr+0x4d/0xa0 [ 1318.166277][T18516] page_cache_sync_ra+0x899/0x8d0 [ 1318.171522][T18516] ? kmsan_internal_set_shadow_origin+0x66/0xe0 [ 1318.177989][T18516] filemap_read+0xb48/0x45f0 [ 1318.182920][T18516] ? kmsan_get_shadow_origin_ptr+0x4d/0xa0 [ 1318.188953][T18516] ? kmsan_get_shadow_origin_ptr+0x4d/0xa0 [ 1318.194980][T18516] ? __kernel_read+0x12b/0xdb0 [ 1318.200130][T18516] ? kmsan_get_shadow_origin_ptr+0x4d/0xa0 [ 1318.206178][T18516] generic_file_read_iter+0x198/0xb90 [ 1318.211765][T18516] ? kmsan_get_shadow_origin_ptr+0x4d/0xa0 [ 1318.217807][T18516] ? kmsan_get_shadow_origin_ptr+0x4d/0xa0 [ 1318.223829][T18516] ? filemap_read+0x45f0/0x45f0 [ 1318.228862][T18516] ? filemap_read+0x45f0/0x45f0 [ 1318.233888][T18516] __kernel_read+0x56e/0xdb0 [ 1318.238732][T18516] integrity_kernel_read+0xfc/0x140 [ 1318.244111][T18516] ima_calc_file_hash+0x10d0/0x4000 [ 1318.249506][T18516] ? filter_irq_stacks+0xb9/0x230 [ 1318.254709][T18516] ? kmsan_get_shadow_origin_ptr+0x4d/0xa0 [ 1318.260736][T18516] ? should_fail_ex+0x91/0xa10 [ 1318.265724][T18516] ? filter_irq_stacks+0xb9/0x230 [ 1318.270923][T18516] ? __stack_depot_save+0x25/0x4b0 [ 1318.276321][T18516] ? kmsan_get_shadow_origin_ptr+0x4d/0xa0 [ 1318.282367][T18516] ima_collect_measurement+0x677/0xe90 [ 1318.288064][T18516] process_measurement+0x24d7/0x3930 [ 1318.293575][T18516] ? kmsan_get_shadow_origin_ptr+0x4d/0xa0 [ 1318.299701][T18516] ? kmsan_internal_set_shadow_origin+0x66/0xe0 [ 1318.306214][T18516] ? kmsan_internal_unpoison_memory+0x14/0x20 [ 1318.312501][T18516] ? kmsan_get_shadow_origin_ptr+0x4d/0xa0 [ 1318.318530][T18516] ? kmsan_get_shadow_origin_ptr+0x4d/0xa0 [ 1318.324635][T18516] ima_file_check+0x126/0x170 [ 1318.329504][T18516] path_openat+0x4ec8/0x5bc0 [ 1318.334334][T18516] do_filp_open+0x309/0x760 [ 1318.339054][T18516] do_sys_openat2+0x257/0x8e0 [ 1318.343943][T18516] __ia32_compat_sys_open+0x301/0x360 [ 1318.349531][T18516] __do_fast_syscall_32+0xa2/0x100 [ 1318.354848][T18516] ? irqentry_exit+0x16/0x40 [ 1318.359656][T18516] ? exc_page_fault+0x38a/0x600 [ 1318.364732][T18516] do_fast_syscall_32+0x37/0x80 [ 1318.369787][T18516] do_SYSENTER_32+0x1f/0x30 [ 1318.374475][T18516] entry_SYSENTER_compat_after_hwframe+0x70/0x82 [ 1318.381050][T18516] RIP: 0023:0xf7fda549 [ 1318.385238][T18516] Code: 03 74 c0 01 10 05 03 74 b8 01 10 06 03 74 b4 01 10 07 03 74 b0 01 10 08 03 74 d8 01 00 00 00 00 00 51 52 55 89 e5 0f 34 cd 80 <5d> 5a 59 c3 90 90 90 90 90 90 90 90 90 90 90 90 90 90 90 90 90 90 [ 1318.405036][T18516] RSP: 002b:00000000f7fd55cc EFLAGS: 00000296 ORIG_RAX: 0000000000000005 [ 1318.413698][T18516] RAX: ffffffffffffffda RBX: 0000000020000000 RCX: 0000000000000000 [ 1318.421821][T18516] RDX: 0000000000000000 RSI: 0000000000000000 RDI: 0000000000000000 [ 1318.430015][T18516] RBP: 0000000000000000 R08: 0000000000000000 R09: 0000000000000000 [ 1318.438111][T18516] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000000 [ 1318.446208][T18516] R13: 0000000000000000 R14: 0000000000000000 R15: 0000000000000000 [ 1318.454331][T18516] [ 1318.457682][T18516] Kernel Offset: disabled [ 1318.462091][T18516] Rebooting in 86400 seconds..