syzbot


panic: netbsd:vpanic+0x282

Status: fixed on 2022/08/26 14:52
Reported-by: syzbot+2a4174a65609b3a00abb@syzkaller.appspotmail.com
Fix commit: e7c9fe41a3a1 audio(4): Fix bug in detaching audio16 and beyond.
First crash: 629d, last: 629d
Similar bugs (2)
Kernel Title Repro Cause bisect Fix bisect Count Last Reported Patched Status
netbsd panic: netbsd:vpanic+0x282 (3) 1 341d 341d 0/3 auto-obsoleted due to no activity on 2023/08/19 08:10
netbsd panic: netbsd:vpanic+0x282 (2) 1 445d 445d 0/3 auto-obsoleted due to no activity on 2023/05/07 10:59

Sample crash report:
[ 634.6141462] panic: netbsd:vpanic+0x282
[ 634.6838175] _sub_D_65535_0() at netbsd:_sub_D_65535_0+-0x34c4
[ 634.7136803] config_detach_commit() at netbsd:config_detach_commit+0x9f sys/kern/subr_autoconf.c:2176
[ 634.7435452] cdev_detached() at netbsd:cdev_detached+0x155 sys/kern/subr_devsw.c:1383
[ 634.7833642] vdevgone() at netbsd:vdevgone+0x1b8 sys/kern/vfs_subr.c:524
[ 634.8132300] audiodetach() at netbsd:audiodetach+0xaa sys/dev/audio/audio.c:1371
[ 634.8430954] config_detach() at netbsd:config_detach+0x38e sys/kern/subr_autoconf.c:2037
[ 634.8729591] config_detach_children() at netbsd:config_detach_children+0xda sys/kern/subr_autoconf.c:2195
[ 634.9127792] pad_detach() at netbsd:pad_detach+0x70 sys/dev/pad/pad.c:251
[ 634.9525991] config_detach() at netbsd:config_detach+0x38e sys/kern/subr_autoconf.c:2037
[ 634.9824679] fops_pad_close() at netbsd:fops_pad_close+0x86 pad_close sys/dev/pad/pad.c:423 [inline]
[ 634.9824679] fops_pad_close() at netbsd:fops_pad_close+0x86 sys/dev/pad/pad.c:433
[ 635.0123287] closef() at netbsd:closef+0x152 sys/kern/kern_descrip.c:832
[ 635.0421953] fd_free() at netbsd:fd_free+0x528 sys/kern/kern_descrip.c:1571
[ 635.0820140] exit1() at netbsd:exit1+0x306 sys/kern/kern_exit.c:301
[ 635.1118780] sys_exit() at netbsd:sys_exit+0x92 sys/kern/kern_exit.c:180
[ 635.1417438] syscall() at netbsd:syscall+0x25a sy_call sys/sys/syscallvar.h:65 [inline]
[ 635.1417438] syscall() at netbsd:syscall+0x25a sy_invoke sys/sys/syscallvar.h:94 [inline]
[ 635.1417438] syscall() at netbsd:syscall+0x25a sys/arch/x86/x86/syscall.c:138
[ 635.1516983] --- syscall (number 1) ---
[ 635.1616557] netbsd:syscall+0x25a:
[ 635.1616557] cpu0: End traceback...
[ 635.1748500] fatal breakpoint trap in supervisor mode
[ 635.1748500] trap type 1 code 0 rip 0xffffffff80220a4d cs 0x8 rflags 0x286 cr2 0x1b3052a000 ilevel 0 rsp 0xffffd8825d1f74f0
[ 635.1907217] curlwp 0xffffd88012d41bc0 pid 23532.23532 lowest kstack 0xffffd8825d1f02c0
Stopped in pid 23532.23532 (syz-executor.5) at  netbsd:breakpoint+0x5:  leave
?
breakpoint() at netbsd:breakpoint+0x5
db_panic() at netbsd:db_panic+0x105 sys/ddb/db_panic.c:69
vpanic() at netbsd:vpanic+0x282 sys/kern/subr_prf.c:293
_sub_D_65535_0() at netbsd:_sub_D_65535_0+-0x34c4
config_detach_commit() at netbsd:config_detach_commit+0x9f sys/kern/subr_autoconf.c:2176
cdev_detached() at netbsd:cdev_detached+0x155 sys/kern/subr_devsw.c:1383
vdevgone() at netbsd:vdevgone+0x1b8 sys/kern/vfs_subr.c:524
audiodetach() at netbsd:audiodetach+0xaa sys/dev/audio/audio.c:1371
config_detach() at netbsd:config_detach+0x38e sys/kern/subr_autoconf.c:2037
config_detach_children() at netbsd:config_detach_children+0xda sys/kern/subr_autoconf.c:2195
pad_detach() at netbsd:pad_detach+0x70 sys/dev/pad/pad.c:251
config_detach() at netbsd:config_detach+0x38e sys/kern/subr_autoconf.c:2037
fops_pad_close() at netbsd:fops_pad_close+0x86 pad_close sys/dev/pad/pad.c:423 [inline]
fops_pad_close() at netbsd:fops_pad_close+0x86 sys/dev/pad/pad.c:433
closef() at netbsd:closef+0x152 sys/kern/kern_descrip.c:832
fd_free() at netbsd:fd_free+0x528 sys/kern/kern_descrip.c:1571
exit1() at netbsd:exit1+0x306 sys/kern/kern_exit.c:301
sys_exit() at netbsd:sys_exit+0x92 sys/kern/kern_exit.c:180
syscall() at netbsd:syscall+0x25a sy_call sys/sys/syscallvar.h:65 [inline]
syscall() at netbsd:syscall+0x25a sy_invoke sys/sys/syscallvar.h:94 [inline]
syscall() at netbsd:syscall+0x25a sys/arch/x86/x86/syscall.c:138
--- syscall (number 1) ---
netbsd:syscall+0x25a:
Panic string: kernel diagnostic assertion "dev->dv_detaching == curlwp" failed: file "/syzkaller/managers/ci2-netbsd/kernel/sys/kern/subr_autoconf.c", line 2176
PID    LID S CPU     FLAGS       STRUCT LWP *               NAME WAIT
701   16256 2   1       100   ffffd88012bfc240     syz-executor.1
701    701 2   1  10000000   ffffd88012bb3180     syz-executor.1
23949 1228 3   1       180   ffffd88012cba180     syz-executor.2 parked
23949 23949 2   0  10000140   ffffd88013423bc0     syz-executor.2
23711 1130 3   1       180   ffffd88013419740     syz-executor.4 parked
23711 23711 2   1  10000000   ffffd88012d804c0     syz-executor.4
23532>23532 7   0  10000000   ffffd88012d41bc0     syz-executor.5
22663 22663 2   0  10000000   ffffd880126c7b80     syz-executor.0
23800 25656 3   1       180   ffffd8801342b8c0     syz-executor.3 parked
23800 23800 2   0  10000140   ffffd88013472580     syz-executor.3
18077 18077 3   0       180   ffffd880141f41c0     syz-executor.2 parked
15257 15257 2   1       140   ffffd8801342b480     syz-executor.3
12947 12947 2   1       140   ffffd88012b46500     syz-executor.4
16034 16034 2   1       140   ffffd88013e03b80     syz-executor.0
9253  9253 2   1       140   ffffd880129bc2c0     syz-executor.2
21050 21050 3   0       180   ffffd88012cba5c0     syz-executor.3 parked
14730 14730 3   0       180   ffffd88013f318c0     syz-executor.3 parked
15051 15051 3   0       180   ffffd88012cc81c0     syz-executor.3 parked
14065 14065 3   0       180   ffffd88012bd0600     syz-executor.3 parked
17446 17446 3   1       180   ffffd88013cb4600     syz-executor.1 parked
19529 19529 2   1       140   ffffd88012c9b100     syz-executor.5
14029 14029 2   0       140   ffffd8801424ca80     syz-executor.1
13038 13038 3   0       180   ffffd880133c5b00     syz-executor.4 parked
12540 12540 3   1       180   ffffd88013310940     syz-executor.4 parked
11998 11998 3   1       180   ffffd880126c7300     syz-executor.5 parked
7228  7228 3   1       180   ffffd88013310500     syz-executor.4 parked
2495  2495 3   1       180   ffffd88012c748c0     syz-executor.3 parked
4028  4028 3   0       180   ffffd88012a07740     syz-executor.3 parked
3247  3247 3   1       180   ffffd880133c56c0     syz-executor.0 parked
2343  2343 3   0       180   ffffd88012a07300     syz-executor.0 parked
9032  9032 3   0       180   ffffd88013daa6c0     syz-executor.3 parked
1938  1938 3   1       180   ffffd88012cf1280     syz-executor.3 parked
1833  1833 3   0       180   ffffd88012c88500     syz-executor.3 parked
9292  9292 3   1       180   ffffd88012cc8a40     syz-executor.3 parked
4270  4270 3   1       180   ffffd88012b88140     syz-executor.3 parked
4423  4423 3   1       180   ffffd88012ce4240     syz-executor.2 parked
1078  3768 3   1       1c0   ffffd88012d35b80         syz-fuzzer parked
1078  1233 3   0       180   ffffd88012b460c0         syz-fuzzer parked
1078  1151 3   0       1c0   ffffd88013d24ac0         syz-fuzzer parked
1078  1192 3   0       1c0   ffffd88013d24240         syz-fuzzer wait
1078  1000 3   0       180   ffffd88013cfa640         syz-fuzzer wait
1078  1075 3   0       1c0   ffffd88013cfa200         syz-fuzzer wait
1078  1194 2   1       140   ffffd88013cb41c0         syz-fuzzer
1078  1220 3   0       1c0   ffffd88013c2f5c0         syz-fuzzer wait
1078  1222 3   0       180   ffffd880133b3680         syz-fuzzer wait
1078   987 3   0       180   ffffd8801338da40         syz-fuzzer parked
1078  1107 3   0       1c0   ffffd8801339b640         syz-fuzzer wait
1078  1054 3   0       180   ffffd8801339b200         syz-fuzzer parked
1078  1086 2   0       140   ffffd88013419b80         syz-fuzzer
1078  1078 3   0       180   ffffd880133689c0         syz-fuzzer parked
1079  1079 3   0       180   ffffd88012a3ebc0               sshd select
941    941 3   1       180   ffffd88013443500              getty nanoslp
1058  1058 3   0       180   ffffd880126ca040              getty nanoslp
815    815 3   1       180   ffffd88013472140              getty nanoslp
1068  1068 3   1       1c0   ffffd88012c880c0              getty ttyraw
1093  1093 3   1       180   ffffd8801338d1c0               sshd select
953    953 3   1       180   ffffd88012d01700             powerd kqueue
689    689 2   0       100   ffffd880133b3ac0            syslogd
547    547 3   0       180   ffffd88012bfcac0             dhcpcd poll
464    464 3   0       180   ffffd88012c804c0             dhcpcd poll
600    600 3   1       180   ffffd88012bfc680             dhcpcd poll
587    587 3   1       180   ffffd88012c4d300             dhcpcd poll
289    289 3   0       180   ffffd88012d80080             dhcpcd poll
288    288 3   1       180   ffffd88012d6a8c0             dhcpcd poll
351    351 3   1       180   ffffd88012d6a480             dhcpcd poll
1        1 3   1       180   ffffd880128559c0               init wait
0     15091 5   0       200   ffffd880134394c0           (zombie)
0     15662 3   1       200   ffffd88012bd0a40             ktrace ktrwait
0     5781 3   1       200   ffffd88012a3e780             ktrace ktrwait
0     2385 3   1       200   ffffd8801403e540             ktrace ktrwait
0     4715 3   1       200   ffffd88013439080             ktrace ktrwait
0     4695 3   0       200   ffffd88012c88940             ktrace ktrwait
0      873 3   0       200   ffffd88012974ac0            physiod physiod
0      194 3   1       200   ffffd8801298bb00          pooldrain pooldrain
0      193 3   0       200   ffffd8801298b6c0            ioflush syncer
0      192 3   0       200   ffffd8801298b280           pgdaemon pgdaemon
0      169 3   1       200   ffffd88012974240               usb7 usbevt
0      167 3   1       200   ffffd8801292da80               usb6 usbevt
0      165 3   0       200   ffffd8801292d640               usb5 usbevt
0      164 3   1       200   ffffd8801292d200               usb4 usbevt
0       31 3   0       200   ffffd880128e1a40               usb3 usbevt
0       63 3   0       200   ffffd880128e1600               usb2 usbevt
0      126 2   0       200   ffffd880128e11c0               usb1
0      125 3   0       200   ffffd88012871a00               usb0 usbevt
0      124 3   1       200   ffffd880128715c0         usbtask-dr usbtsk
0      123 3   1       200   ffffd880120b66c0         usbtask-hc usbtsk
0      122 3   1       200   ffffd88012871180             npfgc0 npfgcw
0      121 3   1       200   ffffd88012855580            rt_free rt_free
0      120 3   1       200   ffffd88012855140              unpgc unpgc
0      119 2   0       200   ffffd880126f9980    key_timehandler
0      118 3   1       200   ffffd880126f9540    icmp6_wqinput/1 icmp6_wqinput
0      117 3   0       200   ffffd880126f9100    icmp6_wqinput/0 icmp6_wqinput
0      116 2   0       200   ffffd880126ef940          nd6_timer
0      115 3   1       200   ffffd880126ef500    carp6_wqinput/1 carp6_wqinput
0      114 3   0       200   ffffd880126ef0c0    carp6_wqinput/0 carp6_wqinput
0      113 3   1       200   ffffd880126e1900     carp_wqinput/1 carp_wqinput
0      112 3   0       200   ffffd880126e14c0     carp_wqinput/0 carp_wqinput
0      111 3   1       200   ffffd880126e1080     icmp_wqinput/1 icmp_wqinput
0      110 3   0       200   ffffd880126ca8c0     icmp_wqinput/0 icmp_wqinput
0      109 2   0       200   ffffd880126ca480           rt_timer
0      108 3   1       200   ffffd880126c9bc0        vmem_rehash vmem_rehash
0       99 3   0       200   ffffd880120bbb40          entbutler entropy
0       98 3   0       200   ffffd880120bb700              viomb balloon
0       97 3   1       200   ffffd880120bb2c0      vioif0_txrx/1 vioif0_txrx
0       96 3   0       200   ffffd880120b6b00      vioif0_txrx/0 vioif0_txrx
0       29 3   0       200   ffffd880120b6280           scsibus0 sccomp
0       28 3   0       200   ffffd88010cb9ac0               pms0 pmsreset
0       27 3   1       200   ffffd88010cb9680            xcall/1 xcall
0       26 1   1       200   ffffd88010cb9240          softser/1
0       25 1   1       200   ffffd88010cb8a80          softclk/1
0       24 1   1       200   ffffd88010cb8640          softbio/1
0       23 1   1       200   ffffd88010cb8200          softnet/1
0       22 1   1       201   ffffd8800fb55a40             idle/1
0       21 3   0       200   ffffd8800fb55600           lnxsyswq lnxsyswq
0       20 3   0       200   ffffd8800fb551c0           lnxubdwq lnxubdwq
0       19 3   0       200   ffffd8800fb54a00           lnxpwrwq lnxpwrwq
0       18 3   0       200   ffffd8800fb545c0           lnxlngwq lnxlngwq
0       17 3   0       200   ffffd8800fb54180           lnxhipwq lnxhipwq
0       16 3   1       200   ffffd8800fb4b9c0           lnxrcugc lnxrcugc
0       15 3   0       200   ffffd8800fb4b580             sysmon smtaskq
0       14 3   1       200   ffffd8800fb4b140         pmfsuspend pmfsuspend
0       13 3   0       200   ffffd8800fb48980           pmfevent pmfevent
0       12 3   0       200   ffffd8800fb48540         sopendfree sopendfr
0       11 3   1       200   ffffd8800fb48100            iflnkst iflnkst
0       10 3   0       200   ffffd8800fb3c940           nfssilly nfssilly
0        9 3   0       200   ffffd8800fb3c500             vdrain vdrain
0        8 3   0       200   ffffd8800fb3c0c0          modunload mod_unld
0        7 3   0       200   ffffd8800fb33900            xcall/0 xcall
0        6 1   0       200   ffffd8800fb334c0          softser/0
0        5 1   0       200   ffffd8800fb33080          softclk/0
0        4 1   0       200   ffffd8800fb318c0          softbio/0
0        3 1   0       200   ffffd8800fb31480          softnet/0
0        2 1   0       201   ffffd8800fb31040             idle/0
0    >   0 7   1       240   ffffffff83341640            swapper
[Locks tracked through LWPs]

****** LWP 701.16256 (syz-executor.1) @ 0xffffd88012bfc240, l_stat=2

*** Locks held:

* Lock 0 (initialized at vhci_attach)
lock address : 0xffffd880126886f0 type     :     sleep/adaptive
initialized  : 0xffffffff80c1e07d
shared holds :                  0 exclusive:                  1
shares wanted:                  0 exclusive:                  0
relevant cpu :                  1 last held:                  1
relevant lwp : 0xffffd88012bfc240 last held: 0xffffd88012bfc240
last locked* : 0xffffffff80c1fc36 unlocked : 0xffffffff80c1ff5c
owner field  : 000000000000000000 wait/spin:                0/0
Turnstile: no active turnstile for this lock.

*** Locks wanted: none

****** LWP 23532.23532 (syz-executor.5) @ 0xffffd88012d41bc0, l_stat=7

*** Locks held:

* Lock 0 (initialized at fork1)
lock address : 0xffffd88012c15750 type     :     sleep/adaptive
initialized  : 0xffffffff81af278b
shared holds :                  0 exclusive:                  1
shares wanted:                  0 exclusive:                  0
relevant cpu :                  0 last held:                  0
relevant lwp : 0xffffd88012d41bc0 last held: 0xffffd88012d41bc0
last locked* : 0xffffffff81aeea0b unlocked : 0xffffffff818c64e3
owner/count  : 0xffffd88012d41bc0 flags    : 0x0000000000000004
Turnstile: no active turnstile for this lock.

* Lock 1 (initialized at config_init)
lock address : 0xffffffff83576160 type     :     sleep/adaptive
initialized  : 0xffffffff81b8832a
shared holds :                  0 exclusive:                  1
shares wanted:                  0 exclusive:                  0
relevant cpu :                  0 last held:                  0
relevant lwp : 0xffffd88012d41bc0 last held: 0xffffd88012d41bc0
last locked* : 0xffffffff81b8b72e unlocked : 0xffffffff81b8af3e
owner field  : 0xffffd88012d41bc0 wait/spin:                0/0
Turnstile: no active turnstile for this lock.

*** Locks wanted: none

****** LWP 22663.22663 (syz-executor.0) @ 0xffffd880126c7b80, l_stat=2

*** Locks held:

* Lock 0 (initialized at fork1)
lock address : 0xffffd88013335350 type     :     sleep/adaptive
initialized  : 0xffffffff81af278b
shared holds :                  0 exclusive:                  1
shares wanted:                  0 exclusive:                  0
relevant cpu :                  0 last held:                  0
relevant lwp : 0xffffd880126c7b80 last held: 0xffffd880126c7b80
last locked* : 0xffffffff81aeea0b unlocked : 000000000000000000
owner/count  : 0xffffd880126c7b80 flags    : 0x0000000000000004
Turnstile: no active turnstile for this lock.

*** Locks wanted: none

****** LWP 464.464 (dhcpcd) @ 0xffffd88012c804c0, l_stat=3

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff83480ac0 type     :     sleep/adaptive
initialized  : 0xffffffff81b11b81
shared holds :                  0 exclusive:                  0
shares wanted:                  0 exclusive:                  0
relevant cpu :                  0 last held:                  0
relevant lwp : 0xffffd88012c804c0 last held: 000000000000000000
last locked  : 000000000000000000 unlocked*: 000000000000000000
owner field  : 000000000000000000 wait/spin:                0/0
Turnstile: no active turnstile for this lock.

****** LWP 600.600 (dhcpcd) @ 0xffffd88012bfc680, l_stat=3

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff83480ac0 type     :     sleep/adaptive
initialized  : 0xffffffff81b11b81
shared holds :                  0 exclusive:                  0
shares wanted:                  0 exclusive:                  0
relevant cpu :                  1 last held:                  0
relevant lwp : 0xffffd88012bfc680 last held: 000000000000000000
last locked  : 000000000000000000 unlocked*: 000000000000000000
owner field  : 000000000000000000 wait/spin:                0/0
Turnstile: no active turnstile for this lock.

****** LWP 288.288 (dhcpcd) @ 0xffffd88012d6a8c0, l_stat=3

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff83480ac0 type     :     sleep/adaptive
initialized  : 0xffffffff81b11b81
shared holds :                  0 exclusive:                  0
shares wanted:                  0 exclusive:                  0
relevant cpu :                  1 last held:                  0
relevant lwp : 0xffffd88012d6a8c0 last held: 000000000000000000
last locked  : 000000000000000000 unlocked*: 000000000000000000
owner field  : 000000000000000000 wait/spin:                0/0
Turnstile: no active turnstile for this lock.

****** LWP 351.351 (dhcpcd) @ 0xffffd88012d6a480, l_stat=3

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff83480ac0 type     :     sleep/adaptive
initialized  : 0xffffffff81b11b81
shared holds :                  0 exclusive:                  0
shares wanted:                  0 exclusive:                  0
relevant cpu :                  1 last held:                  0
relevant lwp : 0xffffd88012d6a480 last held: 000000000000000000
last locked  : 000000000000000000 unlocked*: 000000000000000000
owner field  : 000000000000000000 wait/spin:                0/0
Turnstile: no active turnstile for this lock.

****** LWP 0.11 (iflnkst) @ 0xffffd8800fb48100, l_stat=3

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff83480ac0 type     :     sleep/adaptive
initialized  : 0xffffffff81b11b81
shared holds :                  0 exclusive:                  0
shares wanted:                  0 exclusive:                  0
relevant cpu :                  1 last held:                  0
relevant lwp : 0xffffd8800fb48100 last held: 000000000000000000
last locked  : 000000000000000000 unlocked*: 000000000000000000
owner field  : 000000000000000000 wait/spin:                0/0
Turnstile: no active turnstile for this lock.

****** LWP 0.5 (softclk/0) @ 0xffffd8800fb33080, l_stat=1

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff83480ac0 type     :     sleep/adaptive
initialized  : 0xffffffff81b11b81
shared holds :                  0 exclusive:                  0
shares wanted:                  0 exclusive:                  0
relevant cpu :                  0 last held:                  0
relevant lwp : 0xffffd8800fb33080 last held: 000000000000000000
last locked  : 000000000000000000 unlocked*: 000000000000000000
owner field  : 000000000000000000 wait/spin:                0/0
Turnstile: no active turnstile for this lock.

****** LWP 0.0 (swapper) @ 0xffffffff83341640, l_stat=7

*** Locks held: none

*** Locks wanted:

* Lock 0 (initialized at module_hook_init)
lock address : 0xffffffff83480ac0 type     :     sleep/adaptive
initialized  : 0xffffffff81b11b81
shared holds :                  0 exclusive:                  0
shares wanted:                  0 exclusive:                  0
relevant cpu :                  1 last held:                  0
relevant lwp : 0xffffffff83341640 last held: 000000000000000000
last locked  : 000000000000000000 unlocked*: 000000000000000000
owner field  : 000000000000000000 wait/spin:                0/0
Turnstile: no active turnstile for this lock.

[Locks tracked through CPUs]

******* Locks held on cpu0:

* Lock 0 (initialized at main)
lock address : 0xffffffff834809c0 type     :               spin
initialized  : 0xffffffff81f6663e
shared holds :                  0 exclusive:                  1
shares wanted:                  0 exclusive:                  0
relevant cpu :                  0 last held:                  0
relevant lwp : 0xffffd88012d41bc0 last held: 0xffffd88012d41bc0
last locked* : 0xffffffff81b47dc0 unlocked : 0xffffffff81e047ba
curcpu holds :                  3 wanted by: 000000000000000000

* Lock 1 (initialized at kprintf_init)
lock address : 0xffffffff8358bea0 type     :               spin
initialized  : 0xffffffff81bc3fe5
shared holds :                  0 exclusive:                  1
shares wanted:                  0 exclusive:                  0
relevant cpu :                  0 last held:                  0
relevant lwp : 0xffffd88012d41bc0 last held: 0xffffd88012d41bc0
last locked* : 0xffffffff81bc4056 unlocked : 0xffffffff81bc40b4
owner field  : 0x0000000000000800 wait/spin:                0/1

              PAGE FLAG   PQ            UOBJECT              UANON
0xffffd88000017180 0041 00000000                0x0                0x0
0xffffd88000017200 0041 00000000                0x0                0x0
0xffffd88000017280 0041 00000000                0x0                0x0
0xffffd88000017300 0041 00000000                0x0                0x0
0xffffd88000017380 0041 00000000                0x0                0x0
0xffffd88000017400 0041 00000000                0x0                0x0
0xffffd88000017480 0041 00000000                0x0                0x0
0xffffd88000017500 0041 00000000                0x0                0x0
0xffffd88000017580 0041 00000000                0x0                0x0
0xffffd88000017600 0041 00000000                0x0                0x0
0xffffd88000017680 0041 00000000                0x0                0x0
0xffffd88000017700 0041 00000000                0x0                0x0
0xffffd88000017780 0041 00000000                0x0                0x0
0xffffd88000017800 0041 00000000                0x0                0x0
0xffffd88000017880 0041 00000000                0x0                0x0
0xffffd88000017900 0041 00000000                0x0                0x0
0xffffd88000017980 0041 00000000                0x0                0x0
0xffffd88000017a00 0041 00000000                0x0                0x0
0xffffd88000017a80 0041 00000000                0x0                0x0
0xffffd88000017b00 0041 00000000                0x0                0x0
0xffffd88000017b80 0041 00000000                0x0                0x0
0xffffd88000017c00 0041 00000000                0x0                0x0
0xffffd88000017c80 0041 00000000                0x0                0x0
0xffffd88000017d00 0041 00000000                0x0                0x0
0xffffd88000017d80 0041 00000000                0x0                0x0
0xffffd88000017e00 0041 00000000                0x0                0x0
0xffffd88000017e80 0041 00000000                0x0                0x0
0xffffd88000017f00 0041 00000000                0x0                0x0
0xffffd88000017f80 0041 00000000                0x0                0x0
0xffffd88000018000 0041 00000000                0x0                0x0
0xffffd88000018080 0041 00000000                0x0                0x0
0xffffd88000018100 0041 00000000                0x0                0x0
0xffffd88000018180 0041 00000000                0x0                0x0
0xffffd88000018200 0041 00000000                0x0                0x0
0xffffd88000018280 0041 00000000                0x0                0x0
0xffffd88000018300 0041 00000000                0x0                0x0
0xffffd88000018380 0041 00000000                0x0                0x0
0xffffd88000018400 0041 00000000                0x0                0x0
0xffffd88000018480 0041 00000000                0x0                0x0
0xffffd88000018500 0041 00000000                0x0                0x0
0xffffd88000018580 0041 00000000                0x0                0x0
0xffffd88000018600 0041 00000000                0x0                0x0
0xffffd88000018680 0041 00000000                0x0                0x0
0xffffd88000018700 0041 00000000                0x0                0x0
0xffffd88000018780 0041 00000000                0x0                0x0
0xffffd88000018800 0041 00000000                0x0                0x0
0xffffd88000018880 0041 00000000                0x0                0x0
0xffffd88000018900 0041 00000000                0x0                0x0
0xffffd88000018980 0041 00000000                0x0                0x0
0xffffd88000018a00 0041 00000000                0x0                0x0
0xffffd88000018a80 0041 00000000                0x0                0x0
0xffffd88000018b00 0041 00000000                0x0                0x0
0xffffd88000018b80 0041 00000000                0x0                0x0
0xffffd88000018c00 0041 00000000                0x0                0x0
0xffffd88000018c80 0041 00000000                0x0                0x0
0xffffd88000018d00 0041 00000000                0x0                0x0
0xffffd88000018d80 0041 00000000                0x0                0x0
0xffffd88000018e00 0041 00000000                0x0                0x0
0xffffd88000018e80 0041 00000000                0x0                0x0
0xffffd88000018f00 0041 00000000                0x0                0x0
0xffffd88000018f80 0041 00000000                0x0                0x0
0xffffd88000019000 0041 00000000                0x0                0x0
0xffffd88000019080 0041 00000000                0x0                0x0
0xffffd88000019100 0041 00000000                0x0                0x0
0xffffd88000019180 0041 00000000                0x0                0x0
0xffffd88000019200 0041 00000000                0x0                0x0
0xffffd88000019280 0041 00000000                0x0                0x0
0xffffd88000019300 0041 00000000                0x0                0x0
0xffffd88000019380 0041 00000000                0x0                0x0
0xffffd88000019400 0041 00000000                0x0                0x0
0xffffd88000019480 0041 00000000                0x0                0x0
0xffffd88000019500 0041 00000000                0x0                0x0
0xffffd88000019580 0041 00000000                0x0                0x0
0xffffd88000019600 0041 00000000                0x0                0x0
0xffffd88000019680 0041 00000000                0x0                0x0
0xffffd88000019700 0041 00000000                0x0                0x0
0xffffd88000019780 0041 00000000                0x0                0x0
0xffffd88000019800 0041 00000000                0x0                0x0
0xffffd88000019880 0041 00000000                0x0                0x0
0xffffd88000019900 0041 00000000                0x0                0x0
0xffffd88000019980 0041 00000000                0x0                0x0
0xffffd88000019a00 0041 00000000                0x0                0x0
0xffffd88000019a80 0041 00000000                0x0                0x0
0xffffd88000019b00 0041 00000000                0x0                0x0
0xffffd88000019b80 0041 00000000                0x0                0x0
0xffffd88000019c00 0041 00000000                0x0                0x0
0xffffd88000019c80 0041 00000000                0x0                0x0
0xffffd88000019d00 0041 00000000                0x0                0x0
0xffffd88000019d80 0041 00000000                0x0                0x0
0xffffd88000019e00 0041 00000000                0x0                0x0
0xffffd88000019e80 0041 00000000                0x0                0x0
0xffffd88000019f00 0041 00000000                0x0                0x0
0xffffd88000019f80 0041 00000000                0x0                0x0
0xffffd8800001a000 0041 00000000                0x0                0x0
0xffffd8800001a080 0041 00000000                0x0                0x0
0xffffd8800001a100 0041 00000000                0x0                0x0
0xffffd8800001a180 0041 00000000                0x0                0x0
0xffffd8800001a200 0041 00000000                0x0                0x0
0xffffd8800001a280 0041 00000000                0x0                0x0
0xffffd8800001a300 0041 00000000                0x0                0x0
0xffffd8800001a380 0041 00000000                0x0                0x0
0xffffd8800001a400 0041 00000000                0x0                0x0
0xffffd8800001a480 0041 00000000                0x0                0x0
0xffffd8800001a500 0041 00000000                0x0                0x0
0xffffd8800001a580 0041 00000000                0x0                0x0
0xffffd8800001a600 0041 00000000                0x0                0x0
0xffffd8800001a680 0041 00000000                0x0                0x0
0xffffd8800001a700 0041 00000000                0x0                0x0
0xffffd8800001a780 0041 00000000                0x0                0x0
0xffffd8800001a800 0041 00000000                0x0                0x0
0xffffd8800001a880 0041 00000000                0x0                0x0
0xffffd8800001a900 0041 00000000                0x0                0x0
0xffffd8800001a980 0041 00000000                0x0                0x0
0xffffd8800001aa00 0041 00000000                0x0                0x0
0xffffd8800001aa80 0041 00000000                0x0                0x0
0xffffd8800001ab00 0041 00000000                0x0                0x0
0xffffd8800001ab80 0041 00000000                0x0                0x0
0xffffd8800001ac00 0041 00000000                0x0                0x0
0xffffd8800001ac80 0041 00000000                0x0                0x0
0xffffd8800001ad00 0041 00000000                0x0                0x0
0xffffd8800001ad80 0041 00000000                0x0                0x0
0xffffd8800001ae00 0041 00000000                0x0                0x0
0xffffd8800001ae80 0041 00000000                0x0                0x0
0xffffd8800001af00 0041 00000000                0x0                0x0
0xffffd8800001af80 0041 00000000                0x0                0x0
0xffffd8800001b000 0041 00000000                0x0                0x0
0xffffd8800001b080 0041 00000000                0x0                0x0
0xffffd8800001b100 0041 00000000                0x0                0x0
0xffffd8800001b180 0041 00000000                0x0                0x0
0xffffd8800001b200 0041 00000000                0x0                0x0
0xffffd8800001b280 0041 00000000                0x0                0x0
0xffffd8800001b300 0041 00000000                0x0                0x0
0xffffd8800001b380 0041 00000000                0x0                0x0
0xffffd8800001b400 0041 00000000                0x0                0x0
0xffffd8800001b480 0041 00000000                0x0                0x0
0xffffd8800001b500 0041 00000000                0x0                0x0
0xffffd8800001b580 0041 00000000                0x0                0x0
0xffffd8800001b600 0041 00000000                0x0                0x0
0xffffd8800001b680 0041 00000000                0x0                0x0
0xffffd8800001b700 0041 00000000                0x0                0x0
0xffffd8800001b780 0041 00000000                0x0                0x0
0xffffd8800001b800 0041 00000000                0x0                0x0
0xffffd8800001b880 0041 00000000                0x0                0x0
0xffffd8800001b900 0041 00000000                0x0                0x0
0xffffd8800001b980 0041 00000000                0x0                0x0
0xffffd8800001ba00 0041 00000000                0x0                0x0
0xffffd8800001ba80 0001 00000000                0x0                0x0
0xffffd8800001bb00 0001 00000000                0x0                0x0
0xffffd8800001bb80 0001 00000000                0x0                0x0
0xffffd8800001bc00 0001 00000000                0x0                0x0
0xffffd8800001bc80 0001 00000000                0x0                0x0
0xffffd8800001bd00 0001 00000000                0x0                0x0
0xffffd8800001bd80 0001 00000000                0x0                0x0
0xffffd8800001be00 0001 00000000                0x0                0x0
0xffffd8800001be80 0001 00000000                0x0                0x0
0xffffd8800001bf00 0001 00000000                0x0                0x0
0xffffd8800001bf80 0001 00000000                0x0                0x0
0xffffd8800001c000 0001 00000000                0x0                0x0
0xffffd8800001c080 0001 00000000                0x0                0x0
0xffffd8800001c100 0001 00000000                0x0                0x0
0xffffd8800001c180 0001 00000000                0x0                0x0
0xffffd8800001c200 0001 00000000                0x0                0x0
0xffffd8800001c280 0001 00000000                0x0                0x0
0xffffd8800001c300 0001 00000000                0x0                0x0
0xffffd8800001c380 0001 00000000                0x0                0x0
0xffffd8800001c400 0001 00000000                0x0                0x0
0xffffd8800001c480 0001 00000000                0x0                0x0
0xffffd8800001c500 0001 00000000                0x0                0x0
0xffffd8800001c580 0001 00000000                0x0                0x0
0xffffd8800001c600 0001 00000000                0x0                0x0
0xffffd8800001c680 0001 00000000                0x0                0x0
0xffffd8800001c700 0001 00000000                0x0                0x0
0xffffd8800001c780 0001 00000000                0x0                0x0
0xffffd8800001c800 0001 00000000                0x0                0x0
0xffffd8800001c880 0001 00000000                0x0                0x0
0xffffd8800001c900 0001 00000000                0x0                0x0
0xffffd8800001c980 0001 00000000                0x0                0x0
0xffffd8800001ca00 0001 00000000                0x0                0x0
0xffffd8800001ca80 0001 00000000                0x0                0x0
0xffffd8800001cb00 0001 00000000                0x0                0x0
0xffffd8800001cb80 0001 00000000                0x0                0x0
0xffffd8800001cc00 0001 00000000                0x0                0x0
0xffffd8800001cc80 0001 00000000                0x0                0x0
0xffffd8800001cd00 0001 00000000                0x0                0x0
0xffffd8800001cd80 0001 00000000                0x0                0x0
0xffffd8800001ce00 0001 00000000                0x0                0x0
0xffffd8800001ce80 0001 00000000                0x0                0x0
0xffffd8800001cf00 0001 00000000                0x0                0x0
0xffffd8800001cf80 0001 00000000                0x0                0x0
0xffffd8800001d000 0001 00000000                0x0                0x0
0xffffd8800001d080 0001 00000000                0x0                0x0
0xffffd8800001d100 0001 00000000                0x0                0x0
0xffffd8800001d180 0001 00000000                0x0                0x0
0xffffd8800001d200 0001 00000000                0x0                0x0
0xffffd8800001d280 0001 00000000                0x0                0x0
0xffffd8800001d300 0001 00000000                0x0                0x0
0xffffd8800001d380 0001 00000000                0x0                0x0
0xffffd8800001d400 0001 00000000                0x0                0x0
0xffffd8800001d480 0001 00000000                0x0                0x0
0xffffd8800001d500 0001 00000000                0x0                0x0
0xffffd8800001d580 0001 00000000                0x0                0x0
0xffffd8800001d600 0001 00000000                0x0                0x0
0xffffd8800001d680 0001 00000000                0x0                0x0
0xffffd8800001d700 0001 00000000                0x0                0x0
0xffffd8800001d780 0001 00000000                0x0                0x0
0xffffd8800001d800 0001 00000000                0x0                0x0
0xffffd8800001d880 0001 00000000                0x0                0x0
0xffffd8800001d900 0001 00000000                0x0                0x0
0xffffd8800001d980 0001 00000000                0x0                0x0
0xffffd8800001da00 0001 00000000                0x0                0x0
0xffffd8800001da80 0001 00000000                0x0                0x0
0xffffd8800001db00 0001 00000000                0x0                0x0
0xffffd8800001db80 0001 00000000                0x0                0x0
0xffffd8800001dc00 0001 00000000                0x0                0x0
0xffffd8800001dc80 0001 00000000                0x0                0x0
0xffffd8800001dd00 0001 00000000                0x0                0x0
0xffffd8800001dd80 0001 00000000                0x0                0x0
0xffffd8800001de00 0001 00000000                0x0                0x0
0xffffd8800001de80 0001 00000000                0x0                0x0
0xffffd8800001df00 0001 00000000                0x0                0x0
0xffffd8800001df80 0001 00000000                0x0                0x0
0xffffd8800001e000 0001 00000000                0x0                0x0
0xffffd8800001e080 0001 00000000                0x0                0x0
0xffffd8800001e100 0001 00000000                0x0                0x0
0xffffd8800001e180 0001 00000000                0x0                0x0
0xffffd8800001e200 0001 00000000                0x0                0x0
0xffffd8800001e280 0001 00000000                0x0                0x0
0xffffd8800001e300 0001 00000000                0x0                0x0
0xffffd8800001e380 0001 00000000                0x0                0x0
0xffffd8800001e400 0001 00000000                0x0                0x0
0xffffd8800001e480 0001 00000000                0x0                0x0
0xffffd8800001e500 0001 00000000                0x0                0x0
0xffffd8800001e580 0001 00000000                0x0                0x0
0xffffd8800001e600 0001 00000000                0x0                0x0
0xffffd8800001e680 0001 00000000                0x0                0x0
0xffffd8800001e700 0001 00000000                0x0                0x0
0xffffd8800001e780 0001 00000000                0x0                0x0
0xffffd8800001e800 0001 00000000                0x0                0x0
0xffffd8800001e880 0001 00000000                0x0                0x0
0xffffd8800001e900 0001 00000000                0x0                0x0
0xffffd8800001e980 0001 00000000                0x0                0x0
0xffffd8800001ea00 0001 00000000                0x0                0x0
0xffffd8800001ea80 0001 00000000                0x0                0x0
0xffffd8800001eb00 0001 00000000                0x0                0x0
0xffffd8800001eb80 0001 00000000                0x0                0x0
0xffffd8800001ec00 0001 00000000                0x0                0x0
0xffffd8800001ec80 0001 00000000                0x0                0x0
0xffffd8800001ed00 0001 00000000                0x0                0x0
0xffffd8800001ed80 0001 00000000                0x0                0x0
0xffffd8800001ee00 0001 00000000                0x0                0x0
0xffffd8800001ee80 0001 00000000                0x0                0x0
0xffffd8800001ef00 0001 00000000                0x0                0x0
0xffffd8800001ef80 0001 00000000                0x0                0x0
0xffffd8800001f000 0001 00000000                0x0                0x0
0xffffd8800001f080 0001 00000000                0x0                0x0
0xffffd8800001f100 0001 00000000                0x0                0x0
0xffffd8800001f180 0001 00000000                0x0                0x0
0xffffd8800001f200 0001 00000000                0x0                0x0
0xffffd8800001f280 0001 00000000                0x0                0x0
0xffffd8800001f300 0001 00000000                0x0                0x0
0xffffd8800001f380 0001 00000000                0x0                0x0
0xffffd8800001f400 0001 00000000                0x0                0x0
0xffffd8800001f480 0001 00000000                0x0                0x0
0xffffd8800001f500 0001 00000000                0x0                0x0
0xffffd8800001f580 0001 00000000                0x0                0x0
0xffffd8800001f600 0001 00000000                0x0                0x0
0xffffd8800001f680 0001 00000000                0x0                0x0
0xffffd8800001f700 0001 00000000                0x0                0x0
0xffffd8800001f780 0001 00000000                0x0                0x0
0xffffd8800001f800 0001 00000000                0x0                0x0
0xffffd8800001f880 0001 00000000                0x0                0x0
0xffffd8800001f900 0001 00000000                0x0                0x0
0xffffd8800001f980 0001 00000000                0x0                0x0
0xffffd8800001fa00 0001 00000000                0x0                0x0
0xffffd8800001fa80 0001 00000000                0x0                0x0
0xffffd8800001fb00 0001 00000000                0x0                0x0
0xffffd8800001fb80 0001 00000000                0x0                0x0
0xffffd8800001fc00 0001 00000000                0x0                0x0
0xffffd8800001fc80 0001 00000000                0x0                0x0
0xffffd8800001fd00 0001 00000000                0x0                0x0
0xffffd8800001fd80 0001 00000000                0x0                0x0
0xffffd8800001fe00 0001 00000000                0x0                0x0
0xffffd8800001fe80 0001 00000000                0x0                0x0
0xffffd8800001ff00 0001 00000000                0x0                0x0
0xffffd8800001ff80 0001 00000000                0x0                0x0
0xffffd88000020000 0001 00000000                0x0                0x0
0xffffd88000020080 0001 00000000                0x0                0x0
0xffffd88000020100 0001 00000000                0x0                0x0
0xffffd88000020180 0001 00000000                0x0                0x0
0xffffd88000020200 0001 00000000                0x0                0x0
0xffffd88000020280 0001 00000000                0x0                0x0
0xffffd88000020300 0001 00000000                0x0                0x0
0xffffd88000020380 0001 00000000                0x0                0x0
0xffffd88000020400 0001 00000000                0x0                0x0
0xffffd88000020480 0001 00000000                0x0                0x0
0xffffd88000020500 0001 00000000                0x0                0x0
0xffffd88000020580 0001 00000000                0x0                0x0
0xffffd88000020600 0001 00000000                0x0                0x0
0xffffd88000020680 0001 00000000                0x0                0x0
0xffffd88000020700 0001 00000000                0x0                0x0
0xffffd88000020780 0001 00000000                0x0                0x0
0xffffd88000020800 0001 00000000                0x0                0x0
0xffffd88000020880 0001 00000000                0x0                0x0
0xffffd88000020900 0001 00000000                0x0                0x0
0xffffd88000020980 0001 00000000                0x0                0x0
0xffffd88000020a00 0001 00000000                0x0                0x0
0xffffd88000020a80 0001 00000000                0x0                0x0
0xffffd88000020b00 0001 00000000                0x0                0x0
0xffffd88000020b80 0001 00000000                0x0                0x0
0xffffd88000020c00 0001 00000000                0x0                0x0
0xffffd88000020c80 0001 00000000                0x0                0x0
0xffffd88000020d00 0001 00000000                0x0                0x0
0xffffd88000020d80 0001 00000000                0x0                0x0
0xffffd88000020e00 0001 00000000                0x0                0x0
0xffffd88000020e80 0001 00000000                0x0                0x0
0xffffd88000020f00 0001 00000000                0x0                0x0
0xffffd88000020f80 0001 00000000                0x0                0x0
0xffffd88000021000 0001 00000000                0x0                0x0
0xffffd88000021080 0001 00000000                0x0                0x0
0xffffd88000021100 0001 00000000                0x0                0x0
0xffffd88000021180 0001 00000000                0x0                0x0
0xffffd88000021200 0001 00000000                0x0                0x0
0xffffd88000021280 0001 00000000                0x0                0x0
0xffffd88000021300 0001 00000000                0x0                0x0
0xffffd88000021380 0001 00000000                0x0                0x0
0xffffd88000021400 0001 00000000                0x0                0x0
0xffffd88000021480 0001 00000000                0x0                0x0
0xffffd88000021500 0001 00000000                0x0                0x0
0xffffd88000021580 0001 00000000                0x0                0x0
0xffffd88000021600 0001 00000000                0x0                0x0
0xffffd88000021680 0001 00000000                0x0                0x0
0xffffd88000021700 0001 00000000                0x0                0x0
0xffffd88000021780 0001 00000000                0x0                0x0
0xffffd88000021800 0001 00000000                0x0                0x0
0xffffd88000021880 0001 00000000                0x0                0x0
0xffffd88000021900 0001 00000000                0x0                0x0
0xffffd88000021980 0001 00000000                0x0                0x0
0xffffd88000021a00 0001 00000000                0x0                0x0
0xffffd88000021a80 0001 00000000                0x0                0x0
0xffffd88000021b00 0001 00000000                0x0                0x0
0xffffd88000021b80 0001 00000000                0x0                0x0
0xffffd88000021c00 0001 00000000                0x0                0x0
0xffffd88000021c80 0001 00000000                0x0                0x0
0xffffd88000021d00 0001 00000000                0x0                0x0
0xffffd88000021d80 0001 00000000                0x0                0x0
0xffffd88000021e00 0001 00000000                0x0                0x0
0xffffd88000021e80 0001 00000000                0x0                0x0
0xffffd88000021f00 0001 00000000                0x0                0x0
0xffffd88000021f80 0001 00000000                0x0                0x0
0xffffd88000022000 0001 00000000                0x0                0x0
0xffffd88000022080 0001 00000000                0x0                0x0
0xffffd88000022100 0001 00000000                0x0                0x0
0xffffd88000022180 0001 00000000                0x0                0x0
0xffffd88000022200 0001 00000000                0x0                0x0
0xffffd88000022280 0001 00000000                0x0                0x0
0xffffd88000022300 0001 00000000                0x0                0x0
0xffffd88000022380 0001 00000000                0x0                0x0
0xffffd88000022400 0001 00000000                0x0                0x0
0xffffd88000022480 0001 00000000                0x0                0x0
0xffffd88000022500 0001 00000000                0x0                0x0
0xffffd88000022580 0001 00000000                0x0                0x0
0xffffd88000022600 0001 00000000                0x0                0x0
0xffffd88000022680 0001 00000000                0x0                0x0
0xffffd88000022700 0001 00000000                0x0                0x0
0xffffd88000022780 0001 00000000                0x0                0x0
0xffffd88000022800 0001 00000000                0x0                0x0
0xffffd88000022880 0001 00000000                0x0                0x0
0xffffd88000022900 0001 00000000                0x0                0x0
0xffffd88000022980 0001 00000000                0x0                0x0
0xffffd88000022a00 0001 00000000                0x0                0x0
0xffffd88000022a80 0001 00000000                0x0                0x0
0xffffd88000022b00 0001 00000000                0x0                0x0
0xffffd88000022b80 0001 00000000                0x0                0x0
0xffffd88000022c00 0001 00000000                0x0                0x0
0xffffd88000022c80 0001 00000000                0x0                0x0
0xffffd88000022d00 0001 00000000                0x0                0x0
0xffffd88000022d80 0001 00000000                0x0                0x0
0xffffd88000022e00 0001 00000000                0x0                0x0
0xffffd88000022e80 0001 00000000                0x0                0x0
0xffffd88000022f00 0001 00000000                0x0                0x0
0xffffd88000022f80 0001 00000000                0x0                0x0
0xffffd88000023000 0001 00000000                0x0                0x0
0xffffd88000023080 0001 00000000                0x0                0x0
0xffffd88000023100 0001 00000000                0x0                0x0
0xffffd88000023180 0001 00000000                0x0                0x0
0xffffd88000023200 0001 00000000                0x0                0x0
0xffffd88000023280 0001 00000000                0x0                0x0
0xffffd88000023300 0001 00000000                0x0                0x0
0xffffd88000023380 0001 00000000                0x0                0x0
0xffffd88000023400 0001 00000000                0x0                0x0
0xffffd88000023480 0001 00000000                0x0                0x0
0xffffd88000023500 0001 00000000                0x0                0x0
0xffffd88000023580 0001 00000000                0x0                0x0
0xffffd88000023600 0001 00000000                0x0                0x0
0xffffd88000023680 0001 00000000                0x0                0x0
0xffffd88000023700 0001 00000000                0x0                0x0
0xffffd88000023780 0001 00000000                0x0                0x0
0xffffd88000023800 0001 00000000                0x0                0x0
0xffffd88000023880 0001 00000000                0x0                0x0
0xffffd88000023900 0001 00000000                0x0                0x0
0xffffd88000023980 0001 00000000                0x0                0x0
0xffffd88000023a00 0001 00000000                0x0                0x0
0xffffd88000023a80 0001 00000000                0x0                0x0
0xffffd88000023b00 0001 00000000                0x0                0x0
0xffffd88000023b80 0001 00000000                0x0                0x0
0xffffd88000023c00 0001 00000000                0x0                0x0
0xffffd88000023c80 0001 00000000                0x0                0x0
0xffffd88000023d00 0001 00000000                0x0                0x0
0xffffd88000023d80 0001 00000000                0x0                0x0
0xffffd88000023e00 0001 00000000                0x0                0x0
0xffffd88000023e80 0001 00000000                0x0                0x0
0xffffd88000023f00 0001 00000000                0x0                0x0
0xffffd88000023f80 0001 00000000                0x0                0x0
0xffffd88000024000 0001 00000000                0x0                0x0
0xffffd88000024080 0001 00000000                0x0                0x0
0xffffd88000024100 0001 00000000                0x0                0x0
0xffffd88000024180 0001 00000000                0x0                0x0
0xffffd88000024200 0001 00000000                0x0                0x0
0xffffd88000024280 0001 00000000                0x0                0x0
0xffffd88000024300 0001 00000000                0x0                0x0
0xffffd88000024380 0001 00000000                0x0                0x0
0xffffd88000024400 0001 00000000                0x0                0x0
0xffffd88000024480 0001 00000000                0x0                0x0
0xffffd88000024500 0001 00000000                0x0                0x0
0xffffd88000024580 0001 00000000                0x0                0x0
0xffffd88000024600 0001 00000000                0x0                0x0
0xffffd88000024680 0001 00000000                0x0                0x0
0xffffd88000024700 0001 00000000                0x0                0x0
0xffffd88000024780 0001 00000000                0x0                0x0
0xffffd88000024800 0001 00000000                0x0                0x0
0xffffd88000024880 0001 00000000                0x0                0x0
0xffffd88000024900 0001 00000000                0x0                0x0
0xffffd88000024980 0001 00000000                0x0                0x0
0xffffd88000024a00 0001 00000000                0x0                0x0
0xffffd88000024a80 0001 00000000                0x0                0x0
0xffffd88000024b00 0001 00000000                0x0                0x0
0xffffd88000024b80 0001 00000000                0x0                0x0
0xffffd88000024c00 0001 00000000                0x0                0x0
0xffffd88000024c80 0001 00000000                0x0                0x0
0xffffd88000024d00 0001 00000000                0x0                0x0
0xffffd88000024d80 0001 00000000                0x0                0x0
0xffffd88000024e00 0001 00000000                0x0                0x0
0xffffd88000024e80 0001 00000000                0x0                0x0
0xffffd88000024f00 0001 00000000                0x0                0x0
0xffffd88000024f80 0001 00000000                0x0                0x0
0xffffd88000025000 0001 00000000                0x0                0x0
0xffffd88000025080 0001 00000000                0x0                0x0
0xffffd88000025100 0001 00000000                0x0                0x0
0xffffd88000025180 0001 00000000                0x0                0x0
0xffffd88000025200 0001 00000000                0x0                0x0
0xffffd88000025280 0001 00000000                0x0                0x0
0xffffd88000025300 0001 00000000                0x0                0x0
0xffffd88000025380 0001 00000000                0x0                0x0
0xffffd88000025400 0001 00000000                0x0                0x0
0xffffd88000025480 0001 00000000                0x0                0x0
0xffffd88000025500 0001 00000000                0x0                0x0
0xffffd88000025580 0001 00000000                0x0                0x0
0xffffd88000025600 0001 00000000                0x0                0x0
0xffffd88000025680 0001 00000000                0x0                0x0
0xffffd88000025700 0001 00000000                0x0                0x0
0xffffd88000025780 0001 00000000                0x0                0x0
0xffffd88000025800 0001 00000000                0x0                0x0
0xffffd88000025880 0001 00000000                0x0                0x0
0xffffd88000025900 0001 00000000                0x0                0x0
0xffffd88000025980 0001 00000000                0x0                0x0
0xffffd88000025a00 0001 00000000                0x0                0x0
0xffffd88000025a80 0001 00000000                0x0                0x0
0xffffd88000025b00 0001 00000000                0x0                0x0
0xffffd88000025b80 0001 00000000                0x0                0x0
0xffffd88000025c00 0001 00000000                0x0                0x0
0xffffd88000025c80 0001 00000000                0x0                0x0
0xffffd88000025d00 0001 00000000                0x0                0x0
0xffffd88000025d80 0001 00000000                0x0                0x0
0xffffd88000025e00 0001 00000000                0x0                0x0
0xffffd88000025e80 0001 00000000                0x0                0x0
0xffffd88000025f00 0001 00000000                0x0                0x0
0xffffd88000025f80 0001 00000000                0x0                0x0
0xffffd88000026000 0001 00000000                0x0                0x0
0xffffd88000026080 0001 00000000                0x0                0x0
0xffffd88000026100 0001 00000000                0x0                0x0
0xffffd88000026180 0001 00000000                0x0                0x0
0xffffd88000026200 0001 00000000                0x0                0x0
0xffffd88000026280 0001 00000000                0x0                0x0
0xffffd88000026300 0001 00000000                0x0                0x0
0xffffd88000026380 0001 00000000                0x0                0x0
0xffffd88000026400 0001 00000000                0x0                0x0
0xffffd88000026480 0001 00000000                0x0                0x0
0xffffd88000026500 0001 00000000                0x0                0x0
0xffffd88000026580 0001 00000000                0x0                0x0
0xffffd88000026600 0001 00000000                0x0                0x0
0xffffd88000026680 0001 00000000                0x0                0x0
0xffffd88000026700 0001 00000000                0x0                0x0
0xffffd88000026780 0001 00000000                0x0                0x0
0xffffd88000026800 0001 00000000                0x0                0x0
0xffffd88000026880 0001 00000000                0x0                0x0
0xffffd88000026900 0001 00000000                0x0                0x0
0xffffd88000026980 0001 00000000                0x0                0x0
0xffffd88000026a00 0001 00000000                0x0                0x0
0xffffd88000026a80 0001 00000000                0x0                0x0
0xffffd88000026b00 0001 00000000                0x0                0x0
0xffffd88000026b80 0001 00000000                0x0                0x0
0xffffd88000026c00 0001 00000000                0x0                0x0
0xffffd88000026c80 0001 00000000                0x0                0x0
0xffffd88000026d00 0001 00000000                0x0                0x0
0xffffd88000026d80 0001 00000000                0x0                0x0
0xffffd88000026e00 0001 00000000                0x0                0x0
0xffffd88000026e80 0001 00000000                0x0                0x0
0xffffd88000026f00 0001 00000000                0x0                0x0
0xffffd88000026f80 0001 00000000                0x0                0x0
0xffffd88000027000 0001 00000000                0x0                0x0
0xffffd88000027080 0001 00000000                0x0                0x0
0xffffd88000027100 0001 00000000                0x0                0x0
0xffffd88000027180 0001 00000000                0x0                0x0
0xffffd88000027200 0001 00000000                0x0                0x0
0xffffd88000027280 0001 00000000                0x0                0x0
0xffffd88000027300 0001 00000000                0x0                0x0
0xffffd88000027380 0001 00000000                0x0                0x0
0xffffd88000027400 0001 00000000                0x0                0x0
0xffffd88000027480 0001 00000000                0x0                0x0
0xffffd88000027500 0001 00000000                0x0                0x0
0xffffd88000027580 0001 00000000                0x0                0x0
0xffffd88000027600 0001 00000000                0x0                0x0
0xffffd88000027680 0001 00000000                0x0                0x0
0xffffd88000027700 0001 00000000                0x0                0x0
0xffffd88000027780 0001 00000000                0x0                0x0
0xffffd88000027800 0001 00000000                0x0                0x0
0xffffd88000027880 0001 00000000                0x0                0x0
0xffffd88000027900 0001 00000000                0x0                0x0
0xffffd88000027980 0001 00000000                0x0                0x0
0xffffd88000027a00 0001 00000000                0x0                0x0
0xffffd88000027a80 0001 00000000                0x0                0x0
0xffffd88000027b00 0001 00000000                0x0                0x0
0xffffd88000027b80 0001 00000000                0x0                0x0
0xffffd88000027c00 0001 00000000                0x0                0x0
0xffffd88000027c80 0001 00000000                0x0                0x0
0xffffd88000027d00 0001 00000000                0x0                0x0
0xffffd88000027d80 0001 00000000                0x0                0x0
0xffffd88000027e00 0001 00000000                0x0                0x0
0xffffd88000027e80 0001 00000000                0x0                0x0
0xffffd88000027f00 0001 00000000                0x0                0x0
0xffffd88000027f80 0001 00000000                0x0                0x0
0xffffd88000028000 0001 00000000                0x0                0x0
0xffffd88000028080 0001 00000000                0x0                0x0
0xffffd88000028100 0001 00000000                0x0                0x0
0xffffd88000028180 0001 00000000                0x0                0x0
0xffffd88000028200 0001 00000000                0x0                0x0
0xffffd88000028280 0001 00000000                0x0                0x0
0xffffd88000028300 0001 00000000                0x0                0x0
0xffffd88000028380 0001 00000000                0x0                0x0
0xffffd88000028400 0001 00000000                0x0                0x0
0xffffd88000028480 0001 00000000                0x0                0x0
0xffffd88000028500 0001 00000000                0x0                0x0
0xffffd88000028580 0001 00000000                0x0                0x0
0xffffd88000028600 0001 00000000                0x0                0x0
0xffffd88000028680 0001 00000000                0x0                0x0
0xffffd88000028700 0001 00000000                0x0                0x0
0xffffd88000028780 0001 00000000                0x0                0x0
0xffffd88000028800 0001 00000000                0x0                0x0
0xffffd88000028880 0001 00000000                0x0                0x0
0xffffd88000028900 0001 00000000                0x0                0x0
0xffffd88000028980 0001 00000000                0x0                0x0
0xffffd88000028a00 0001 00000000                0x0                0x0
0xffffd88000028a80 0001 00000000                0x0                0x0
0xffffd88000028b00 0001 00000000                0x0                0x0
0xffffd88000028b80 0001 00000000                0x0                0x0
0xffffd88000028c00 0001 00000000                0x0                0x0
0xffffd88000028c80 0001 00000000                0x0                0x0
0xffffd88000028d00 0001 00000000                0x0                0x0
0xffffd88000028d80 0001 00000000                0x0                0x0
0xffffd88000028e00 0001 00000000                0x0                0x0
0xffffd88000028e80 0001 00000000                0x0                0x0
0xffffd88000028f00 0001 00000000                0x0                0x0
0xffffd88000028f80 0001 00000000                0x0                0x0
0xffffd88000029000 0001 00000000                0x0                0x0
0xffffd88000029080 0001 00000000                0x0                0x0
0xffffd88000029100 0001 00000000                0x0                0x0
0xffffd88000029180 0001 00000000                0x0                0x0
0xffffd88000029200 0001 00000000                0x0                0x0
0xffffd88000029280 0001 00000000                0x0                0x0
0xffffd88000029300 0001 00000000                0x0                0x0
0xffffd88000029380 0001 00000000                0x0                0x0
0xffffd88000029400 0001 00000000                0x0                0x0
0xffffd88000029480 0001 00000000                0x0                0x0
0xffffd88000029500 0001 00000000                0x0                0x0
0xffffd88000029580 0001 00000000                0x0                0x0
0xffffd88000029600 0001 00000000                0x0                0x0
0xffffd88000029680 0001 00000000                0x0                0x0
0xffffd88000029700 0001 00000000                0x0                0x0
0xffffd88000029780 0001 00000000                0x0                0x0
0xffffd88000029800 0001 00000000                0x0                0x0
0xffffd88000029880 0001 00000000                0x0                0x0
0xffffd88000029900 0001 00000000                0x0                0x0
0xffffd88000029980 0001 00000000                0x0                0x0
0xffffd88000029a00 0001 00000000                0x0                0x0
0xffffd88000029a80 0001 00000000                0x0                0x0
0xffffd88000029b00 0001 00000000                0x0                0x0
0xffffd88000029b80 0001 00000000                0x0                0x0
0xffffd88000029c00 0001 00000000                0x0                0x0
0xffffd88000029c80 0001 00000000                0x0                0x0
0xffffd88000029d00 0001 00000000                0x0                0x0
0xffffd88000029d80 0001 00000000                0x0                0x0
0xffffd88000029e00 0001 00000000                0x0                0x0
0xffffd88000029e80 0001 00000000                0x0                0x0
0xffffd88000029f00 0001 00000000                0x0                0x0
0xffffd88000029f80 0001 00000000                0x0                0x0
0xffffd8800002a000 0001 00000000                0x0                0x0
0xffffd8800002a080 0001 00000000                0x0                0x0
0xffffd8800002a100 0001 00000000                0x0                0x0
0xffffd8800002a180 0001 00000000                0x0                0x0
0xffffd8800002a200 0001 00000000                0x0                0x0
0xffffd8800002a280 0001 00000000                0x0                0x0
0xffffd8800002a300 0001 00000000                0x0                0x0
0xffffd8800002a380 0001 00000000                0x0                0x0
0xffffd8800002a400 0001 00000000                0x0                0x0
0xffffd8800002a480 0001 00000000                0x0                0x0
0xffffd8800002a500 0001 00000000                0x0                0x0
0xffffd8800002a580 0001 00000000                0x0                0x0
0xffffd8800002a600 0001 00000000                0x0                0x0
0xffffd8800002a680 0001 00000000                0x0                0x0
0xffffd8800002a700 0001 00000000                0x0                0x0
0xffffd8800002a780 0001 00000000                0x0                0x0
0xffffd8800002a800 0001 00000000                0x0                0x0
0xffffd8800002a880 0001 00000000                0x0                0x0
0xffffd8800002a900 0001 00000000                0x0                0x0
0xffffd8800002a980 0001 00000000                0x0                0x0
0xffffd8800002aa00 0001 00000000                0x0                0x0
0xffffd8800002aa80 0001 00000000                0x0                0x0
0xffffd8800002ab00 0001 00000000                0x0                0x0
0xffffd8800002ab80 0001 00000000                0x0                0x0
0xffffd8800002ac00 0001 00000000                0x0                0x0
0xffffd8800002ac80 0001 00000000                0x0                0x0
0xffffd8800002ad00 0001 00000000                0x0                0x0
0xffffd8800002ad80 0001 00000000                0x0                0x0
0xffffd8800002ae00 0001 00000000                0x0                0x0
0xffffd8800002ae80 0001 00000000                0x0                0x0
0xffffd8800002af00 0001 00000000                0x0                0x0
0xffffd8800002af80 0001 00000000                0x0                0x0
0xffffd8800002b000 0001 00000000                0x0                0x0
0xffffd8800002b080 0001 00000000                0x0                0x0
0xffffd8800002b100 0001 00000000                0x0                0x0
0xffffd8800002b180 0001 00000000                0x0                0x0
0xffffd8800002b200 0001 00000000                0x0                0x0
0xffffd8800002b280 0001 00000000                0x0                0x0
0xffffd8800002b300 0001 00000000                0x0                0x0
0xffffd8800002b380 0001 00000000                0x0                0x0
0xffffd8800002b400 0001 00000000                0x0                0x0
0xffffd8800002b480 0001 00000000                0x0                0x0
0xffffd8800002b500 0001 00000000                0x0                0x0
0xffffd8800002b580 0001 00000000                0x0                0x0
0xffffd8800002b600 0001 00000000                0x0                0x0
0xffffd8800002b680 0001 00000000                0x0                0x0
0xffffd8800002b700 0001 00000000                0x0                0x0
0xffffd8800002b780 0001 00000000                0x0                0x0
0xffffd8800002b800 0001 00000000                0x0                0x0
0xffffd8800002b880 0001 00000000                0x0                0x0
0xffffd8800002b900 0001 00000000                0x0                0x0
0xffffd8800002b980 0001 00000000                0x0                0x0
0xffffd8800002ba00 0001 00000000                0x0                0x0
0xffffd8800002ba80 0001 00000000                0x0                0x0
0xffffd8800002bb00 0001 00000000                0x0                0x0
0xffffd8800002bb80 0001 00000000                0x0                0x0
0xffffd8800002bc00 0001 00000000                0x0                0x0
0xffffd8800002bc80 0001 00000000                0x0                0x0
0xffffd8800002bd00 0001 00000000                0x0                0x0
0xffffd8800002bd80 0001 00000000                0x0                0x0
0xffffd8800002be00 0001 00000000                0x0                0x0
0xffffd8800002be80 0001 00000000                0x0                0x0
0xffffd8800002bf00 0001 00000000                0x0                0x0
0xffffd8800002bf80 0001 00000000                0x0                0x0
0xffffd8800002c000 0001 00000000                0x0                0x0
0xffffd8800002c080 0001 00000000                0x0                0x0
0xffffd8800002c100 0001 00000000                0x0                0x0
0xffffd8800002c180 0001 00000000                0x0                0x0
0xffffd8800002c200 0001 00000000                0x0                0x0
0xffffd8800002c280 0001 00000000                0x0                0x0
0xffffd8800002c300 0001 00000000                0x0                0x0
0xffffd8800002c380 0001 00000000                0x0                0x0
0xffffd8800002c400 0001 00000000                0x0                0x0
0xffffd8800002c480 0001 00000000                0x0                0x0
0xffffd8800002c500 0001 00000000                0x0                0x0
0xffffd8800002c580 0001 00000000                0x0                0x0
0xffffd8800002c600 0001 00000000                0x0                0x0
0xffffd8800002c680 0001 00000000                0x0                0x0
0xffffd8800002c700 0001 00000000                0x0                0x0
0xffffd8800002c780 0001 00000000                0x0                0x0
0xffffd8800002c800 0001 00000000                0x0                0x0
0xffffd8800002c880 0001 00000000                0x0                0x0
0xffffd8800002c900 0001 00000000                0x0                0x0
0xffffd8800002c980 0001 00000000                0x0                0x0
0xffffd8800002ca00 0001 00000000                0x0                0x0
0xffffd8800002ca80 0001 00000000                0x0                0x0
0xffffd8800002cb00 0001 00000000                0x0                0x0
0xffffd8800002cb80 0001 00000000                0x0                0x0
0xffffd8800002cc00 0001 00000000                0x0                0x0
0xffffd8800002cc80 0001 00000000                0x0                0x0
0xffffd8800002cd00 0001 00000000                0x0                0x0
0xffffd8800002cd80 0001 00000000                0x0                0x0
0xffffd8800002ce00 0001 00000000                0x0                0x0
0xffffd8800002ce80 0001 00000000                0x0                0x0
0xffffd8800002cf00 0001 00000000                0x0                0x0
0xffffd8800002cf80 0001 00000000                0x0                0x0
0xffffd8800002d000 0001 00000000                0x0                0x0
0xffffd8800002d080 0001 00000000                0x0                0x0
0xffffd8800002d100 0001 00000000                0x0                0x0
0xffffd8800002d180 0001 00000000                0x0                0x0
0xffffd8800002d200 0001 00000000                0x0                0x0
0xffffd8800002d280 0001 00000000                0x0                0x0
0xffffd8800002d300 0001 00000000                0x0                0x0
0xffffd8800002d380 0001 00000000                0x0                0x0
0xffffd8800002d400 0001 00000000                0x0                0x0
0xffffd8800002d480 0001 00000000                0x0                0x0
0xffffd8800002d500 0001 00000000                0x0                0x0
0xffffd8800002d580 0001 00000000                0x0                0x0
0xffffd8800002d600 0001 00000000                0x0                0x0
0xffffd8800002d680 0001 00000000                0x0                0x0
0xffffd8800002d700 0001 00000000                0x0                0x0
0xffffd8800002d780 0001 00000000                0x0                0x0
0xffffd8800002d800 0001 00000000                0x0                0x0
0xffffd8800002d880 0001 00000000                0x0                0x0
0xffffd8800002d900 0001 00000000                0x0                0x0
0xffffd8800002d980 0001 00000000                0x0                0x0
0xffffd8800002da00 0001 00000000                0x0                0x0
0xffffd8800002da80 0001 00000000                0x0                0x0
0xffffd8800002db00 0001 00000000                0x0                0x0
0xffffd8800002db80 0001 00000000                0x0                0x0
0xffffd8800002dc00 0001 00000000                0x0                0x0
0xffffd8800002dc80 0001 00000000                0x0                0x0
0xffffd8800002dd00 0001 00000000                0x0                0x0
0xffffd8800002dd80 0001 00000000                0x0                0x0
0xffffd8800002de00 0001 00000000                0x0                0x0
0xffffd8800002de80 0001 00000000                0x0                0x0
0xffffd8800002df00 0001 00000000                0x0                0x0
0xffffd8800002df80 0001 00000000                0x0                0x0
0xffffd8800002e000 0001 00000000                0x0                0x0
0xffffd8800002e080 0001 00000000                0x0                0x0
0xffffd8800002e100 0001 00000000                0x0                0x0
0xffffd8800002e180 0001 00000000                0x0                0x0
0xffffd8800002e200 0001 00000000                0x0                0x0
0xffffd8800002e280 0001 00000000                0x0                0x0
0xffffd8800002e300 0001 00000000                0x0                0x0
0xffffd8800002e380 0001 00000000                0x0                0x0
0xffffd8800002e400 0001 00000000                0x0                0x0
0xffffd8800002e480 0001 00000000                0x0                0x0
0xffffd8800002e500 0001 00000000                0x0                0x0
0xffffd8800002e580 0001 00000000                0x0                0x0
0xffffd8800002e600 0001 00000000                0x0                0x0
0xffffd8800002e680 0001 00000000                0x0                0x0
0xffffd8800002e700 0001 00000000                0x0                0x0
0xffffd8800002e780 0001 00000000                0x0                0x0
0xffffd8800002e800 0001 00000000                0x0                0x0
0xffffd8800002e880 0001 00000000                0x0                0x0
0xffffd8800002e900 0001 00000000                0x0                0x0
0xffffd8800002e980 0001 00000000                0x0                0x0
0xffffd8800002ea00 0001 00000000                0x0                0x0
0xffffd8800002ea80 0001 00000000                0x0                0x0
0xffffd8800002eb00 0001 00000000                0x0                0x0
0xffffd8800002eb80 0001 00000000                0x0                0x0
0xffffd8800002ec00 0001 00000000                0x0                0x0
0xffffd8800002ec80 0001 00000000                0x0                0x0
0xffffd8800002ed00 0001 00000000                0x0                0x0
0xffffd8800002ed80 0001 00000000                0x0                0x0
0xffffd8800002ee00 0001 00000000                0x0                0x0
0xffffd8800002ee80 0001 00000000                0x0                0x0
0xffffd8800002ef00 0001 00000000                0x0                0x0
0xffffd8800002ef80 0001 00000000                0x0                0x0
0xffffd8800002f000 0001 00000000                0x0                0x0
0xffffd8800002f080 0001 00000000                0x0                0x0
0xffffd8800002f100 0001 00000000                0x0                0x0
0xffffd8800002f180 0001 00000000                0x0                0x0
0xffffd8800002f200 0001 00000000                0x0                0x0
0xffffd8800002f280 0001 00000000                0x0                0x0
0xffffd8800002f300 0001 00000000                0x0                0x0
0xffffd8800002f380 0001 00000000                0x0                0x0
0xffffd8800002f400 0001 00000000                0x0                0x0
0xffffd8800002f480 0001 00000000                0x0                0x0
0xffffd8800002f500 0001 00000000                0x0                0x0
0xffffd8800002f580 0001 00000000                0x0                0x0
0xffffd8800002f600 0001 00000000                0x0                0x0
0xffffd8800002f680 0001 00000000                0x0                0x0
0xffffd8800002f700 0001 00000000                0x0                0x0
0xffffd8800002f780 0001 00000000                0x0                0x0
0xffffd8800002f800 0001 00000000                0x0                0x0
0xffffd8800002f880 0001 00000000                0x0                0x0
0xffffd8800002f900 0001 00000000                0x0                0x0
0xffffd8800002f980 0001 00000000                0x0                0x0
0xffffd8800002fa00 0001 00000000                0x0                0x0
0xffffd8800002fa80 0001 00000000                0x0                0x0
0xffffd8800002fb00 0001 00000000                0x0                0x0
0xffffd8800002fb80 0001 00000000                0x0                0x0
0xffffd8800002fc00 0001 00000000                0x0                0x0
0xffffd8800002fc80 0001 00000000                0x0                0x0
0xffffd8800002fd00 0001 00000000                0x0                0x0
0xffffd8800002fd80 0001 00000000                0x0                0x0
0xffffd8800002fe00 0001 00000000                0x0                0x0
0xffffd8800002fe80 0001 00000000                0x0                0x0
0xffffd8800002ff00 0001 00000000                0x0                0x0
0xffffd8800002ff80 0001 00000000                0x0                0x0
0xffffd88000030000 0001 00000000                0x0                0x0
0xffffd88000030080 0001 00000000                0x0                0x0
0xffffd88000030100 0001 00000000                0x0                0x0
0xffffd88000030180 0001 00000000                0x0                0x0
0xffffd88000030200 0001 00000000                0x0                0x0
0xffffd88000030280 0001 00000000                0x0                0x0
0xffffd88000030300 0001 00000000                0x0                0x0
0xffffd88000030380 0001 00000000                0x0                0x0
0xffffd88000030400 0001 00000000                0x0                0x0
0xffffd88000030480 0001 00000000                0x0                0x0
0xffffd88000030500 0001 00000000                0x0                0x0
0xffffd88000030580 0001 00000000                0x0                0x0
0xffffd88000030600 0001 00000000                0x0                0x0
0xffffd88000030680 0001 00000000                0x0                0x0
0xffffd88000030700 0001 00000000                0x0                0x0
0xffffd88000030780 0001 00000000                0x0                0x0
0xffffd88000030800 0001 00000000                0x0                0x0
0xffffd88000030880 0001 00000000                0x0                0x0
0xffffd88000030900 0001 00000000                0x0                0x0
0xffffd88000030980 0001 00000000                0x0                0x0
0xffffd88000030a00 0001 00000000                0x0                0x0
0xffffd88000030a80 0001 00000000                0x0                0x0
0xffffd88000030b00 0001 00000000                0x0                0x0
0xffffd88000030b80 0001 00000000                0x0                0x0
0xffffd88000030c00 0001 00000000                0x0                0x0
0xffffd88000030c80 0001 00000000                0x0                0x0
0xffffd88000030d00 0001 00000000                0x0                0x0
0xffffd88000030d80 0001 00000000                0x0                0x0
0xffffd88000030e00 0001 00000000                0x0                0x0
0xffffd88000030e80 0001 00000000                0x0                0x0
0xffffd88000030f00 0001 00000000                0x0                0x0
0xffffd88000030f80 0001 00000000                0x0                0x0
0xffffd88000031000 0001 00000000                0x0                0x0
0xffffd88000031080 0001 00000000                0x0                0x0
0xffffd88000031100 0001 00000000                0x0                0x0
0xffffd88000031180 0001 00000000                0x0                0x0
0xffffd88000031200 0001 00000000                0x0                0x0
0xffffd88000031280 0001 00000000                0x0                0x0
0xffffd88000031300 0001 00000000                0x0                0x0
0xffffd88000031380 0001 00000000                0x0                0x0
0xffffd88000031400 0001 00000000                0x0                0x0
0xffffd88000031480 0001 00000000                0x0                0x0
0xffffd88000031500 0001 00000000                0x0                0x0
0xffffd88000031580 0001 00000000                0x0                0x0
0xffffd88000031600 0001 00000000                0x0                0x0
0xffffd88000031680 0001 00000000                0x0                0x0
0xffffd88000031700 0001 00000000                0x0                0x0
0xffffd88000031780 0001 00000000                0x0                0x0
0xffffd88000031800 0001 00000000                0x0                0x0
0xffffd88000031880 0001 00000000                0x0                0x0
0xffffd88000031900 0001 00000000                0x0                0x0
0xffffd88000031980 0001 00000000                0x0                0x0
0xffffd88000031a00 0001 00000000                0x0                0x0
0xffffd88000031a80 0001 00000000                0x0                0x0
0xffffd88000031b00 0001 00000000                0x0                0x0
0xffffd88000031b80 0001 00000000                0x0                0x0
0xffffd88000031c00 0001 00000000                0x0                0x0
0xffffd88000031c80 0001 00000000                0x0                0x0
0xffffd88000031d00 0001 00000000                0x0                0x0
0xffffd88000031d80 0001 00000000                0x0                0x0
0xffffd88000031e00 0001 00000000                0x0                0x0
0xffffd88000031e80 0001 00000000                0x0                0x0
0xffffd88000031f00 0001 00000000                0x0                0x0
0xffffd88000031f80 0001 00000000                0x0                0x0
0xffffd88000032000 0001 00000000                0x0                0x0
0xffffd88000032080 0001 00000000                0x0                0x0
0xffffd88000032100 0001 00000000                0x0                0x0
0xffffd88000032180 0001 00000000                0x0                0x0
0xffffd88000032200 0001 00000000                0x0                0x0
0xffffd88000032280 0001 00000000                0x0                0x0
0xffffd88000032300 0001 00000000                0x0                0x0
0xffffd88000032380 0001 00000000                0x0                0x0
0xffffd88000032400 0001 00000000                0x0                0x0
0xffffd88000032480 0001 00000000                0x0                0x0
0xffffd88000032500 0001 00000000                0x0                0x0
0xffffd88000032580 0001 00000000                0x0                0x0
0xffffd88000032600 0001 00000000                0x0                0x0
0xffffd88000032680 0001 00000000                0x0                0x0
0xffffd88000032700 0001 00000000                0x0                0x0
0xffffd88000032780 0001 00000000                0x0                0x0
0xffffd88000032800 0001 00000000                0x0                0x0
0xffffd88000032880 0001 00000000                0x0                0x0
0xffffd88000032900 0001 00000000                0x0                0x0
0xffffd88000032980 0001 00000000                0x0                0x0
0xffffd88000032a00 0001 00000000                0x0                0x0
0xffffd88000032a80 0001 00000000                0x0                0x0
0xffffd88000032b00 0001 00000000                0x0                0x0
0xffffd88000032b80 0001 00000000                0x0                0x0
0xffffd88000032c00 0001 00000000                0x0                0x0
0xffffd88000032c80 0001 00000000                0x0                0x0
0xffffd88000032d00 0001 00000000                0x0                0x0
0xffffd88000032d80 0001 00000000                0x0                0x0
0xffffd88000032e00 0001 00000000                0x0                0x0
0xffffd88000032e80 0001 00000000                0x0                0x0
0xffffd88000032f00 0001 00000000                0x0                0x0
0xffffd88000032f80 0001 00000000                0x0                0x0
0xffffd88000033000 0001 00000000                0x0                0x0
0xffffd88000033080 0001 00000000                0x0                0x0
0xffffd88000033100 0001 00000000                0x0                0x0
0xffffd88000033180 0001 00000000                0x0                0x0
0xffffd88000033200 0001 00000000                0x0                0x0
0xffffd88000033280 0001 00000000                0x0                0x0
0xffffd88000033300 0001 00000000                0x0                0x0
0xffffd88000033380 0001 00000000                0x0                0x0
0xffffd88000033400 0001 00000000                0x0                0x0
0xffffd88000033480 0001 00000000                0x0                0x0
0xffffd88000033500 0001 00000000                0x0                0x0
0xffffd88000033580 0001 00000000                0x0                0x0
0xffffd88000033600 0001 00000000                0x0                0x0
0xffffd88000033680 0001 00000000                0x0                0x0
0xffffd88000033700 0001 00000000                0x0                0x0
0xffffd88000033780 0001 00000000                0x0                0x0
0xffffd88000033800 0001 00000000                0x0                0x0
0xffffd88000033880 0001 00000000                0x0                0x0
0xffffd88000033900 0001 00000000                0x0                0x0
0xffffd88000033980 0001 00000000                0x0                0x0
0xffffd88000033a00 0001 00000000                0x0                0x0
0xffffd88000033a80 0001 00000000                0x0                0x0
0xffffd88000033b00 0001 00000000                0x0                0x0
0xffffd88000033b80 0001 00000000                0x0                0x0
0xffffd88000033c00 0001 00000000                0x0                0x0
0xffffd88000033c80 0001 00000000                0x0                0x0
0xffffd88000033d00 0001 00000000                0x0                0x0
0xffffd88000033d80 0001 00000000                0x0                0x0
0xffffd88000033e00 0001 00000000                0x0                0x0
0xffffd88000033e80 0001 00000000                0x0                0x0
0xffffd88000033f00 0001 00000000                0x0                0x0
0xffffd88000033f80 0001 00000000                0x0                0x0
0xffffd88000034000 0001 00000000                0x0                0x0
0xffffd88000034080 0001 00000000                0x0                0x0
0xffffd88000034100 0001 00000000                0x0                0x0
0xffffd88000034180 0001 00000000                0x0                0x0
0xffffd88000034200 0001 00000000                0x0                0x0
0xffffd88000034280 0001 00000000                0x0                0x0
0xffffd88000034300 0001 00000000                0x0                0x0
0xffffd88000034380 0001 00000000                0x0                0x0
0xffffd88000034400 0001 00000000                0x0                0x0
0xffffd88000034480 0001 00000000                0x0                0x0
0xffffd88000034500 0001 00000000                0x0                0x0
0xffffd88000034580 0001 00000000                0x0                0x0
0xffffd88000034600 0001 00000000                0x0                0x0
0xffffd88000034680 0001 00000000                0x0                0x0
0xffffd88000034700 0001 00000000                0x0                0x0
0xffffd88000034780 0001 00000000                0x0                0x0
0xffffd88000034800 0001 00000000                0x0                0x0
0xffffd88000034880 0001 00000000                0x0                0x0
0xffffd88000034900 0001 00000000                0x0                0x0
0xffffd88000034980 0001 00000000                0x0                0x0
0xffffd88000034a00 0001 00000000                0x0                0x0
0xffffd88000034a80 0001 00000000                0x0                0x0
0xffffd88000034b00 0001 00000000                0x0                0x0
0xffffd88000034b80 0001 00000000                0x0                0x0
0xffffd88000034c00 0001 00000000                0x0                0x0
0xffffd88000034c80 0001 00000000                0x0                0x0
0xffffd88000034d00 0001 00000000                0x0                0x0
0xffffd88000034d80 0001 00000000                0x0                0x0
0xffffd88000034e00 0001 00000000                0x0                0x0
0xffffd88000034e80 0001 00000000                0x0                0x0
0xffffd88000034f00 0001 00000000                0x0                0x0
0xffffd88000034f80 0001 00000000                0x0                0x0
0xffffd88000035000 0001 00000000                0x0                0x0
0xffffd88000035080 0001 00000000                0x0                0x0
0xffffd88000035100 0001 00000000                0x0                0x0
0xffffd88000035180 0001 00000000                0x0                0x0
0xffffd88000035200 0001 00000000                0x0                0x0
0xffffd88000035280 0001 00000000                0x0                0x0
0xffffd88000035300 0001 00000000                0x0                0x0
0xffffd88000035380 0001 00000000                0x0                0x0
0xffffd88000035400 0001 00000000                0x0                0x0
0xffffd88000035480 0001 00000000                0x0                0x0
0xffffd88000035500 0001 00000000                0x0                0x0
0xffffd88000035580 0001 00000000                0x0                0x0
0xffffd88000035600 0001 00000000                0x0                0x0
0xffffd88000035680 0001 00000000                0x0                0x0
0xffffd88000035700 0001 00000000                0x0                0x0
0xffffd88000035780 0001 00000000                0x0                0x0
0xffffd88000035800 0001 00000000                0x0                0x0
0xffffd88000035880 0001 00000000                0x0                0x0
0xffffd88000035900 0001 00000000                0x0                0x0
0xffffd88000035980 0001 00000000                0x0                0x0
0xffffd88000035a00 0001 00000000                0x0                0x0
0xffffd88000035a80 0001 00000000                0x0                0x0
0xffffd88000035b00 0001 00000000                0x0                0x0
0xffffd88000035b80 0001 00000000                0x0                0x0
0xffffd88000035c00 0001 00000000                0x0                0x0
0xffffd88000035c80 0001 00000000                0x0                0x0
0xffffd88000035d00 0001 00000000                0x0                0x0
0xffffd88000035d80 0001 00000000                0x0                0x0
0xffffd88000035e00 0001 00000000                0x0                0x0
0xffffd88000035e80 0001 00000000                0x0                0x0
0xffffd88000035f00 0001 00000000                0x0                0x0
0xffffd88000035f80 0001 00000000                0x0                0x0
0xffffd88000036000 0001 00000000                0x0                0x0
0xffffd88000036080 0001 00000000                0x0                0x0
0xffffd88000036100 0001 00000000                0x0                0x0
0xffffd88000036180 0001 00000000                0x0                0x0
0xffffd88000036200 0001 00000000                0x0                0x0
0xffffd88000036280 0001 00000000                0x0                0x0
0xffffd88000036300 0001 00000000                0x0                0x0
0xffffd88000036380 0001 00000000                0x0                0x0
0xffffd88000036400 0001 00000000                0x0                0x0
0xffffd88000036480 0001 00000000                0x0                0x0
0xffffd88000036500 0001 00000000                0x0                0x0
0xffffd88000036580 0001 00000000                0x0                0x0
0xffffd88000036600 0001 00000000                0x0                0x0
0xffffd88000036680 0001 00000000                0x0                0x0
0xffffd88000036700 0001 00000000                0x0                0x0
0xffffd88000036780 0001 00000000                0x0                0x0
0xffffd88000036800 0001 00000000                0x0                0x0
0xffffd88000036880 0001 00000000                0x0                0x0
0xffffd88000036900 0001 00000000                0x0                0x0
0xffffd88000036980 0001 00000000                0x0                0x0
0xffffd88000036a00 0001 00000000                0x0                0x0
0xffffd88000036a80 0001 00000000                0x0                0x0
0xffffd88000036b00 0001 00000000                0x0                0x0
0xffffd88000036b80 0001 00000000                0x0                0x0
0xffffd88000036c00 0001 00000000                0x0                0x0
0xffffd88000036c80 0001 00000000                0x0                0x0
0xffffd88000036d00 0001 00000000                0x0                0x0
0xffffd88000036d80 0001 00000000                0x0                0x0
0xffffd88000036e00 0001 00000000                0x0                0x0
0xffffd88000036e80 0001 00000000                0x0                0x0
0xffffd88000036f00 0001 00000000                0x0                0x0
0xffffd88000036f80 0001 00000000                0x0                0x0
0xffffd88000037000 0001 00000000                0x0                0x0
0xffffd88000037080 0001 00000000                0x0                0x0
0xffffd88000037100 0001 00000000                0x0                0x0
0xffffd88000037180 0001 00000000                0x0                0x0
0xffffd88000037200 0001 00000000                0x0                0x0
0xffffd88000037280 0001 00000000                0x0                0x0
0xffffd88000037300 0001 00000000                0x0                0x0
0xffffd88000037380 0001 00000000                0x0                0x0
0xffffd88000037400 0001 00000000                0x0                0x0
0xffffd88000037480 0001 00000000                0x0                0x0
0xffffd88000037500 0001 00000000                0x0                0x0
0xffffd88000037580 0001 00000000                0x0                0x0
0xffffd88000037600 0001 00000000                0x0                0x0
0xffffd88000037680 0001 00000000                0x0                0x0
0xffffd88000037700 0001 00000000                0x0                0x0
0xffffd88000037780 0001 00000000                0x0                0x0
0xffffd88000037800 0001 00000000                0x0                0x0
0xffffd88000037880 0001 00000000                0x0                0x0
0xffffd88000037900 0001 00000000                0x0                0x0
0xffffd88000037980 0001 00000000                0x0                0x0
0xffffd88000037a00 0001 00000000                0x0                0x0
0xffffd88000037a80 0001 00000000                0x0                0x0
0xffffd88000037b00 0001 00000000                0x0                0x0
0xffffd88000037b80 0001 00000000                0x0                0x0
0xffffd88000037c00 0001 00000000                0x0                0x0
0xffffd88000037c80 0001 00000000                0x0                0x0
0xffffd88000037d00 0001 00000000                0x0                0x0
0xffffd88000037d80 0001 00000000                0x0                0x0
0xffffd88000037e00 0001 00000000                0x0                0x0
0xffffd88000037e80 0001 00000000                0x0                0x0
0xffffd88000037f00 0001 00000000                0x0                0x0
0xffffd88000037f80 0001 00000000                0x0                0x0
0xffffd88000038000 0001 00000000                0x0                0x0
0xffffd88000038080 0001 00000000                0x0                0x0
0xffffd88000038100 0001 00000000                0x0                0x0
0xffffd88000038180 0001 00000000                0x0                0x0
0xffffd88000038200 0001 00000000                0x0                0x0
0xffffd88000038280 0001 00000000                0x0                0x0
0xffffd88000038300 0001 00000000                0x0                0x0
0xffffd88000038380 0001 00000000                0x0                0x0
0xffffd88000038400 0001 00000000                0x0                0x0
0xffffd88000038480 0001 00000000                0x0                0x0
0xffffd88000038500 0001 00000000                0x0                0x0
0xffffd88000038580 0001 00000000                0x0                0x0
0xffffd88000038600 0001 00000000                0x0                0x0
0xffffd88000038680 0001 00000000                0x0                0x0
0xffffd88000038700 0001 00000000                0x0                0x0
0xffffd88000038780 0001 00000000                0x0                0x0
0xffffd88000038800 0001 00000000                0x0                0x0
0xffffd88000038880 0001 00000000                0x0                0x0
0xffffd88000038900 0001 00000000                0x0                0x0
0xffffd88000038980 0001 00000000                0x0                0x0
0xffffd88000038a00 0001 00000000                0x0                0x0
0xffffd88000038a80 0001 00000000                0x0                0x0
0xffffd88000038b00 0001 00000000                0x0                0x0
0xffffd88000038b80 0001 00000000                0x0                0x0
0xffffd88000038c00 0001 00000000                0x0                0x0
0xffffd88000038c80 0001 00000000                0x0                0x0
0xffffd88000038d00 0001 00000000                0x0                0x0
0xffffd88000038d80 0001 00000000                0x0                0x0
0xffffd88000038e00 0001 00000000                0x0                0x0
0xffffd88000038e80 0001 00000000                0x0                0x0
0xffffd88000038f00 0001 00000000                0x0                0x0
0xffffd88000038f80 0001 00000000                0x0                0x0
0xffffd88000039000 0001 00000000                0x0                0x0
0xffffd88000039080 0001 00000000                0x0                0x0
0xffffd88000039100 0001 00000000                0x0                0x0
0xffffd88000039180 0001 00000000                0x0                0x0
0xffffd88000039200 0001 00000000                0x0                0x0
0xffffd88000039280 0001 00000000                0x0                0x0
0xffffd88000039300 0001 00000000                0x0                0x0
0xffffd88000039380 0001 00000000                0x0                0x0
0xffffd88000039400 0001 00000000                0x0                0x0
0xffffd88000039480 0001 00000000                0x0                0x0
0xffffd88000039500 0001 00000000                0x0                0x0
0xffffd88000039580 0001 00000000                0x0                0x0
0xffffd88000039600 0001 00000000                0x0                0x0
0xffffd88000039680 0001 00000000                0x0                0x0
0xffffd88000039700 0001 00000000                0x0                0x0
0xffffd88000039780 0001 00000000                0x0                0x0
0xffffd88000039800 0001 00000000                0x0                0x0
0xffffd88000039880 0001 00000000                0x0                0x0
0xffffd88000039900 0001 00000000                0x0                0x0
0xffffd88000039980 0001 00000000                0x0                0x0
0xffffd88000039a00 0001 00000000                0x0                0x0
0xffffd88000039a80 0001 00000000                0x0                0x0
0xffffd88000039b00 0001 00000000                0x0                0x0
0xffffd88000039b80 0001 00000000                0x0                0x0
0xffffd88000039c00 0001 00000000                0x0                0x0
0xffffd88000039c80 0001 00000000                0x0                0x0
0xffffd88000039d00 0001 00000000                0x0                0x0
0xffffd88000039d80 0001 00000000                0x0                0x0
0xffffd88000039e00 0001 00000000                0x0                0x0
0xffffd88000039e80 0001 00000000                0x0                0x0
0xffffd88000039f00 0001 00000000                0x0                0x0
0xffffd88000039f80 0001 00000000                0x0                0x0
0xffffd8800003a000 0001 00000000                0x0                0x0
0xffffd8800003a080 0001 00000000                0x0                0x0
0xffffd8800003a100 0001 00000000                0x0                0x0
0xffffd8800003a180 0001 00000000                0x0                0x0
0xffffd8800003a200 0001 00000000                0x0                0x0
0xffffd8800003a280 0001 00000000                0x0                0x0
0xffffd8800003a300 0001 00000000                0x0                0x0
0xffffd8800003a380 0001 00000000                0x0                0x0
0xffffd8800003a400 0001 00000000                0x0                0x0
0xffffd8800003a480 0001 00000000                0x0                0x0
0xffffd8800003a500 0001 00000000                0x0                0x0
0xffffd8800003a580 0001 00000000                0x0                0x0
0xffffd8800003a600 0001 00000000                0x0                0x0
0xffffd8800003a680 0001 00000000                0x0                0x0
0xffffd8800003a700 0001 00000000                0x0                0x0
0xffffd8800003a780 0001 00000000                0x0                0x0
0xffffd8800003a800 0001 00000000                0x0                0x0
0xffffd8800003a880 0001 00000000                0x0                0x0
0xffffd8800003a900 0001 00000000                0x0                0x0

Crashes (1):
Time Kernel Commit Syzkaller Config Log Report Syz repro C repro VM info Assets (help?) Manager Title
2022/08/05 21:33 netbsd 1bfb199dffe9 e853abd9 .config console log report ci2-netbsd panic: netbsd:vpanic+0x282
* Struck through repros no longer work on HEAD.