0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000555c1e784462e60000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000c680"]) sendfile(r3, r0, &(0x7f0000000100)=0x7a, 0x23b) [ 1272.124360][T12046] FAULT_INJECTION: forcing a failure. [ 1272.124360][T12046] name failslab, interval 1, probability 0, space 0, times 0 [ 1272.141219][T12046] CPU: 1 PID: 12046 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1272.150024][T12046] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1272.160135][T12046] Call Trace: [ 1272.163428][T12046] [ 1272.164862][T12016] syz-executor.3 (12016): drop_caches: 3 [ 1272.166356][T12046] dump_stack_lvl+0x125/0x1b0 [ 1272.166384][T12046] should_fail_ex+0x496/0x5b0 [ 1272.181389][T12046] should_failslab+0x9/0x20 [ 1272.185955][T12046] kmem_cache_alloc+0x33a/0x3b0 [ 1272.190818][T12046] ? lock_acquire+0x464/0x510 [ 1272.195520][T12046] __kernfs_new_node+0xd3/0x8a0 [ 1272.200392][T12046] ? kernfs_add_one+0x3ca/0x510 [ 1272.205506][T12046] ? kernfs_path_from_node+0x60/0x60 [ 1272.210819][T12046] ? down_write+0x14f/0x200 [ 1272.215331][T12046] ? rcu_is_watching+0x12/0xb0 [ 1272.215995][T12051] netlink: 20 bytes leftover after parsing attributes in process `syz-executor.4'. [ 1272.220111][T12046] ? rcu_is_watching+0x12/0xb0 [ 1272.220151][T12046] ? lock_release+0x4bf/0x680 [ 1272.220180][T12046] ? sysfs_do_create_link_sd+0x82/0x140 [ 1272.220211][T12046] kernfs_new_node+0x94/0x110 [ 1272.249125][T12046] kernfs_create_link+0xcc/0x230 [ 1272.254090][T12046] sysfs_do_create_link_sd+0x90/0x140 [ 1272.259474][T12046] sysfs_create_link+0x61/0xc0 [ 1272.264258][T12046] driver_sysfs_add+0x10e/0x2c0 [ 1272.269116][T12046] really_probe+0x13f/0xc90 [ 1272.273622][T12046] __driver_probe_device+0x1de/0x4b0 [ 1272.279171][T12046] driver_probe_device+0x4c/0x1a0 [ 1272.284284][T12046] __device_attach_driver+0x1d4/0x300 [ 1272.289664][T12046] ? driver_probe_device+0x1a0/0x1a0 [ 1272.294959][T12046] bus_for_each_drv+0x157/0x1d0 [ 1272.299812][T12046] ? bus_for_each_dev+0x1d0/0x1d0 [ 1272.304838][T12046] ? rcu_is_watching+0x12/0xb0 [ 1272.309597][T12046] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1272.315622][T12046] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1272.321461][T12046] __device_attach+0x1e8/0x4b0 [ 1272.326246][T12046] ? device_driver_attach+0x200/0x200 [ 1272.331617][T12046] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1272.336636][T12046] proc_ioctl+0x585/0x6a0 [ 1272.341058][T12046] usbdev_ioctl+0x1650/0x3ca0 [ 1272.345745][T12046] ? do_proc_control+0x1070/0x1070 [ 1272.350890][T12046] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1272.356810][T12046] ? do_vfs_ioctl+0x379/0x1920 [ 1272.361571][T12046] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1272.366606][T12046] ? reacquire_held_locks+0x4b0/0x4b0 [ 1272.371992][T12046] ? bit_wait_timeout+0x160/0x160 [ 1272.377024][T12046] ? __fget_files+0x279/0x410 [ 1272.381704][T12046] ? __fget_files+0x279/0x410 [ 1272.386554][T12046] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1272.391508][T12046] ? do_proc_control+0x1070/0x1070 [ 1272.396634][T12046] __x64_sys_ioctl+0x18f/0x210 [ 1272.401405][T12046] do_syscall_64+0x38/0xb0 [ 1272.405911][T12046] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1272.411901][T12046] RIP: 0033:0x7f08a827cae9 [ 1272.416341][T12046] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1272.436567][T12046] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1272.444978][T12046] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 [ 1272.453908][T12046] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1272.463276][T12046] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1272.471505][T12046] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000001 [ 1272.479664][T12046] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1272.489043][T12046] [ 1272.516003][T12046] really_probe: driver_sysfs_add(1-0:1.0) failed 21:48:36 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x8848, @udp={{0x5, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) 21:48:36 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) r2 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async) r3 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r3, r2, &(0x7f0000002080)=0x7a, 0x23b) write$tun(r2, &(0x7f00000001c0)=ANY=[@ANYBLOB="00000000bbbbbbbbbbbbdf2a1770bfa0810000000800450000200000000000119078ac1e0001ac1414aa00004e21000c907803000000a5ed048de5eba109fbdc46c897a6556e5006e9265e5b6336f3e35048a8f27031e3c6bbda6a19000b33fe922d763794507fe018065c14e7d347c72e32af8e9f0acdca2a2a99de4db5170eb2f7fe9ebcecb22042abcbb832777156bc03b85b79a5"], 0x36) 21:48:36 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r1 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) (async) r2 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r2, r1, &(0x7f0000002080)=0x7a, 0x23b) ioctl$SNAPSHOT_GET_IMAGE_SIZE(r1, 0x8008330e, &(0x7f0000000000)) r3 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) syz_open_dev$midi(&(0x7f0000000080), 0x2, 0x8c040) (async) r4 = syz_open_dev$midi(&(0x7f0000000080), 0x2, 0x8c040) ioctl$BTRFS_IOC_SPACE_INFO(r4, 0xc0109414, &(0x7f0000017ac0)=ANY=[@ANYBLOB="6a0e000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000555c1e784462e60000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000c680"]) (async) [ 1272.529295][ T28] audit: type=1800 audit(1694468916.740:372): pid=12052 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.5" name="midi2" dev="sda1" ino=2017 res=0 errno=0 ioctl$BTRFS_IOC_SPACE_INFO(r4, 0xc0109414, &(0x7f0000017ac0)=ANY=[@ANYBLOB="6a0e000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000555c1e784462e60000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000c680"]) sendfile(r3, r0, &(0x7f0000000100)=0x7a, 0x23b) 21:48:36 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) r2 = syz_io_uring_complete(0x0) write$tun(r2, &(0x7f0000000000)={@void, @void, @llc={@snap={0xab, 0xaa, "e6", "64ac1b", 0xca09f3a85208e2b8, "44ea44cc83cb8416e5e9f3cbd23c156524768d26672a7bf6962d03619a5f1dd221e7c4f6ffb6ac72ef68154dcc63427ade1f68ca64b5f35db1530f7657e1e319b8f986b3c8c7a4b6"}}}, 0x50) write$tun(r0, &(0x7f00000001c0)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv6={0x86dd, @dccp_packet={0x4, 0x6, "14cd0d", 0x228, 0x21, 0xff, @mcast2, @rand_addr=' \x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x01', {[@routing={0x89, 0x4, 0x0, 0x6, 0x0, [@local, @remote]}, @hopopts={0x2f, 0x28, '\x00', [@enc_lim={0x4, 0x1, 0xff}, @padn={0x1, 0x4, [0x0, 0x0, 0x0, 0x0]}, @ra={0x5, 0x2, 0x1}, @calipso={0x7, 0x10, {0x3, 0x2, 0x1f, 0x9, [0x0]}}, @generic={0x7, 0xcc, "8e35e544657d5abc9f53ecb4f7ac686482ec912ada7cdc494375abfe8419b8e3545eb9bbbd8e8b950a7defd740cd2239fa9ed89e0e53c1861cceffbaa3833fde7e2172230e89f33d68f1db77068d6f8c049ff44d44fcdb3cbcb77299314256415edd0fd586faa70e91f3a176435e7a262802232ba4607f9d8316f378b1be97f197c0b08f686239fba5c76eebad56f80219f8c5e313f24a8d0c7ae398c5be924f07d0285444c6cadc1225142d4ec0bb89a50c6630d857aea62bc3437f6f1e3b9880093e6f322b828da7e6d90c"}, @hao={0xc9, 0x10, @ipv4={'\x00', '\xff\xff', @private=0xa010100}}, @hao={0xc9, 0x10, @ipv4={'\x00', '\xff\xff', @local}}, @calipso={0x7, 0x30, {0x0, 0xa, 0x3d, 0x100, [0xd1, 0x1, 0x2c33, 0x9, 0xfdc9]}}]}, @dstopts={0xc, 0x1, '\x00', [@ra={0x5, 0x2, 0x1000}, @generic={0x80, 0x8, "db6cc568df5f4eb8"}]}], {{0x4e23, 0x4e23, 0x4, 0x1, 0xc, 0x0, 0x0, 0x6, 0x6, "dd8a52", 0x2, "2750c9"}, "da241d81b901997231fccc978215368f1b6a9d4d4343310847975862e9e6b0eb1a7ee98b70a7bbfa8711b4f7d6bcc04397c0ad0f564d7167710735c5c40afd3a8fab324a0aa1465fab02050e017365db0b69d83139e08c966963d44cf2550012a23b07927241b5a8b1d810f659cdb9b08f16d2816a94ce4cd2487a2a45f35ae702190e5a5e15f47f"}}}}}}}, 0x266) openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) (async) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) socket$kcm(0x2, 0xa, 0x2) (async) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) (async) syz_io_uring_complete(0x0) (async) write$tun(r2, &(0x7f0000000000)={@void, @void, @llc={@snap={0xab, 0xaa, "e6", "64ac1b", 0xca09f3a85208e2b8, "44ea44cc83cb8416e5e9f3cbd23c156524768d26672a7bf6962d03619a5f1dd221e7c4f6ffb6ac72ef68154dcc63427ade1f68ca64b5f35db1530f7657e1e319b8f986b3c8c7a4b6"}}}, 0x50) (async) write$tun(r0, &(0x7f00000001c0)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv6={0x86dd, @dccp_packet={0x4, 0x6, "14cd0d", 0x228, 0x21, 0xff, @mcast2, @rand_addr=' \x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x01', {[@routing={0x89, 0x4, 0x0, 0x6, 0x0, [@local, @remote]}, @hopopts={0x2f, 0x28, '\x00', [@enc_lim={0x4, 0x1, 0xff}, @padn={0x1, 0x4, [0x0, 0x0, 0x0, 0x0]}, @ra={0x5, 0x2, 0x1}, @calipso={0x7, 0x10, {0x3, 0x2, 0x1f, 0x9, [0x0]}}, @generic={0x7, 0xcc, "8e35e544657d5abc9f53ecb4f7ac686482ec912ada7cdc494375abfe8419b8e3545eb9bbbd8e8b950a7defd740cd2239fa9ed89e0e53c1861cceffbaa3833fde7e2172230e89f33d68f1db77068d6f8c049ff44d44fcdb3cbcb77299314256415edd0fd586faa70e91f3a176435e7a262802232ba4607f9d8316f378b1be97f197c0b08f686239fba5c76eebad56f80219f8c5e313f24a8d0c7ae398c5be924f07d0285444c6cadc1225142d4ec0bb89a50c6630d857aea62bc3437f6f1e3b9880093e6f322b828da7e6d90c"}, @hao={0xc9, 0x10, @ipv4={'\x00', '\xff\xff', @private=0xa010100}}, @hao={0xc9, 0x10, @ipv4={'\x00', '\xff\xff', @local}}, @calipso={0x7, 0x30, {0x0, 0xa, 0x3d, 0x100, [0xd1, 0x1, 0x2c33, 0x9, 0xfdc9]}}]}, @dstopts={0xc, 0x1, '\x00', [@ra={0x5, 0x2, 0x1000}, @generic={0x80, 0x8, "db6cc568df5f4eb8"}]}], {{0x4e23, 0x4e23, 0x4, 0x1, 0xc, 0x0, 0x0, 0x6, 0x6, "dd8a52", 0x2, "2750c9"}, "da241d81b901997231fccc978215368f1b6a9d4d4343310847975862e9e6b0eb1a7ee98b70a7bbfa8711b4f7d6bcc04397c0ad0f564d7167710735c5c40afd3a8fab324a0aa1465fab02050e017365db0b69d83139e08c966963d44cf2550012a23b07927241b5a8b1d810f659cdb9b08f16d2816a94ce4cd2487a2a45f35ae702190e5a5e15f47f"}}}}}}}, 0x266) (async) 21:48:36 executing program 2: getpid() sched_setaffinity(0x0, 0xfffffffffffffedd, &(0x7f0000000240)) r0 = syz_open_dev$usbfs(&(0x7f0000000080), 0xb, 0x101301) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000200)) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000040)=@usbdevfs_connect) (fail_nth: 7) [ 1272.689579][T12066] hub 1-0:1.0: USB hub found [ 1272.700035][ T28] audit: type=1800 audit(1694468916.910:373): pid=12061 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.5" name="midi2" dev="sda1" ino=2017 res=0 errno=0 [ 1272.728596][T12066] FAULT_INJECTION: forcing a failure. 21:48:36 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async) r1 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async) r2 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r2, r1, &(0x7f0000002080)=0x7a, 0x23b) (async) ioctl$SNAPSHOT_GET_IMAGE_SIZE(r1, 0x8008330e, &(0x7f0000000000)) r3 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) r4 = syz_open_dev$midi(&(0x7f0000000080), 0x2, 0x8c040) [ 1272.728596][T12066] name failslab, interval 1, probability 0, space 0, times 0 [ 1272.742129][ T28] audit: type=1800 audit(1694468916.910:374): pid=12067 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.5" name="midi2" dev="sda1" ino=2017 res=0 errno=0 [ 1272.768883][T12066] CPU: 1 PID: 12066 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1272.777583][T12066] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1272.787646][T12066] Call Trace: [ 1272.790922][T12066] [ 1272.793845][T12066] dump_stack_lvl+0x125/0x1b0 [ 1272.798526][T12066] should_fail_ex+0x496/0x5b0 [ 1272.803220][T12066] should_failslab+0x9/0x20 [ 1272.807734][T12066] __kmem_cache_alloc_node+0x2fd/0x350 [ 1272.813223][T12066] ? hub_probe+0x482/0x3070 [ 1272.817764][T12066] kmalloc_trace+0x25/0xe0 [ 1272.822210][T12066] hub_probe+0x482/0x3070 [ 1272.826562][T12066] ? lock_acquire+0x453/0x510 [ 1272.831255][T12066] ? lock_sync+0x190/0x190 [ 1272.835779][T12066] ? rcu_is_watching+0x12/0xb0 [ 1272.840552][T12066] ? lock_release+0x4bf/0x680 [ 1272.845235][T12066] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1272.851477][T12066] ? reacquire_held_locks+0x4b0/0x4b0 [ 1272.856871][T12066] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1272.862882][T12066] ? read_tsc+0x9/0x20 [ 1272.867035][T12066] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1272.872673][T12066] ? rcu_is_watching+0x12/0xb0 [ 1272.877455][T12066] usb_probe_interface+0x307/0x930 [ 1272.882567][T12066] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1272.887936][T12066] really_probe+0x234/0xc90 [ 1272.892439][T12066] __driver_probe_device+0x1de/0x4b0 [ 1272.898512][T12066] driver_probe_device+0x4c/0x1a0 [ 1272.903546][T12066] __device_attach_driver+0x1d4/0x300 [ 1272.909005][T12066] ? driver_probe_device+0x1a0/0x1a0 [ 1272.914296][T12066] bus_for_each_drv+0x157/0x1d0 [ 1272.919141][T12066] ? bus_for_each_dev+0x1d0/0x1d0 [ 1272.924197][T12066] ? rcu_is_watching+0x12/0xb0 [ 1272.929044][T12066] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1272.935026][T12066] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1272.940931][T12066] __device_attach+0x1e8/0x4b0 [ 1272.945696][T12066] ? device_driver_attach+0x200/0x200 [ 1272.951066][T12066] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1272.955995][T12066] proc_ioctl+0x585/0x6a0 [ 1272.960325][T12066] usbdev_ioctl+0x1650/0x3ca0 [ 1272.965006][T12066] ? do_proc_control+0x1070/0x1070 [ 1272.970120][T12066] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1272.976039][T12066] ? do_vfs_ioctl+0x379/0x1920 [ 1272.980795][T12066] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1272.985823][T12066] ? reacquire_held_locks+0x4b0/0x4b0 [ 1272.991197][T12066] ? bit_wait_timeout+0x160/0x160 [ 1272.996252][T12066] ? __fget_files+0x279/0x410 [ 1273.001034][T12066] ? __fget_files+0x279/0x410 [ 1273.005713][T12066] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1273.010662][T12066] ? do_proc_control+0x1070/0x1070 [ 1273.015867][T12066] __x64_sys_ioctl+0x18f/0x210 [ 1273.020636][T12066] do_syscall_64+0x38/0xb0 [ 1273.025051][T12066] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1273.031043][T12066] RIP: 0033:0x7f08a827cae9 [ 1273.035486][T12066] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1273.055099][T12066] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1273.063506][T12066] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 [ 1273.071512][T12066] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1273.079602][T12066] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 ioctl$BTRFS_IOC_SPACE_INFO(r4, 0xc0109414, &(0x7f0000017ac0)=ANY=[@ANYBLOB="6a0e000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000555c1e784462e60000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000c680"]) sendfile(r3, r0, &(0x7f0000000100)=0x7a, 0x23b) 21:48:36 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x8864, @udp={{0x5, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) 21:48:37 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) write$tun(r0, &(0x7f0000000040)={@val={0x0, 0x4}, @val={0x3, 0x3, 0x5, 0x2, 0x6}, @llc={@llc={0x42, 0xe, "1d", "e2dee6f7775501b52eba"}}}, 0x1b) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val={0x0, 0x9300}, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x1c, 0x0, 0x0, 0x0, 0x32, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @initdev={0xac, 0x1e, 0x1, 0x0}}, {0x0, 0x0, 0x8}}}}}}, 0x32) ioctl$TUNSETPERSIST(r0, 0x400454cb, 0x1) [ 1273.087573][T12066] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000001 [ 1273.095808][T12066] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1273.103785][T12066] [ 1273.120430][T12060] syz-executor.3 (12060): drop_caches: 3 21:48:37 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/net/ipv4/tcp_timestamps\x00', 0x1, 0x0) sendfile(r0, r0, &(0x7f0000000080)=0x7a, 0x237) r1 = openat$pfkey(0xffffffffffffff9c, &(0x7f0000000000), 0x20000, 0x0) write$sysctl(r1, &(0x7f0000000100)='2\x00', 0x2) 21:48:37 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) (async) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) (async) r2 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000680)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_JOIN_MESH(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000340)=ANY=[@ANYBLOB='(\x00\x00\x00', @ANYRES16=r1, @ANYBLOB="05000004000000000000440058fe2a06000008000300", @ANYRES32=r3, @ANYBLOB="0c0023800600020000000001000100000000008cb4d96f6a99064500fe5a3c8a98332de456f5c727fa5ea787dedd5acf2fd3a659967ce638855a8be4ea104e8b21eab1547353a738ac66d3496f501e4de8507be228f0875cf52a807f9c19a8456c62bec7b62df4a1bf23cf4ff5c1a1432e9e1ad86063863a62e8ec7cf973ad6649deb8f5980a331d000b5b5aa34c5bb0f1cb0e1cf9bc9358c9d2d3bbe9c552ddedd8ccc9aee241d9c92b016c962a0b35ede8a528a4738636ba82ef93cad99aac8e40312ab9014d7f29ae183a71bb3387bd714ff89a3bdcd763e3514d3132ff8a173fdd61ee203553f5b8"], 0x28}}, 0x0) 21:48:37 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xeb268421e962fe27, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f00000001c0)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@val={0x9100, 0x2, 0x1, 0x2}}, {@ipv4={0x800, @udp={{0x19, 0x4, 0x0, 0x0, 0x70, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local, {[@cipso={0x86, 0x4d, 0x3, [{0x0, 0x6, "77ae4364"}, {0x6, 0x3, 'q'}, {0x0, 0x10, "71af2605623981a7cb5db47a4587"}, {0x1, 0xc, "4417888d023e731b3e14"}, {0x1, 0xa, "f616696db2b05e6a"}, {0x6, 0x5, "9256a6"}, {0x2, 0x7, "21bcb9bea0"}, {0x2, 0x5, "0dc875"}, {0x0, 0x4, "fae2"}, {0x5, 0x3, ';'}]}]}}, {0x0, 0x4e21, 0xc, 0x0, @opaque="03000000"}}}}}}, 0x8a) [ 1273.173788][T12060] syz-executor.3 (12060): drop_caches: 3 [ 1273.181028][ T28] audit: type=1800 audit(1694468917.380:375): pid=12071 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.5" name="midi2" dev="sda1" ino=2017 res=0 errno=0 [ 1273.199388][T12066] hub: probe of 1-0:1.0 failed with error -12 21:48:37 executing program 2: getpid() sched_setaffinity(0x0, 0xfffffffffffffedd, &(0x7f0000000240)) r0 = syz_open_dev$usbfs(&(0x7f0000000080), 0xb, 0x101301) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000200)) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000040)=@usbdevfs_connect) (fail_nth: 8) 21:48:37 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) write$tun(r0, &(0x7f0000000040)={@val={0x0, 0x4}, @val={0x3, 0x3, 0x5, 0x2, 0x6}, @llc={@llc={0x42, 0xe, "1d", "e2dee6f7775501b52eba"}}}, 0x1b) (async) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val={0x0, 0x9300}, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x1c, 0x0, 0x0, 0x0, 0x32, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @initdev={0xac, 0x1e, 0x1, 0x0}}, {0x0, 0x0, 0x8}}}}}}, 0x32) (async) ioctl$TUNSETPERSIST(r0, 0x400454cb, 0x1) 21:48:37 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async, rerun: 32) r1 = socket$kcm(0x2, 0xeb268421e962fe27, 0x2) (rerun: 32) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) (async, rerun: 32) write$tun(r0, &(0x7f00000001c0)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@val={0x9100, 0x2, 0x1, 0x2}}, {@ipv4={0x800, @udp={{0x19, 0x4, 0x0, 0x0, 0x70, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local, {[@cipso={0x86, 0x4d, 0x3, [{0x0, 0x6, "77ae4364"}, {0x6, 0x3, 'q'}, {0x0, 0x10, "71af2605623981a7cb5db47a4587"}, {0x1, 0xc, "4417888d023e731b3e14"}, {0x1, 0xa, "f616696db2b05e6a"}, {0x6, 0x5, "9256a6"}, {0x2, 0x7, "21bcb9bea0"}, {0x2, 0x5, "0dc875"}, {0x0, 0x4, "fae2"}, {0x5, 0x3, ';'}]}]}}, {0x0, 0x4e21, 0xc, 0x0, @opaque="03000000"}}}}}}, 0x8a) (rerun: 32) [ 1273.279384][T12078] netlink: 20 bytes leftover after parsing attributes in process `syz-executor.4'. 21:48:37 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) write$tun(r0, &(0x7f0000000040)={@val={0x0, 0x4}, @val={0x3, 0x3, 0x5, 0x2, 0x6}, @llc={@llc={0x42, 0xe, "1d", "e2dee6f7775501b52eba"}}}, 0x1b) socket$kcm(0x2, 0xa, 0x2) (async) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val={0x0, 0x9300}, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x1c, 0x0, 0x0, 0x0, 0x32, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @initdev={0xac, 0x1e, 0x1, 0x0}}, {0x0, 0x0, 0x8}}}}}}, 0x32) ioctl$TUNSETPERSIST(r0, 0x400454cb, 0x1) 21:48:37 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async, rerun: 64) openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/net/ipv4/tcp_timestamps\x00', 0x1, 0x0) (rerun: 64) sendfile(r0, r0, &(0x7f0000000080)=0x7a, 0x237) (async) r1 = openat$pfkey(0xffffffffffffff9c, &(0x7f0000000000), 0x20000, 0x0) write$sysctl(r1, &(0x7f0000000100)='2\x00', 0x2) [ 1273.321706][T12088] hub 1-0:1.0: USB hub found [ 1273.337404][T12088] FAULT_INJECTION: forcing a failure. [ 1273.337404][T12088] name failslab, interval 1, probability 0, space 0, times 0 21:48:37 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x8902, @udp={{0x5, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) [ 1273.372579][T12088] CPU: 1 PID: 12088 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1273.381343][T12088] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1273.391430][T12088] Call Trace: [ 1273.394896][T12088] [ 1273.397838][T12088] dump_stack_lvl+0x125/0x1b0 [ 1273.402617][T12088] should_fail_ex+0x496/0x5b0 [ 1273.407336][T12088] should_failslab+0x9/0x20 [ 1273.411938][T12088] __kmem_cache_alloc_node+0x2fd/0x350 [ 1273.417713][T12088] ? hub_probe+0xaef/0x3070 21:48:37 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/net/ipv4/tcp_timestamps\x00', 0x1, 0x0) sendfile(r0, r0, &(0x7f0000000080)=0x7a, 0x237) (async) r1 = openat$pfkey(0xffffffffffffff9c, &(0x7f0000000000), 0x20000, 0x0) write$sysctl(r1, &(0x7f0000000100)='2\x00', 0x2) [ 1273.422336][T12088] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1273.428465][T12088] kmalloc_trace+0x25/0xe0 [ 1273.432919][T12088] hub_probe+0xaef/0x3070 [ 1273.437292][T12088] ? rcu_is_watching+0x12/0xb0 [ 1273.442176][T12088] ? lock_release+0x4bf/0x680 [ 1273.446901][T12088] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1273.453253][T12088] ? reacquire_held_locks+0x4b0/0x4b0 [ 1273.458668][T12088] ? trace_print_lat_context+0x5a0/0xa70 [ 1273.464345][T12088] ? read_tsc+0x9/0x20 [ 1273.468473][T12088] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1273.474227][T12088] ? rcu_is_watching+0x12/0xb0 [ 1273.479017][T12088] usb_probe_interface+0x307/0x930 [ 1273.484148][T12088] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1273.489538][T12088] really_probe+0x234/0xc90 [ 1273.494077][T12088] __driver_probe_device+0x1de/0x4b0 [ 1273.499395][T12088] driver_probe_device+0x4c/0x1a0 [ 1273.504442][T12088] __device_attach_driver+0x1d4/0x300 [ 1273.509864][T12088] ? driver_probe_device+0x1a0/0x1a0 [ 1273.515165][T12088] bus_for_each_drv+0x157/0x1d0 [ 1273.520034][T12088] ? bus_for_each_dev+0x1d0/0x1d0 [ 1273.525083][T12088] ? rcu_is_watching+0x12/0xb0 [ 1273.529856][T12088] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1273.535852][T12088] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1273.541684][T12088] __device_attach+0x1e8/0x4b0 [ 1273.546473][T12088] ? device_driver_attach+0x200/0x200 [ 1273.551981][T12088] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1273.556979][T12088] proc_ioctl+0x585/0x6a0 [ 1273.561343][T12088] usbdev_ioctl+0x1650/0x3ca0 [ 1273.566042][T12088] ? do_proc_control+0x1070/0x1070 [ 1273.571191][T12088] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1273.577123][T12088] ? do_vfs_ioctl+0x379/0x1920 [ 1273.581952][T12088] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1273.587008][T12088] ? reacquire_held_locks+0x4b0/0x4b0 [ 1273.592409][T12088] ? bit_wait_timeout+0x160/0x160 [ 1273.597550][T12088] ? __fget_files+0x279/0x410 [ 1273.602337][T12088] ? __fget_files+0x279/0x410 [ 1273.607040][T12088] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1273.612015][T12088] ? do_proc_control+0x1070/0x1070 [ 1273.617158][T12088] __x64_sys_ioctl+0x18f/0x210 [ 1273.621934][T12088] do_syscall_64+0x38/0xb0 [ 1273.626361][T12088] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1273.632288][T12088] RIP: 0033:0x7f08a827cae9 [ 1273.636736][T12088] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1273.656461][T12088] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1273.664891][T12088] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 [ 1273.672914][T12088] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1273.680901][T12088] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1273.688898][T12088] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000001 [ 1273.696913][T12088] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1273.704915][T12088] 21:48:37 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) sendmsg$kcm(r1, &(0x7f0000000080)={&(0x7f0000000000)=@ieee802154={0x24, @short={0x2, 0xffff, 0xfffe}}, 0x80, &(0x7f00000006c0)=[{&(0x7f00000002c0)="da99a3b184eb71789ad1096b29bf257ef7bc221d00bf49ac486a38a43d07c1b9a716b730d55f06f4afa6e365a23b877b490e16cfece988ac56e1449b22b97fc8c652c7cfd409877fae4bfba5d26e76ec2120dbf8145fa704ddf102553d5d4f81be8698d39007fb3524c699d674db59b65d5919ac7b87aeed2504aff5bf9dfd52a313e0e5e200", 0x86}, {&(0x7f0000000380)="739af1fc758c2eaa91bcb3c1d2d90424e567b973117c6411910bd33127acf8c4433655650288d6d68f856e89de0885a7cec84f90d9bb044f9f4be9f0f2f0be4626dfa37b53ab68756a851b5718355c368c2a3ea55aaee60d1c63325b9e15fef99661133456d078c6c63c1dd226b49a72f29cea7cd11e09b1605d29fc6541880f0c86f3c5494e5a2eb8", 0x89}, {&(0x7f0000000440)="6aeb7ec65aeb9883192142e1029e0004f8379eaefe98f219a2e80eccfec430a0969168415e6bfc90e8993cb0cc84e6dc4e2f1d0568f2b8f3fd91135e76644e6442398158e0942e33e6025ec24ccc992f1e6c09aa0eee546d452d5543948e3f2af4a0cf971ed306111cdc", 0x6a}, {&(0x7f00000004c0)="52a84d24c481d7621175f5e6fecba0585d5139480fc4137059cac4da36dd5e92af3c48df39575b6d8026db8ba7a1835100fa6a238dfea5694d804c5b587609c73bddb8cbe8dc8dc6741e07f738026169fd36754b889886fb0def0b337016bdfeb0605e2f39b8288fe36a9822a649f22aa119efeb4e0b67ebbae8bdebc495997f5cbd7404be0d0ce09f5cec879a0a8cd4ac99df3a25dbe6aee147dbe010dfca6e18326fb16b5428625e4959a3511bb8a7f6678ed6092ddd3b246f68898edb0fd62c2f6954ee84779e627c86239ffe26ab1205b2234021e4aa84a573aa846cac0228e839d5bbd3", 0xe6}, {&(0x7f00000005c0)="bded5964fa1ae56acd5978cbb574e3b22b5b2efed46cfac5649e30e950767a837641999726fcbab53f91d6d01b631cfe332e74aec51e6728325ac1c7bd100e152b393ac758fe398bc39bf0e4", 0x4c}, {&(0x7f0000000640)="c2bd842b0d65281b2590016659b2c53f3fc15ba612b5cf2374b76566b90ee13cbc34bb0449dc15414fdf37e78f110c21ec0a6597621aa879b2713337335d9d8f49567b1587b7dafefdd2419ce4124f9d4120ea45c19a251eeee69b7c8a65", 0x5e}], 0x6, &(0x7f0000001b00)=ANY=[@ANYBLOB="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"], 0x1388}, 0x0) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f00000001c0)=ANY=[@ANYBLOB="00000000babbbbbbbbbbdf2a1770bfa08100000008e94400001c0000000000329078ac1e0009ac1414aa000000000008907893e7d52babbe360a0d62a631fc511807cf05c1d608a6566499d361fa0d6c3ba80194ec89f98ea1a93cd3e93d0cc5ff2661ce4db54a7054650e52cfe7e52fd2ddc0512f941e677e57499bbf221895251e406fee97f26651aca40a4af7f556ed33f1ac584a410ad4071ea555b8675f465c195dea8c5a96a7c8b4e71068b81c6b558945db43d72083cc59563f5a7c4c9a0b1c84b5bd90aff669f22387a9b66dc520b9968056319758716dacc0020bc8ec82224c3cc5f4c98ed211f53e8d26b53ebf995d9ed4c0e81bc7916f4060"], 0x32) 21:48:38 executing program 2: getpid() sched_setaffinity(0x0, 0xfffffffffffffedd, &(0x7f0000000240)) r0 = syz_open_dev$usbfs(&(0x7f0000000080), 0xb, 0x101301) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000200)) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000040)=@usbdevfs_connect) (fail_nth: 9) [ 1273.740666][T12088] hub 1-0:1.0: config failed, out of memory (err -12) 21:48:38 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) r1 = socket$kcm(0x2, 0xa, 0x2) sendmsg$kcm(r1, &(0x7f0000000080)={&(0x7f0000000000)=@ieee802154={0x24, @short={0x2, 0xffff, 0xfffe}}, 0x80, &(0x7f00000006c0)=[{&(0x7f00000002c0)="da99a3b184eb71789ad1096b29bf257ef7bc221d00bf49ac486a38a43d07c1b9a716b730d55f06f4afa6e365a23b877b490e16cfece988ac56e1449b22b97fc8c652c7cfd409877fae4bfba5d26e76ec2120dbf8145fa704ddf102553d5d4f81be8698d39007fb3524c699d674db59b65d5919ac7b87aeed2504aff5bf9dfd52a313e0e5e200", 0x86}, {&(0x7f0000000380)="739af1fc758c2eaa91bcb3c1d2d90424e567b973117c6411910bd33127acf8c4433655650288d6d68f856e89de0885a7cec84f90d9bb044f9f4be9f0f2f0be4626dfa37b53ab68756a851b5718355c368c2a3ea55aaee60d1c63325b9e15fef99661133456d078c6c63c1dd226b49a72f29cea7cd11e09b1605d29fc6541880f0c86f3c5494e5a2eb8", 0x89}, {&(0x7f0000000440)="6aeb7ec65aeb9883192142e1029e0004f8379eaefe98f219a2e80eccfec430a0969168415e6bfc90e8993cb0cc84e6dc4e2f1d0568f2b8f3fd91135e76644e6442398158e0942e33e6025ec24ccc992f1e6c09aa0eee546d452d5543948e3f2af4a0cf971ed306111cdc", 0x6a}, {&(0x7f00000004c0)="52a84d24c481d7621175f5e6fecba0585d5139480fc4137059cac4da36dd5e92af3c48df39575b6d8026db8ba7a1835100fa6a238dfea5694d804c5b587609c73bddb8cbe8dc8dc6741e07f738026169fd36754b889886fb0def0b337016bdfeb0605e2f39b8288fe36a9822a649f22aa119efeb4e0b67ebbae8bdebc495997f5cbd7404be0d0ce09f5cec879a0a8cd4ac99df3a25dbe6aee147dbe010dfca6e18326fb16b5428625e4959a3511bb8a7f6678ed6092ddd3b246f68898edb0fd62c2f6954ee84779e627c86239ffe26ab1205b2234021e4aa84a573aa846cac0228e839d5bbd3", 0xe6}, {&(0x7f00000005c0)="bded5964fa1ae56acd5978cbb574e3b22b5b2efed46cfac5649e30e950767a837641999726fcbab53f91d6d01b631cfe332e74aec51e6728325ac1c7bd100e152b393ac758fe398bc39bf0e4", 0x4c}, {&(0x7f0000000640)="c2bd842b0d65281b2590016659b2c53f3fc15ba612b5cf2374b76566b90ee13cbc34bb0449dc15414fdf37e78f110c21ec0a6597621aa879b2713337335d9d8f49567b1587b7dafefdd2419ce4124f9d4120ea45c19a251eeee69b7c8a65", 0x5e}], 0x6, &(0x7f0000001b00)=ANY=[@ANYBLOB="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"], 0x1388}, 0x0) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) (async, rerun: 32) write$tun(r0, &(0x7f00000001c0)=ANY=[@ANYBLOB="00000000babbbbbbbbbbdf2a1770bfa08100000008e94400001c0000000000329078ac1e0009ac1414aa000000000008907893e7d52babbe360a0d62a631fc511807cf05c1d608a6566499d361fa0d6c3ba80194ec89f98ea1a93cd3e93d0cc5ff2661ce4db54a7054650e52cfe7e52fd2ddc0512f941e677e57499bbf221895251e406fee97f26651aca40a4af7f556ed33f1ac584a410ad4071ea555b8675f465c195dea8c5a96a7c8b4e71068b81c6b558945db43d72083cc59563f5a7c4c9a0b1c84b5bd90aff669f22387a9b66dc520b9968056319758716dacc0020bc8ec82224c3cc5f4c98ed211f53e8d26b53ebf995d9ed4c0e81bc7916f4060"], 0x32) (rerun: 32) [ 1273.866836][T12108] hub 1-0:1.0: USB hub found 21:48:38 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) (async, rerun: 64) r2 = socket$nl_generic(0x10, 0x3, 0x10) (rerun: 64) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000680)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_JOIN_MESH(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000340)=ANY=[@ANYBLOB='(\x00\x00\x00', @ANYRES16=r1, @ANYBLOB="05000004000000000000440058fe2a06000008000300", @ANYRES32=r3, @ANYBLOB="0c0023800600020000000001000100000000008cb4d96f6a99064500fe5a3c8a98332de456f5c727fa5ea787dedd5acf2fd3a659967ce638855a8be4ea104e8b21eab1547353a738ac66d3496f501e4de8507be228f0875cf52a807f9c19a8456c62bec7b62df4a1bf23cf4ff5c1a1432e9e1ad86063863a62e8ec7cf973ad6649deb8f5980a331d000b5b5aa34c5bb0f1cb0e1cf9bc9358c9d2d3bbe9c552ddedd8ccc9aee241d9c92b016c962a0b35ede8a528a4738636ba82ef93cad99aac8e40312ab9014d7f29ae183a71bb3387bd714ff89a3bdcd763e3514d3132ff8a173fdd61ee203553f5b8"], 0x28}}, 0x0) 21:48:38 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xeb268421e962fe27, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f00000001c0)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@val={0x9100, 0x2, 0x1, 0x2}}, {@ipv4={0x800, @udp={{0x19, 0x4, 0x0, 0x0, 0x70, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local, {[@cipso={0x86, 0x4d, 0x3, [{0x0, 0x6, "77ae4364"}, {0x6, 0x3, 'q'}, {0x0, 0x10, "71af2605623981a7cb5db47a4587"}, {0x1, 0xc, "4417888d023e731b3e14"}, {0x1, 0xa, "f616696db2b05e6a"}, {0x6, 0x5, "9256a6"}, {0x2, 0x7, "21bcb9bea0"}, {0x2, 0x5, "0dc875"}, {0x0, 0x4, "fae2"}, {0x5, 0x3, ';'}]}]}}, {0x0, 0x4e21, 0xc, 0x0, @opaque="03000000"}}}}}}, 0x8a) openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) (async) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) socket$kcm(0x2, 0xeb268421e962fe27, 0x2) (async) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) (async) write$tun(r0, &(0x7f00000001c0)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@val={0x9100, 0x2, 0x1, 0x2}}, {@ipv4={0x800, @udp={{0x19, 0x4, 0x0, 0x0, 0x70, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local, {[@cipso={0x86, 0x4d, 0x3, [{0x0, 0x6, "77ae4364"}, {0x6, 0x3, 'q'}, {0x0, 0x10, "71af2605623981a7cb5db47a4587"}, {0x1, 0xc, "4417888d023e731b3e14"}, {0x1, 0xa, "f616696db2b05e6a"}, {0x6, 0x5, "9256a6"}, {0x2, 0x7, "21bcb9bea0"}, {0x2, 0x5, "0dc875"}, {0x0, 0x4, "fae2"}, {0x5, 0x3, ';'}]}]}}, {0x0, 0x4e21, 0xc, 0x0, @opaque="03000000"}}}}}}, 0x8a) (async) 21:48:38 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) sendmsg$kcm(r1, &(0x7f0000000080)={&(0x7f0000000000)=@ieee802154={0x24, @short={0x2, 0xffff, 0xfffe}}, 0x80, &(0x7f00000006c0)=[{&(0x7f00000002c0)="da99a3b184eb71789ad1096b29bf257ef7bc221d00bf49ac486a38a43d07c1b9a716b730d55f06f4afa6e365a23b877b490e16cfece988ac56e1449b22b97fc8c652c7cfd409877fae4bfba5d26e76ec2120dbf8145fa704ddf102553d5d4f81be8698d39007fb3524c699d674db59b65d5919ac7b87aeed2504aff5bf9dfd52a313e0e5e200", 0x86}, {&(0x7f0000000380)="739af1fc758c2eaa91bcb3c1d2d90424e567b973117c6411910bd33127acf8c4433655650288d6d68f856e89de0885a7cec84f90d9bb044f9f4be9f0f2f0be4626dfa37b53ab68756a851b5718355c368c2a3ea55aaee60d1c63325b9e15fef99661133456d078c6c63c1dd226b49a72f29cea7cd11e09b1605d29fc6541880f0c86f3c5494e5a2eb8", 0x89}, {&(0x7f0000000440)="6aeb7ec65aeb9883192142e1029e0004f8379eaefe98f219a2e80eccfec430a0969168415e6bfc90e8993cb0cc84e6dc4e2f1d0568f2b8f3fd91135e76644e6442398158e0942e33e6025ec24ccc992f1e6c09aa0eee546d452d5543948e3f2af4a0cf971ed306111cdc", 0x6a}, {&(0x7f00000004c0)="52a84d24c481d7621175f5e6fecba0585d5139480fc4137059cac4da36dd5e92af3c48df39575b6d8026db8ba7a1835100fa6a238dfea5694d804c5b587609c73bddb8cbe8dc8dc6741e07f738026169fd36754b889886fb0def0b337016bdfeb0605e2f39b8288fe36a9822a649f22aa119efeb4e0b67ebbae8bdebc495997f5cbd7404be0d0ce09f5cec879a0a8cd4ac99df3a25dbe6aee147dbe010dfca6e18326fb16b5428625e4959a3511bb8a7f6678ed6092ddd3b246f68898edb0fd62c2f6954ee84779e627c86239ffe26ab1205b2234021e4aa84a573aa846cac0228e839d5bbd3", 0xe6}, {&(0x7f00000005c0)="bded5964fa1ae56acd5978cbb574e3b22b5b2efed46cfac5649e30e950767a837641999726fcbab53f91d6d01b631cfe332e74aec51e6728325ac1c7bd100e152b393ac758fe398bc39bf0e4", 0x4c}, {&(0x7f0000000640)="c2bd842b0d65281b2590016659b2c53f3fc15ba612b5cf2374b76566b90ee13cbc34bb0449dc15414fdf37e78f110c21ec0a6597621aa879b2713337335d9d8f49567b1587b7dafefdd2419ce4124f9d4120ea45c19a251eeee69b7c8a65", 0x5e}], 0x6, &(0x7f0000001b00)=ANY=[@ANYBLOB="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"], 0x1388}, 0x0) (async) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f00000001c0)=ANY=[@ANYBLOB="00000000babbbbbbbbbbdf2a1770bfa08100000008e94400001c0000000000329078ac1e0009ac1414aa000000000008907893e7d52babbe360a0d62a631fc511807cf05c1d608a6566499d361fa0d6c3ba80194ec89f98ea1a93cd3e93d0cc5ff2661ce4db54a7054650e52cfe7e52fd2ddc0512f941e677e57499bbf221895251e406fee97f26651aca40a4af7f556ed33f1ac584a410ad4071ea555b8675f465c195dea8c5a96a7c8b4e71068b81c6b558945db43d72083cc59563f5a7c4c9a0b1c84b5bd90aff669f22387a9b66dc520b9968056319758716dacc0020bc8ec82224c3cc5f4c98ed211f53e8d26b53ebf995d9ed4c0e81bc7916f4060"], 0x32) 21:48:38 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x8906, @udp={{0x5, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) [ 1273.888014][T12108] FAULT_INJECTION: forcing a failure. [ 1273.888014][T12108] name failslab, interval 1, probability 0, space 0, times 0 [ 1273.943726][T12108] CPU: 1 PID: 12108 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1273.952443][T12108] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1273.962681][T12108] Call Trace: [ 1273.965966][T12108] [ 1273.968906][T12108] dump_stack_lvl+0x125/0x1b0 [ 1273.973619][T12108] should_fail_ex+0x496/0x5b0 [ 1273.978401][T12108] should_failslab+0x9/0x20 [ 1273.982922][T12108] __kmem_cache_alloc_node+0x2fd/0x350 [ 1273.988424][T12108] ? hub_probe+0xaef/0x3070 [ 1273.992943][T12108] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1273.998990][T12108] kmalloc_trace+0x25/0xe0 [ 1274.003447][T12108] hub_probe+0xaef/0x3070 [ 1274.007824][T12108] ? rcu_is_watching+0x12/0xb0 [ 1274.012682][T12108] ? lock_release+0x4bf/0x680 [ 1274.017463][T12108] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1274.023714][T12108] ? reacquire_held_locks+0x4b0/0x4b0 [ 1274.029101][T12108] ? trace_print_lat_context+0x5a0/0xa70 [ 1274.034739][T12108] ? read_tsc+0x9/0x20 [ 1274.038814][T12108] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1274.044381][T12108] ? rcu_is_watching+0x12/0xb0 [ 1274.049173][T12108] usb_probe_interface+0x307/0x930 [ 1274.054310][T12108] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1274.059696][T12108] really_probe+0x234/0xc90 [ 1274.064225][T12108] __driver_probe_device+0x1de/0x4b0 [ 1274.069613][T12108] driver_probe_device+0x4c/0x1a0 [ 1274.074650][T12108] __device_attach_driver+0x1d4/0x300 [ 1274.080035][T12108] ? driver_probe_device+0x1a0/0x1a0 [ 1274.085328][T12108] bus_for_each_drv+0x157/0x1d0 [ 1274.090200][T12108] ? bus_for_each_dev+0x1d0/0x1d0 [ 1274.095230][T12108] ? rcu_is_watching+0x12/0xb0 [ 1274.100015][T12108] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1274.106014][T12108] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1274.111838][T12108] __device_attach+0x1e8/0x4b0 [ 1274.116613][T12108] ? device_driver_attach+0x200/0x200 [ 1274.122086][T12108] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1274.127121][T12108] proc_ioctl+0x585/0x6a0 [ 1274.131595][T12108] usbdev_ioctl+0x1650/0x3ca0 [ 1274.136303][T12108] ? do_proc_control+0x1070/0x1070 [ 1274.141422][T12108] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1274.147375][T12108] ? do_vfs_ioctl+0x379/0x1920 [ 1274.152162][T12108] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1274.157303][T12108] ? reacquire_held_locks+0x4b0/0x4b0 [ 1274.162694][T12108] ? bit_wait_timeout+0x160/0x160 [ 1274.167728][T12108] ? __fget_files+0x279/0x410 [ 1274.172420][T12108] ? __fget_files+0x279/0x410 [ 1274.177129][T12108] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1274.182127][T12108] ? do_proc_control+0x1070/0x1070 [ 1274.187333][T12108] __x64_sys_ioctl+0x18f/0x210 [ 1274.192111][T12108] do_syscall_64+0x38/0xb0 [ 1274.196546][T12108] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1274.202724][T12108] RIP: 0033:0x7f08a827cae9 [ 1274.207206][T12108] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1274.226927][T12108] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1274.235361][T12108] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 [ 1274.243353][T12108] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1274.251352][T12108] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1274.259342][T12108] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000001 [ 1274.267326][T12108] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1274.275317][T12108] 21:48:38 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$SIOCSIFHWADDR(0xffffffffffffffff, 0x8924, &(0x7f0000000040)={'batadv0\x00', @remote}) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f0000000240)={'nr0\x00', 0x10}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @void, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x1c, 0x0, 0x0, 0x0, 0x32, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0xfffd, 0x0, 0x8}}}}}}, 0x2e) r2 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r3 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r3, r2, &(0x7f0000002080)=0x7a, 0x23b) r4 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, &(0x7f00000000c0)={'macvtap0\x00', 0x0}) r6 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_route(r6, &(0x7f0000000040)={0x0, 0x0, &(0x7f00000006c0)={&(0x7f0000000100)=@bridge_delneigh={0x28, 0x1d, 0xc07, 0x0, 0x0, {0x7, 0x0, 0x0, r5, 0x0, 0x2}, [@NDA_LLADDR={0xa, 0x2, @dev}]}, 0x28}}, 0x0) ioctl$TUNSETIFINDEX(r2, 0x400454da, &(0x7f0000000080)=r5) r7 = openat$cuse(0xffffffffffffff9c, &(0x7f00000001c0), 0x2, 0x0) ioctl$FS_IOC_FSSETXATTR(r7, 0x401c5820, &(0x7f0000000200)={0x7fffffff, 0x6, 0x8000, 0x1, 0xd9ea}) 21:48:38 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) r2 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r3 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r3, r2, &(0x7f0000002080)=0x7a, 0x23b) sendmsg$DEVLINK_CMD_RELOAD(r2, &(0x7f0000000100)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x100}, 0xc, &(0x7f00000000c0)={&(0x7f0000000200)={0xd0, 0x0, 0x100, 0x70bd2b, 0x25dfdbfc, {}, [{@pci={{0x8}, {0x11}}, @DEVLINK_ATTR_NETNS_FD={0x8}}, {@nsim={{0xe}, {0xf, 0x2, {'netdevsim', 0x0}}}, @DEVLINK_ATTR_NETNS_FD={0x8}}, {@pci={{0x8}, {0x11}}, @DEVLINK_ATTR_NETNS_FD={0x8}}, {@nsim={{0xe}, {0xf, 0x2, {'netdevsim', 0x0}}}, @DEVLINK_ATTR_NETNS_FD={0x8}}, {@pci={{0x8}, {0x11}}, @DEVLINK_ATTR_NETNS_ID={0x8}}]}, 0xd0}, 0x1, 0x0, 0x0, 0x5}, 0x4000) r4 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r4, 0x8933, &(0x7f0000000680)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_JOIN_MESH(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000000)={0x28, r1, 0x5, 0x0, 0x0, {{}, {@val={0x8, 0x3, r5}, @void}}, [@NL80211_ATTR_MESH_CONFIG={0xc, 0x23, 0x0, 0x1, [@NL80211_MESHCONF_CONFIRM_TIMEOUT={0x6}]}]}, 0x28}}, 0x0) [ 1274.298788][T12108] hub 1-0:1.0: config failed, out of memory (err -12) 21:48:38 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) r2 = openat$vcs(0xffffffffffffff9c, &(0x7f0000000000), 0x800, 0x0) ioctl$SIOCSIFHWADDR(r2, 0x8924, &(0x7f0000000080)={'virt_wifi0\x00'}) write$tun(r0, &(0x7f0000000040)={@void, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x40, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="03000000"}}}}}}, 0x32) 21:48:38 executing program 2: getpid() sched_setaffinity(0x0, 0xfffffffffffffedd, &(0x7f0000000240)) r0 = syz_open_dev$usbfs(&(0x7f0000000080), 0xb, 0x101301) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000200)) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000040)=@usbdevfs_connect) (fail_nth: 10) 21:48:38 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x892f, @udp={{0x5, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) [ 1274.482839][T12134] hub 1-0:1.0: USB hub found 21:48:38 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) r2 = openat$vcs(0xffffffffffffff9c, &(0x7f0000000000), 0x800, 0x0) ioctl$SIOCSIFHWADDR(r2, 0x8924, &(0x7f0000000080)={'virt_wifi0\x00'}) write$tun(r0, &(0x7f0000000040)={@void, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x40, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="03000000"}}}}}}, 0x32) [ 1274.513844][T12134] FAULT_INJECTION: forcing a failure. [ 1274.513844][T12134] name failslab, interval 1, probability 0, space 0, times 0 [ 1274.557080][T12134] CPU: 0 PID: 12134 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1274.565898][T12134] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1274.576265][T12134] Call Trace: [ 1274.579549][T12134] [ 1274.582155][T12130] syz-executor.1 (12130): drop_caches: 3 [ 1274.582480][T12134] dump_stack_lvl+0x125/0x1b0 [ 1274.582508][T12134] should_fail_ex+0x496/0x5b0 [ 1274.597517][T12134] should_failslab+0x9/0x20 [ 1274.598257][T12128] syz-executor.4 (12128): drop_caches: 3 [ 1274.602029][T12134] __kmem_cache_alloc_node+0x2fd/0x350 [ 1274.602066][T12134] ? hub_probe+0xb50/0x3070 [ 1274.617699][T12134] kmalloc_trace+0x25/0xe0 [ 1274.622178][T12134] hub_probe+0xb50/0x3070 [ 1274.626562][T12134] ? lock_release+0x4bf/0x680 [ 1274.631272][T12134] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1274.637567][T12134] ? reacquire_held_locks+0x4b0/0x4b0 [ 1274.642965][T12134] ? trace_print_lat_context+0x5a0/0xa70 [ 1274.648620][T12134] ? read_tsc+0x9/0x20 [ 1274.652700][T12134] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1274.658264][T12134] ? rcu_is_watching+0x12/0xb0 [ 1274.663053][T12134] usb_probe_interface+0x307/0x930 [ 1274.668191][T12134] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1274.673584][T12134] really_probe+0x234/0xc90 [ 1274.678113][T12134] __driver_probe_device+0x1de/0x4b0 [ 1274.683418][T12134] driver_probe_device+0x4c/0x1a0 [ 1274.688474][T12134] __device_attach_driver+0x1d4/0x300 [ 1274.693868][T12134] ? driver_probe_device+0x1a0/0x1a0 [ 1274.699178][T12134] bus_for_each_drv+0x157/0x1d0 [ 1274.704041][T12134] ? bus_for_each_dev+0x1d0/0x1d0 [ 1274.709090][T12134] ? rcu_is_watching+0x12/0xb0 [ 1274.713866][T12134] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1274.719877][T12134] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1274.725708][T12134] __device_attach+0x1e8/0x4b0 [ 1274.730500][T12134] ? device_driver_attach+0x200/0x200 [ 1274.735896][T12134] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1274.740854][T12134] proc_ioctl+0x585/0x6a0 [ 1274.745211][T12134] usbdev_ioctl+0x1650/0x3ca0 [ 1274.749918][T12134] ? do_proc_control+0x1070/0x1070 [ 1274.755085][T12134] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1274.761017][T12134] ? do_vfs_ioctl+0x379/0x1920 [ 1274.765879][T12134] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1274.770916][T12134] ? reacquire_held_locks+0x4b0/0x4b0 [ 1274.776393][T12134] ? bit_wait_timeout+0x160/0x160 [ 1274.781437][T12134] ? __fget_files+0x279/0x410 [ 1274.786130][T12134] ? __fget_files+0x279/0x410 [ 1274.790818][T12134] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1274.795888][T12134] ? do_proc_control+0x1070/0x1070 [ 1274.801106][T12134] __x64_sys_ioctl+0x18f/0x210 [ 1274.805974][T12134] do_syscall_64+0x38/0xb0 [ 1274.810403][T12134] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1274.816311][T12134] RIP: 0033:0x7f08a827cae9 [ 1274.820753][T12134] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1274.840578][T12134] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1274.849023][T12134] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 [ 1274.857114][T12134] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1274.865118][T12134] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1274.873122][T12134] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 [ 1274.882036][T12134] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1274.890132][T12134] [ 1274.909230][T12134] hub 1-0:1.0: config failed, out of memory (err -12) 21:48:39 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) (async) r2 = openat$vcs(0xffffffffffffff9c, &(0x7f0000000000), 0x800, 0x0) ioctl$SIOCSIFHWADDR(r2, 0x8924, &(0x7f0000000080)={'virt_wifi0\x00'}) write$tun(r0, &(0x7f0000000040)={@void, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x40, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="03000000"}}}}}}, 0x32) 21:48:39 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x2, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) 21:48:39 executing program 2: getpid() sched_setaffinity(0x0, 0xfffffffffffffedd, &(0x7f0000000240)) r0 = syz_open_dev$usbfs(&(0x7f0000000080), 0xb, 0x101301) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000200)) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000040)=@usbdevfs_connect) (fail_nth: 11) [ 1274.981927][T12128] syz-executor.4 (12128): drop_caches: 3 [ 1275.041710][T12130] syz-executor.1 (12130): drop_caches: 3 21:48:39 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000040)=ANY=[@ANYBLOB="00000000bbbbbbbbbbbbdf2a177026a86322d9bf18be4697bfa0810000000800450000200000000000119078ac1e0001ac1414aa00000021000c907803000000"], 0x36) 21:48:39 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) (async) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) r2 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async) r3 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r3, r2, &(0x7f0000002080)=0x7a, 0x23b) (async) sendmsg$DEVLINK_CMD_RELOAD(r2, &(0x7f0000000100)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x100}, 0xc, &(0x7f00000000c0)={&(0x7f0000000200)={0xd0, 0x0, 0x100, 0x70bd2b, 0x25dfdbfc, {}, [{@pci={{0x8}, {0x11}}, @DEVLINK_ATTR_NETNS_FD={0x8}}, {@nsim={{0xe}, {0xf, 0x2, {'netdevsim', 0x0}}}, @DEVLINK_ATTR_NETNS_FD={0x8}}, {@pci={{0x8}, {0x11}}, @DEVLINK_ATTR_NETNS_FD={0x8}}, {@nsim={{0xe}, {0xf, 0x2, {'netdevsim', 0x0}}}, @DEVLINK_ATTR_NETNS_FD={0x8}}, {@pci={{0x8}, {0x11}}, @DEVLINK_ATTR_NETNS_ID={0x8}}]}, 0xd0}, 0x1, 0x0, 0x0, 0x5}, 0x4000) r4 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r4, 0x8933, &(0x7f0000000680)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_JOIN_MESH(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000000)={0x28, r1, 0x5, 0x0, 0x0, {{}, {@val={0x8, 0x3, r5}, @void}}, [@NL80211_ATTR_MESH_CONFIG={0xc, 0x23, 0x0, 0x1, [@NL80211_MESHCONF_CONFIRM_TIMEOUT={0x6}]}]}, 0x28}}, 0x0) 21:48:39 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) (async) ioctl$SIOCSIFHWADDR(0xffffffffffffffff, 0x8924, &(0x7f0000000040)={'batadv0\x00', @remote}) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f0000000240)={'nr0\x00', 0x10}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) (async, rerun: 32) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @void, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x1c, 0x0, 0x0, 0x0, 0x32, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0xfffd, 0x0, 0x8}}}}}}, 0x2e) (rerun: 32) r2 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async) r3 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r3, r2, &(0x7f0000002080)=0x7a, 0x23b) (async) r4 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, &(0x7f00000000c0)={'macvtap0\x00', 0x0}) r6 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_route(r6, &(0x7f0000000040)={0x0, 0x0, &(0x7f00000006c0)={&(0x7f0000000100)=@bridge_delneigh={0x28, 0x1d, 0xc07, 0x0, 0x0, {0x7, 0x0, 0x0, r5, 0x0, 0x2}, [@NDA_LLADDR={0xa, 0x2, @dev}]}, 0x28}}, 0x0) (async) ioctl$TUNSETIFINDEX(r2, 0x400454da, &(0x7f0000000080)=r5) r7 = openat$cuse(0xffffffffffffff9c, &(0x7f00000001c0), 0x2, 0x0) ioctl$FS_IOC_FSSETXATTR(r7, 0x401c5820, &(0x7f0000000200)={0x7fffffff, 0x6, 0x8000, 0x1, 0xd9ea}) [ 1275.153865][T12150] hub 1-0:1.0: USB hub found 21:48:39 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x3, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) 21:48:39 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) socket$kcm(0x2, 0xa, 0x2) (async) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000040)=ANY=[@ANYBLOB="00000000bbbbbbbbbbbbdf2a177026a86322d9bf18be4697bfa0810000000800450000200000000000119078ac1e0001ac1414aa00000021000c907803000000"], 0x36) [ 1275.187847][T12150] FAULT_INJECTION: forcing a failure. [ 1275.187847][T12150] name failslab, interval 1, probability 0, space 0, times 0 [ 1275.250087][T12150] CPU: 1 PID: 12150 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1275.258850][T12150] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1275.268999][T12150] Call Trace: [ 1275.272369][T12150] [ 1275.275320][T12150] dump_stack_lvl+0x125/0x1b0 [ 1275.280013][T12150] should_fail_ex+0x496/0x5b0 [ 1275.284715][T12150] should_failslab+0x9/0x20 [ 1275.289233][T12150] __kmem_cache_alloc_node+0x2fd/0x350 [ 1275.294711][T12150] ? usb_control_msg+0xbd/0x4a0 [ 1275.299591][T12150] kmalloc_trace+0x25/0xe0 [ 1275.304117][T12150] usb_control_msg+0xbd/0x4a0 [ 1275.308816][T12150] ? usb_start_wait_urb+0x4c0/0x4c0 [ 1275.311360][T12157] syz-executor.4 (12157): drop_caches: 3 [ 1275.314023][T12150] hub_probe+0xcf4/0x3070 [ 1275.314056][T12150] ? lock_release+0x4bf/0x680 [ 1275.328671][T12150] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1275.334930][T12150] ? reacquire_held_locks+0x4b0/0x4b0 [ 1275.340324][T12150] ? trace_print_lat_context+0x5a0/0xa70 [ 1275.346109][T12150] ? read_tsc+0x9/0x20 [ 1275.350190][T12150] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1275.355781][T12150] ? rcu_is_watching+0x12/0xb0 [ 1275.360643][T12150] usb_probe_interface+0x307/0x930 [ 1275.365773][T12150] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1275.371244][T12150] really_probe+0x234/0xc90 [ 1275.375764][T12150] __driver_probe_device+0x1de/0x4b0 [ 1275.381072][T12150] driver_probe_device+0x4c/0x1a0 [ 1275.386185][T12150] __device_attach_driver+0x1d4/0x300 [ 1275.391716][T12150] ? driver_probe_device+0x1a0/0x1a0 [ 1275.397012][T12150] bus_for_each_drv+0x157/0x1d0 [ 1275.401897][T12150] ? bus_for_each_dev+0x1d0/0x1d0 [ 1275.407036][T12150] ? rcu_is_watching+0x12/0xb0 [ 1275.411814][T12150] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1275.417812][T12150] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1275.419281][T12156] syz-executor.1 (12156): drop_caches: 3 [ 1275.423646][T12150] __device_attach+0x1e8/0x4b0 [ 1275.423677][T12150] ? device_driver_attach+0x200/0x200 [ 1275.439475][T12150] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1275.444440][T12150] proc_ioctl+0x585/0x6a0 [ 1275.448790][T12150] usbdev_ioctl+0x1650/0x3ca0 [ 1275.453503][T12150] ? do_proc_control+0x1070/0x1070 [ 1275.458631][T12150] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1275.464544][T12150] ? do_vfs_ioctl+0x379/0x1920 [ 1275.469322][T12150] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1275.474374][T12150] ? reacquire_held_locks+0x4b0/0x4b0 [ 1275.479776][T12150] ? bit_wait_timeout+0x160/0x160 [ 1275.484835][T12150] ? __fget_files+0x279/0x410 [ 1275.489530][T12150] ? __fget_files+0x279/0x410 [ 1275.494215][T12150] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1275.499180][T12150] ? do_proc_control+0x1070/0x1070 [ 1275.504313][T12150] __x64_sys_ioctl+0x18f/0x210 [ 1275.509093][T12150] do_syscall_64+0x38/0xb0 [ 1275.513517][T12150] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1275.519422][T12150] RIP: 0033:0x7f08a827cae9 [ 1275.523866][T12150] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1275.543493][T12150] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1275.552003][T12150] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 [ 1275.559984][T12150] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1275.567977][T12150] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1275.575961][T12150] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 [ 1275.583940][T12150] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1275.591924][T12150] [ 1275.598650][T12150] hub 1-0:1.0: 1 port detected 21:48:39 executing program 2: getpid() sched_setaffinity(0x0, 0xfffffffffffffedd, &(0x7f0000000240)) r0 = syz_open_dev$usbfs(&(0x7f0000000080), 0xb, 0x101301) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000200)) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000040)=@usbdevfs_connect) (fail_nth: 12) 21:48:39 executing program 1: openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) (async) r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$SIOCSIFHWADDR(0xffffffffffffffff, 0x8924, &(0x7f0000000040)={'batadv0\x00', @remote}) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f0000000240)={'nr0\x00', 0x10}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @void, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x1c, 0x0, 0x0, 0x0, 0x32, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0xfffd, 0x0, 0x8}}}}}}, 0x2e) openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async) r2 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r3 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r3, r2, &(0x7f0000002080)=0x7a, 0x23b) r4 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, &(0x7f00000000c0)={'macvtap0\x00'}) (async) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, &(0x7f00000000c0)={'macvtap0\x00', 0x0}) r6 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_route(r6, &(0x7f0000000040)={0x0, 0x0, &(0x7f00000006c0)={&(0x7f0000000100)=@bridge_delneigh={0x28, 0x1d, 0xc07, 0x0, 0x0, {0x7, 0x0, 0x0, r5, 0x0, 0x2}, [@NDA_LLADDR={0xa, 0x2, @dev}]}, 0x28}}, 0x0) ioctl$TUNSETIFINDEX(r2, 0x400454da, &(0x7f0000000080)=r5) r7 = openat$cuse(0xffffffffffffff9c, &(0x7f00000001c0), 0x2, 0x0) ioctl$FS_IOC_FSSETXATTR(r7, 0x401c5820, &(0x7f0000000200)={0x7fffffff, 0x6, 0x8000, 0x1, 0xd9ea}) (async) ioctl$FS_IOC_FSSETXATTR(r7, 0x401c5820, &(0x7f0000000200)={0x7fffffff, 0x6, 0x8000, 0x1, 0xd9ea}) [ 1275.702233][T12157] syz-executor.4 (12157): drop_caches: 3 [ 1275.744363][T12171] hub 1-0:1.0: USB hub found 21:48:40 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) (async) write$tun(r0, &(0x7f0000000040)=ANY=[@ANYBLOB="00000000bbbbbbbbbbbbdf2a177026a86322d9bf18be4697bfa0810000000800450000200000000000119078ac1e0001ac1414aa00000021000c907803000000"], 0x36) 21:48:40 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x4, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) [ 1275.773030][T12171] FAULT_INJECTION: forcing a failure. [ 1275.773030][T12171] name failslab, interval 1, probability 0, space 0, times 0 [ 1275.832445][T12171] CPU: 0 PID: 12171 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1275.841166][T12171] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1275.851231][T12171] Call Trace: [ 1275.854521][T12171] [ 1275.857470][T12171] dump_stack_lvl+0x125/0x1b0 [ 1275.862158][T12171] should_fail_ex+0x496/0x5b0 [ 1275.866856][T12171] should_failslab+0x9/0x20 [ 1275.871371][T12171] __kmem_cache_alloc_node+0x2fd/0x350 [ 1275.876866][T12171] ? usb_control_msg+0xbd/0x4a0 [ 1275.881745][T12171] kmalloc_trace+0x25/0xe0 [ 1275.886186][T12171] usb_control_msg+0xbd/0x4a0 [ 1275.890883][T12171] ? usb_start_wait_urb+0x4c0/0x4c0 [ 1275.896102][T12171] hub_probe+0xcf4/0x3070 [ 1275.900448][T12171] ? lock_release+0x4bf/0x680 [ 1275.905138][T12171] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1275.911394][T12171] ? reacquire_held_locks+0x4b0/0x4b0 [ 1275.916782][T12171] ? trace_print_lat_context+0x5a0/0xa70 [ 1275.922514][T12171] ? read_tsc+0x9/0x20 [ 1275.926684][T12171] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1275.932259][T12171] ? rcu_is_watching+0x12/0xb0 [ 1275.937030][T12171] usb_probe_interface+0x307/0x930 [ 1275.942148][T12171] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1275.947526][T12171] really_probe+0x234/0xc90 [ 1275.952040][T12171] __driver_probe_device+0x1de/0x4b0 [ 1275.957357][T12171] driver_probe_device+0x4c/0x1a0 [ 1275.962406][T12171] __device_attach_driver+0x1d4/0x300 [ 1275.967821][T12171] ? driver_probe_device+0x1a0/0x1a0 [ 1275.973123][T12171] bus_for_each_drv+0x157/0x1d0 [ 1275.978109][T12171] ? bus_for_each_dev+0x1d0/0x1d0 [ 1275.983215][T12171] ? rcu_is_watching+0x12/0xb0 [ 1275.987973][T12171] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1275.993976][T12171] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1275.999801][T12171] __device_attach+0x1e8/0x4b0 [ 1276.004600][T12171] ? device_driver_attach+0x200/0x200 [ 1276.009989][T12171] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1276.014932][T12171] proc_ioctl+0x585/0x6a0 [ 1276.019273][T12171] usbdev_ioctl+0x1650/0x3ca0 [ 1276.023956][T12171] ? do_proc_control+0x1070/0x1070 [ 1276.029175][T12171] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1276.035114][T12171] ? do_vfs_ioctl+0x379/0x1920 [ 1276.039877][T12171] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1276.044896][T12171] ? reacquire_held_locks+0x4b0/0x4b0 [ 1276.050299][T12171] ? bit_wait_timeout+0x160/0x160 [ 1276.055321][T12171] ? __fget_files+0x279/0x410 [ 1276.059996][T12171] ? __fget_files+0x279/0x410 [ 1276.064669][T12171] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1276.069608][T12171] ? do_proc_control+0x1070/0x1070 [ 1276.074744][T12171] __x64_sys_ioctl+0x18f/0x210 [ 1276.079518][T12171] do_syscall_64+0x38/0xb0 [ 1276.083957][T12171] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1276.090068][T12171] RIP: 0033:0x7f08a827cae9 [ 1276.094519][T12171] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1276.114124][T12171] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1276.122529][T12171] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 [ 1276.130546][T12171] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1276.138520][T12171] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1276.146492][T12171] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 [ 1276.154477][T12171] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1276.162548][T12171] 21:48:40 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x1}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f00000001c0)=ANY=[@ANYBLOB="00000000bbbbbb46bbbbbbdf2a1770bfa0810000000800450000200000000000119078ac1e0001ac1414aa00004e21000c907803000000cd52fcb589779d0705791a190f2ee4ee8f53e4f81834a020e3302f4f8873dad4b78726c0e0abfc321fc727ebfdcc973751166c72884f4bc9311b00d99905ba21e0d5072708ef24deee3f012ca28323aedc190248d88bdc6cee64699e9447f7347f04571e9513f82d4a82be19a5d4f72d6a1d862fb15c01ac16295c9c33ab4e02f606805d010d56725c4b764cb4ea97a0bcd5ea4c40b7e835805195c5815c"], 0x36) [ 1276.188051][T12171] hub 1-0:1.0: 1 port detected [ 1276.198678][T12174] syz-executor.1 (12174): drop_caches: 3 21:48:40 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x1}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f00000001c0)=ANY=[@ANYBLOB="00000000bbbbbb46bbbbbbdf2a1770bfa0810000000800450000200000000000119078ac1e0001ac1414aa00004e21000c907803000000cd52fcb589779d0705791a190f2ee4ee8f53e4f81834a020e3302f4f8873dad4b78726c0e0abfc321fc727ebfdcc973751166c72884f4bc9311b00d99905ba21e0d5072708ef24deee3f012ca28323aedc190248d88bdc6cee64699e9447f7347f04571e9513f82d4a82be19a5d4f72d6a1d862fb15c01ac16295c9c33ab4e02f606805d010d56725c4b764cb4ea97a0bcd5ea4c40b7e835805195c5815c"], 0x36) (async) write$tun(r0, &(0x7f00000001c0)=ANY=[@ANYBLOB="00000000bbbbbb46bbbbbbdf2a1770bfa0810000000800450000200000000000119078ac1e0001ac1414aa00004e21000c907803000000cd52fcb589779d0705791a190f2ee4ee8f53e4f81834a020e3302f4f8873dad4b78726c0e0abfc321fc727ebfdcc973751166c72884f4bc9311b00d99905ba21e0d5072708ef24deee3f012ca28323aedc190248d88bdc6cee64699e9447f7347f04571e9513f82d4a82be19a5d4f72d6a1d862fb15c01ac16295c9c33ab4e02f606805d010d56725c4b764cb4ea97a0bcd5ea4c40b7e835805195c5815c"], 0x36) 21:48:40 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) (async) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) r2 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async) r3 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r3, r2, &(0x7f0000002080)=0x7a, 0x23b) (async) sendmsg$DEVLINK_CMD_RELOAD(r2, &(0x7f0000000100)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x100}, 0xc, &(0x7f00000000c0)={&(0x7f0000000200)={0xd0, 0x0, 0x100, 0x70bd2b, 0x25dfdbfc, {}, [{@pci={{0x8}, {0x11}}, @DEVLINK_ATTR_NETNS_FD={0x8}}, {@nsim={{0xe}, {0xf, 0x2, {'netdevsim', 0x0}}}, @DEVLINK_ATTR_NETNS_FD={0x8}}, {@pci={{0x8}, {0x11}}, @DEVLINK_ATTR_NETNS_FD={0x8}}, {@nsim={{0xe}, {0xf, 0x2, {'netdevsim', 0x0}}}, @DEVLINK_ATTR_NETNS_FD={0x8}}, {@pci={{0x8}, {0x11}}, @DEVLINK_ATTR_NETNS_ID={0x8}}]}, 0xd0}, 0x1, 0x0, 0x0, 0x5}, 0x4000) r4 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r4, 0x8933, &(0x7f0000000680)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_JOIN_MESH(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000000)={0x28, r1, 0x5, 0x0, 0x0, {{}, {@val={0x8, 0x3, r5}, @void}}, [@NL80211_ATTR_MESH_CONFIG={0xc, 0x23, 0x0, 0x1, [@NL80211_MESHCONF_CONFIRM_TIMEOUT={0x6}]}]}, 0x28}}, 0x0) 21:48:40 executing program 2: getpid() sched_setaffinity(0x0, 0xfffffffffffffedd, &(0x7f0000000240)) r0 = syz_open_dev$usbfs(&(0x7f0000000080), 0xb, 0x101301) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000200)) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000040)=@usbdevfs_connect) (fail_nth: 13) [ 1276.234510][T12174] syz-executor.1 (12174): drop_caches: 3 21:48:40 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000040)={'vxcan1\x00', @random}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x1c, 0x0, 0x0, 0x0, 0x32, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x0, 0x8}}}}}}, 0x32) r2 = openat$vcsa(0xffffffffffffff9c, &(0x7f0000000080), 0x28000, 0x0) ioctl$sock_kcm_SIOCKCMATTACH(r2, 0x89e0, &(0x7f0000000100)={r1, r1}) 21:48:40 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x6, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) 21:48:40 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x1}) (async) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f00000001c0)=ANY=[@ANYBLOB="00000000bbbbbb46bbbbbbdf2a1770bfa0810000000800450000200000000000119078ac1e0001ac1414aa00004e21000c907803000000cd52fcb589779d0705791a190f2ee4ee8f53e4f81834a020e3302f4f8873dad4b78726c0e0abfc321fc727ebfdcc973751166c72884f4bc9311b00d99905ba21e0d5072708ef24deee3f012ca28323aedc190248d88bdc6cee64699e9447f7347f04571e9513f82d4a82be19a5d4f72d6a1d862fb15c01ac16295c9c33ab4e02f606805d010d56725c4b764cb4ea97a0bcd5ea4c40b7e835805195c5815c"], 0x36) 21:48:40 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000040)={'vxcan1\x00', @random}) (async) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x1c, 0x0, 0x0, 0x0, 0x32, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x0, 0x8}}}}}}, 0x32) (async) r2 = openat$vcsa(0xffffffffffffff9c, &(0x7f0000000080), 0x28000, 0x0) ioctl$sock_kcm_SIOCKCMATTACH(r2, 0x89e0, &(0x7f0000000100)={r1, r1}) [ 1276.375893][T12193] hub 1-0:1.0: USB hub found [ 1276.393057][T12193] FAULT_INJECTION: forcing a failure. [ 1276.393057][T12193] name failslab, interval 1, probability 0, space 0, times 0 [ 1276.443890][T12193] CPU: 0 PID: 12193 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1276.452786][T12193] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1276.462863][T12193] Call Trace: [ 1276.466152][T12193] [ 1276.469091][T12193] dump_stack_lvl+0x125/0x1b0 [ 1276.473778][T12193] should_fail_ex+0x496/0x5b0 [ 1276.478511][T12193] should_failslab+0x9/0x20 [ 1276.483195][T12193] __kmem_cache_alloc_node+0x2fd/0x350 [ 1276.488675][T12193] ? usb_alloc_urb+0x69/0xa0 [ 1276.493304][T12193] ? usb_alloc_urb+0x69/0xa0 [ 1276.497951][T12193] __kmalloc+0x4f/0x100 [ 1276.502157][T12193] usb_alloc_urb+0x69/0xa0 [ 1276.506687][T12193] usb_control_msg+0x1d4/0x4a0 [ 1276.511519][T12193] ? usb_start_wait_urb+0x4c0/0x4c0 [ 1276.515501][T12185] syz-executor.4 (12185): drop_caches: 3 [ 1276.516733][T12193] hub_probe+0xcf4/0x3070 [ 1276.516766][T12193] ? lock_release+0x4bf/0x680 [ 1276.531458][T12193] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1276.537719][T12193] ? reacquire_held_locks+0x4b0/0x4b0 [ 1276.543122][T12193] ? trace_print_lat_context+0x5a0/0xa70 [ 1276.548775][T12193] ? read_tsc+0x9/0x20 [ 1276.552881][T12193] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1276.558547][T12193] ? rcu_is_watching+0x12/0xb0 [ 1276.563328][T12193] usb_probe_interface+0x307/0x930 [ 1276.568462][T12193] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1276.573854][T12193] really_probe+0x234/0xc90 [ 1276.578503][T12193] __driver_probe_device+0x1de/0x4b0 [ 1276.583828][T12193] driver_probe_device+0x4c/0x1a0 [ 1276.588869][T12193] __device_attach_driver+0x1d4/0x300 [ 1276.594260][T12193] ? driver_probe_device+0x1a0/0x1a0 [ 1276.599563][T12193] bus_for_each_drv+0x157/0x1d0 [ 1276.604439][T12193] ? bus_for_each_dev+0x1d0/0x1d0 [ 1276.609516][T12193] ? rcu_is_watching+0x12/0xb0 [ 1276.614293][T12193] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1276.620295][T12193] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1276.626140][T12193] __device_attach+0x1e8/0x4b0 [ 1276.630928][T12193] ? device_driver_attach+0x200/0x200 [ 1276.636325][T12193] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1276.641283][T12193] proc_ioctl+0x585/0x6a0 [ 1276.645726][T12193] usbdev_ioctl+0x1650/0x3ca0 [ 1276.650429][T12193] ? do_proc_control+0x1070/0x1070 [ 1276.655563][T12193] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1276.661493][T12193] ? do_vfs_ioctl+0x379/0x1920 [ 1276.666279][T12193] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1276.671321][T12193] ? reacquire_held_locks+0x4b0/0x4b0 [ 1276.676721][T12193] ? bit_wait_timeout+0x160/0x160 [ 1276.681781][T12193] ? __fget_files+0x279/0x410 [ 1276.686484][T12193] ? __fget_files+0x279/0x410 [ 1276.691183][T12193] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1276.696156][T12193] ? do_proc_control+0x1070/0x1070 [ 1276.701295][T12193] __x64_sys_ioctl+0x18f/0x210 [ 1276.706081][T12193] do_syscall_64+0x38/0xb0 [ 1276.710528][T12193] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1276.716447][T12193] RIP: 0033:0x7f08a827cae9 [ 1276.720882][T12193] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1276.740550][T12193] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1276.748974][T12193] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 [ 1276.756957][T12193] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1276.764951][T12193] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1276.772932][T12193] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 [ 1276.780923][T12193] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1276.788908][T12193] 21:48:41 executing program 3: r0 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX(r0, 0x8933, &(0x7f00000000c0)={'macvtap0\x00', 0x0}) r2 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_route(r2, &(0x7f0000000040)={0x0, 0x0, &(0x7f00000006c0)={&(0x7f0000000100)=@bridge_delneigh={0x28, 0x1d, 0xc07, 0x0, 0x0, {0x7, 0x0, 0x0, r1, 0x0, 0x2}, [@NDA_LLADDR={0xa, 0x2, @dev}]}, 0x28}}, 0x0) r3 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r4 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r4, r3, &(0x7f0000002080)=0x7a, 0x23b) r5 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r6 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r6, r5, &(0x7f0000002080)=0x7a, 0x23b) bpf$BPF_PROG_ATTACH(0x8, &(0x7f0000000380)={@ifindex=r1, 0xffffffffffffffff, 0x2b, 0x10, r3, @prog_fd=r5}, 0x20) r7 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r7, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r8 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r8, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) ioctl$AUTOFS_DEV_IOCTL_VERSION(0xffffffffffffffff, 0xc0189371, &(0x7f0000000040)={{0x1, 0x1, 0x18, r7}, './file0\x00'}) recvmsg$kcm(r9, &(0x7f0000000340)={&(0x7f00000001c0)=@in6={0xa, 0x0, 0x0, @dev}, 0x80, &(0x7f0000000240)=[{&(0x7f0000000080)=""/4, 0x4}, {&(0x7f0000000100)=""/49, 0x31}], 0x2, &(0x7f0000000280)=""/179, 0xb3}, 0x1) write$tun(r7, &(0x7f00000003c0)=ANY=[@ANYBLOB="00000000bbbbbbbbbbbbdf2a1770bfa081000000080045000020000000000011900600000000000000aa00004e21000c9078030000005df9d23c08ef44e0b2d729ded42467e14e6b9df34ba76f46ea22bb"], 0x36) 21:48:41 executing program 2: getpid() sched_setaffinity(0x0, 0xfffffffffffffedd, &(0x7f0000000240)) r0 = syz_open_dev$usbfs(&(0x7f0000000080), 0xb, 0x101301) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000200)) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000040)=@usbdevfs_connect) (fail_nth: 14) [ 1276.813516][T12193] hub 1-0:1.0: 1 port detected 21:48:41 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000040)={'vxcan1\x00', @random}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x1c, 0x0, 0x0, 0x0, 0x32, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x0, 0x8}}}}}}, 0x32) r2 = openat$vcsa(0xffffffffffffff9c, &(0x7f0000000080), 0x28000, 0x0) ioctl$sock_kcm_SIOCKCMATTACH(r2, 0x89e0, &(0x7f0000000100)={r1, r1}) 21:48:41 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x7, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) 21:48:41 executing program 1: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r1 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r1, r0, &(0x7f0000002080)=0x7a, 0x23b) connect$tipc(r0, &(0x7f0000000040)=@id={0x1e, 0x3, 0x0, {0x4e21, 0x1}}, 0x10) r2 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r2, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r3 = socket$kcm(0x2, 0x2, 0x2) ioctl$SIOCSIFHWADDR(r3, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r2, &(0x7f0000000000)=ANY=[@ANYBLOB="00080000bbbbbbbbbbbbdf2a0700bfa08100000008004500001c000105cf2817845500ccbe0000011a78ac130078af8225ebd7d3b4fb3f8210397611fc"], 0x32) 21:48:41 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) r2 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000680)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_JOIN_MESH(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000080)={0x48, r1, 0x2, 0x0, 0x0, {{}, {@val={0x8, 0x3, r3}, @void}}, [@NL80211_ATTR_MESH_CONFIG={0xc, 0x23, 0x0, 0x1, [@NL80211_MESHCONF_CONFIRM_TIMEOUT={0x6}]}, @chandef_params=[@NL80211_ATTR_WIPHY_EDMG_CHANNELS={0x5, 0x118, 0x33}, @NL80211_ATTR_WIPHY_FREQ_OFFSET={0x8, 0x122, 0x23c}, @NL80211_ATTR_WIPHY_FREQ_OFFSET={0x8, 0x122, 0x16f}, @NL80211_ATTR_WIPHY_EDMG_CHANNELS={0x5, 0x118, 0x29}]]}, 0x48}}, 0x0) [ 1276.943893][T12207] hub 1-0:1.0: USB hub found [ 1276.944234][T12185] syz-executor.4 (12185): drop_caches: 3 [ 1276.964265][T12207] FAULT_INJECTION: forcing a failure. [ 1276.964265][T12207] name failslab, interval 1, probability 0, space 0, times 0 [ 1277.015316][T12207] CPU: 1 PID: 12207 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1277.024033][T12207] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1277.034090][T12207] Call Trace: [ 1277.037370][T12207] [ 1277.040302][T12207] dump_stack_lvl+0x125/0x1b0 [ 1277.044991][T12207] should_fail_ex+0x496/0x5b0 [ 1277.049699][T12207] should_failslab+0x9/0x20 [ 1277.054298][T12207] __kmem_cache_alloc_node+0x2fd/0x350 [ 1277.058791][T12205] syz-executor.3 (12205): drop_caches: 3 [ 1277.059796][T12207] ? usb_hcd_submit_urb+0x6af/0x2100 [ 1277.059828][T12207] ? usb_hcd_submit_urb+0x6af/0x2100 [ 1277.075997][T12207] __kmalloc+0x4f/0x100 [ 1277.080159][T12207] usb_hcd_submit_urb+0x6af/0x2100 [ 1277.085334][T12207] ? usb_alloc_urb+0x69/0xa0 [ 1277.089948][T12207] ? unlink1+0x540/0x540 [ 1277.093977][T12209] syz-executor.3 (12209): drop_caches: 3 [ 1277.094192][T12207] ? __kasan_kmalloc+0xa2/0xb0 [ 1277.104578][T12207] ? __kmalloc+0x60/0x100 [ 1277.109010][T12207] ? usb_alloc_urb+0x69/0xa0 [ 1277.113643][T12207] ? usb_control_msg+0x1d4/0x4a0 [ 1277.118603][T12207] ? hub_probe+0xcf4/0x3070 [ 1277.123155][T12207] ? usb_probe_interface+0x307/0x930 [ 1277.128448][T12207] ? really_probe+0x234/0xc90 [ 1277.133169][T12207] ? __driver_probe_device+0x1de/0x4b0 [ 1277.138640][T12207] ? driver_probe_device+0x4c/0x1a0 [ 1277.143900][T12207] ? __device_attach_driver+0x1d4/0x300 [ 1277.149471][T12207] ? bus_for_each_drv+0x157/0x1d0 [ 1277.154619][T12207] ? __device_attach+0x1e8/0x4b0 [ 1277.159574][T12207] ? proc_ioctl+0x585/0x6a0 [ 1277.164179][T12207] ? usbdev_ioctl+0x1650/0x3ca0 [ 1277.169068][T12207] ? __x64_sys_ioctl+0x18f/0x210 [ 1277.174058][T12207] ? do_syscall_64+0x38/0xb0 [ 1277.178668][T12207] ? entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1277.184785][T12207] usb_submit_urb+0x878/0x1720 [ 1277.189597][T12207] ? lockdep_init_map_type+0x140/0x7c0 [ 1277.195130][T12207] ? __init_swait_queue_head+0xca/0x150 [ 1277.200726][T12207] usb_start_wait_urb+0x103/0x4c0 [ 1277.205805][T12207] ? usb_api_blocking_completion+0xa0/0xa0 [ 1277.211642][T12207] ? rcu_is_watching+0x12/0xb0 [ 1277.216451][T12207] usb_control_msg+0x327/0x4a0 [ 1277.221241][T12207] ? usb_start_wait_urb+0x4c0/0x4c0 [ 1277.226457][T12207] hub_probe+0xcf4/0x3070 [ 1277.230812][T12207] ? lock_release+0x4bf/0x680 [ 1277.235537][T12207] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1277.241829][T12207] ? reacquire_held_locks+0x4b0/0x4b0 [ 1277.247330][T12207] ? trace_print_lat_context+0x5a0/0xa70 [ 1277.252995][T12207] ? read_tsc+0x9/0x20 [ 1277.257097][T12207] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1277.262692][T12207] ? rcu_is_watching+0x12/0xb0 [ 1277.267488][T12207] usb_probe_interface+0x307/0x930 [ 1277.272632][T12207] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1277.278039][T12207] really_probe+0x234/0xc90 [ 1277.282587][T12207] __driver_probe_device+0x1de/0x4b0 [ 1277.287904][T12207] driver_probe_device+0x4c/0x1a0 [ 1277.292969][T12207] __device_attach_driver+0x1d4/0x300 [ 1277.298405][T12207] ? driver_probe_device+0x1a0/0x1a0 [ 1277.303738][T12207] bus_for_each_drv+0x157/0x1d0 [ 1277.308628][T12207] ? bus_for_each_dev+0x1d0/0x1d0 [ 1277.313682][T12207] ? rcu_is_watching+0x12/0xb0 [ 1277.318547][T12207] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1277.324550][T12207] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1277.330380][T12207] __device_attach+0x1e8/0x4b0 [ 1277.335178][T12207] ? device_driver_attach+0x200/0x200 [ 1277.340597][T12207] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1277.345559][T12207] proc_ioctl+0x585/0x6a0 [ 1277.349915][T12207] usbdev_ioctl+0x1650/0x3ca0 [ 1277.354613][T12207] ? do_proc_control+0x1070/0x1070 [ 1277.359758][T12207] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1277.365701][T12207] ? do_vfs_ioctl+0x379/0x1920 [ 1277.370510][T12207] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1277.375569][T12207] ? reacquire_held_locks+0x4b0/0x4b0 [ 1277.380969][T12207] ? bit_wait_timeout+0x160/0x160 [ 1277.386022][T12207] ? __fget_files+0x279/0x410 [ 1277.390727][T12207] ? __fget_files+0x279/0x410 [ 1277.395513][T12207] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1277.400478][T12207] ? do_proc_control+0x1070/0x1070 [ 1277.405628][T12207] __x64_sys_ioctl+0x18f/0x210 [ 1277.410435][T12207] do_syscall_64+0x38/0xb0 [ 1277.414877][T12207] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1277.420785][T12207] RIP: 0033:0x7f08a827cae9 [ 1277.425206][T12207] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1277.444832][T12207] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1277.453269][T12207] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 [ 1277.461260][T12207] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1277.469243][T12207] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1277.477242][T12207] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 [ 1277.485233][T12207] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1277.493221][T12207] [ 1277.502275][T12207] hub 1-0:1.0: 1 port detected 21:48:41 executing program 2: getpid() sched_setaffinity(0x0, 0xfffffffffffffedd, &(0x7f0000000240)) r0 = syz_open_dev$usbfs(&(0x7f0000000080), 0xb, 0x101301) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000200)) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000040)=@usbdevfs_connect) (fail_nth: 15) [ 1277.547561][T12219] syz-executor.1 (12219): drop_caches: 3 21:48:41 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x8, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) [ 1277.601206][T12222] hub 1-0:1.0: USB hub found [ 1277.617186][T12222] hub 1-0:1.0: 1 port detected [ 1277.634535][T12222] FAULT_INJECTION: forcing a failure. [ 1277.634535][T12222] name failslab, interval 1, probability 0, space 0, times 0 [ 1277.674199][T12219] syz-executor.1 (12219): drop_caches: 3 [ 1277.694625][T12222] CPU: 0 PID: 12222 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1277.694839][T12205] syz-executor.3 (12205): drop_caches: 3 [ 1277.703335][T12222] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1277.703349][T12222] Call Trace: [ 1277.703356][T12222] [ 1277.703363][T12222] dump_stack_lvl+0x125/0x1b0 [ 1277.703388][T12222] should_fail_ex+0x496/0x5b0 [ 1277.703420][T12222] should_failslab+0x9/0x20 [ 1277.703440][T12222] __kmem_cache_alloc_node+0x2fd/0x350 [ 1277.703476][T12222] ? hub_probe+0x115e/0x3070 [ 1277.703502][T12222] ? hub_probe+0x115e/0x3070 [ 1277.703527][T12222] __kmalloc+0x4f/0x100 [ 1277.703547][T12222] hub_probe+0x115e/0x3070 [ 1277.703577][T12222] ? lock_release+0x4bf/0x680 [ 1277.703607][T12222] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1277.703634][T12222] ? reacquire_held_locks+0x4b0/0x4b0 [ 1277.703663][T12222] ? trace_print_lat_context+0x5a0/0xa70 [ 1277.703685][T12222] ? read_tsc+0x9/0x20 [ 1277.703704][T12222] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1277.725384][T12209] syz-executor.3 (12209): drop_caches: 3 [ 1277.725585][T12222] ? rcu_is_watching+0x12/0xb0 [ 1277.804422][T12222] usb_probe_interface+0x307/0x930 [ 1277.809543][T12222] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1277.814991][T12222] really_probe+0x234/0xc90 [ 1277.819500][T12222] __driver_probe_device+0x1de/0x4b0 [ 1277.824790][T12222] driver_probe_device+0x4c/0x1a0 [ 1277.829814][T12222] __device_attach_driver+0x1d4/0x300 [ 1277.835184][T12222] ? driver_probe_device+0x1a0/0x1a0 [ 1277.840471][T12222] bus_for_each_drv+0x157/0x1d0 [ 1277.845321][T12222] ? bus_for_each_dev+0x1d0/0x1d0 [ 1277.850344][T12222] ? rcu_is_watching+0x12/0xb0 [ 1277.855100][T12222] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1277.861075][T12222] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1277.866888][T12222] __device_attach+0x1e8/0x4b0 [ 1277.871654][T12222] ? device_driver_attach+0x200/0x200 [ 1277.877027][T12222] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1277.881967][T12222] proc_ioctl+0x585/0x6a0 [ 1277.886305][T12222] usbdev_ioctl+0x1650/0x3ca0 [ 1277.890992][T12222] ? do_proc_control+0x1070/0x1070 [ 1277.896111][T12222] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1277.902008][T12222] ? do_vfs_ioctl+0x379/0x1920 [ 1277.906766][T12222] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1277.911789][T12222] ? reacquire_held_locks+0x4b0/0x4b0 [ 1277.917171][T12222] ? bit_wait_timeout+0x160/0x160 [ 1277.922196][T12222] ? __fget_files+0x279/0x410 [ 1277.926955][T12222] ? __fget_files+0x279/0x410 [ 1277.931624][T12222] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1277.936558][T12222] ? do_proc_control+0x1070/0x1070 [ 1277.941672][T12222] __x64_sys_ioctl+0x18f/0x210 [ 1277.946433][T12222] do_syscall_64+0x38/0xb0 [ 1277.950849][T12222] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1277.956736][T12222] RIP: 0033:0x7f08a827cae9 [ 1277.961143][T12222] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1277.981124][T12222] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1277.989541][T12222] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 [ 1277.997593][T12222] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1278.005567][T12222] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1278.013539][T12222] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 21:48:42 executing program 3: r0 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX(r0, 0x8933, &(0x7f00000000c0)={'macvtap0\x00', 0x0}) r2 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_route(r2, &(0x7f0000000040)={0x0, 0x0, &(0x7f00000006c0)={&(0x7f0000000100)=@bridge_delneigh={0x28, 0x1d, 0xc07, 0x0, 0x0, {0x7, 0x0, 0x0, r1, 0x0, 0x2}, [@NDA_LLADDR={0xa, 0x2, @dev}]}, 0x28}}, 0x0) (async) r3 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r4 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r4, r3, &(0x7f0000002080)=0x7a, 0x23b) (async) r5 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r6 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r6, r5, &(0x7f0000002080)=0x7a, 0x23b) bpf$BPF_PROG_ATTACH(0x8, &(0x7f0000000380)={@ifindex=r1, 0xffffffffffffffff, 0x2b, 0x10, r3, @prog_fd=r5}, 0x20) (async) r7 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r7, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) r8 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r8, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) ioctl$AUTOFS_DEV_IOCTL_VERSION(0xffffffffffffffff, 0xc0189371, &(0x7f0000000040)={{0x1, 0x1, 0x18, r7}, './file0\x00'}) recvmsg$kcm(r9, &(0x7f0000000340)={&(0x7f00000001c0)=@in6={0xa, 0x0, 0x0, @dev}, 0x80, &(0x7f0000000240)=[{&(0x7f0000000080)=""/4, 0x4}, {&(0x7f0000000100)=""/49, 0x31}], 0x2, &(0x7f0000000280)=""/179, 0xb3}, 0x1) write$tun(r7, &(0x7f00000003c0)=ANY=[@ANYBLOB="00000000bbbbbbbbbbbbdf2a1770bfa081000000080045000020000000000011900600000000000000aa00004e21000c9078030000005df9d23c08ef44e0b2d729ded42467e14e6b9df34ba76f46ea22bb"], 0x36) [ 1278.021502][T12222] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1278.029654][T12222] [ 1278.043340][T12222] hub 1-0:1.0: config failed, out of memory (err -12) 21:48:42 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) (async) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) r2 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000680)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_JOIN_MESH(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000080)={0x48, r1, 0x2, 0x0, 0x0, {{}, {@val={0x8, 0x3, r3}, @void}}, [@NL80211_ATTR_MESH_CONFIG={0xc, 0x23, 0x0, 0x1, [@NL80211_MESHCONF_CONFIRM_TIMEOUT={0x6}]}, @chandef_params=[@NL80211_ATTR_WIPHY_EDMG_CHANNELS={0x5, 0x118, 0x33}, @NL80211_ATTR_WIPHY_FREQ_OFFSET={0x8, 0x122, 0x23c}, @NL80211_ATTR_WIPHY_FREQ_OFFSET={0x8, 0x122, 0x16f}, @NL80211_ATTR_WIPHY_EDMG_CHANNELS={0x5, 0x118, 0x29}]]}, 0x48}}, 0x0) 21:48:42 executing program 1: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async) r1 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r1, r0, &(0x7f0000002080)=0x7a, 0x23b) connect$tipc(r0, &(0x7f0000000040)=@id={0x1e, 0x3, 0x0, {0x4e21, 0x1}}, 0x10) (async) r2 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r2, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) r3 = socket$kcm(0x2, 0x2, 0x2) ioctl$SIOCSIFHWADDR(r3, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) (async) write$tun(r2, &(0x7f0000000000)=ANY=[@ANYBLOB="00080000bbbbbbbbbbbbdf2a0700bfa08100000008004500001c000105cf2817845500ccbe0000011a78ac130078af8225ebd7d3b4fb3f8210397611fc"], 0x32) 21:48:42 executing program 2: getpid() sched_setaffinity(0x0, 0xfffffffffffffedd, &(0x7f0000000240)) r0 = syz_open_dev$usbfs(&(0x7f0000000080), 0xb, 0x101301) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000200)) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000040)=@usbdevfs_connect) (fail_nth: 16) 21:48:42 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x9, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) 21:48:42 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r1 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r1, r0, &(0x7f0000002080)=0x7a, 0x23b) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f0000000280)={0xeeb, [], 0x0, "285c4d007ecdfb"}) ioctl$BTRFS_IOC_TREE_SEARCH(r0, 0xd0009411, &(0x7f0000059a40)={{0x0, 0x2, 0x1, 0x3, 0xfffffffffffffff7, 0x7, 0x0, 0x9, 0x7fffffff, 0xffff7710, 0x9, 0xffffffff, 0x2, 0x4, 0x80000000}}) socketpair$nbd(0x1, 0x1, 0x0, &(0x7f0000000100)={0xffffffffffffffff}) ioctl$sock_SIOCGIFVLAN_DEL_VLAN_CMD(r3, 0x8982, &(0x7f0000002800)={0x1, 'vlan0\x00', {}, 0x40}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000100)={0x0}) ioctl$BTRFS_IOC_INO_LOOKUP(r3, 0xd0009412, &(0x7f0000001200)={r4, 0x100000000}) socketpair$nbd(0x1, 0x1, 0x0, &(0x7f0000000100)={0xffffffffffffffff}) ioctl$sock_SIOCGIFVLAN_DEL_VLAN_CMD(r5, 0x8982, &(0x7f0000002800)={0x1, 'vlan0\x00', {}, 0x40}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000100)={0x0}) ioctl$BTRFS_IOC_INO_LOOKUP(r5, 0xd0009412, &(0x7f0000001200)={r6, 0x100000000}) ioctl$BTRFS_IOC_INO_LOOKUP_USER(r0, 0xd000943e, &(0x7f000005aa40)={0x0, 0x0, "e972aa84c449e306dfea8815212997ab3338006f3d5ad684aabbe1b3f5a09c5619d404e50fff12d10bc22e0061ccc29a6c8e4694f5e51fcf992f6d69bf0cac954c4a78d0406ff3ab57a1c1e98fa6448b66e8bbac1d541a7c9f566acb076cedbfb66d16fbd457760ded9fb3b7342415f300e970136edeb3df189ba7be7c4a1ea76ebe7b27afa45f9baeeffd5af9aea747a8994b3c68af3b6ad125b90ce30d63f521513e8d80351691a3a364ac75362b1823a0c3b0c5f5da27823dfe8b29bce1b35602950d98004d0e7aa64c952c8faee03f240072bf0f5317f10bcaed57b515659a44451cd55eca1fa45a0f2029842ba005089049e95b45a08cbc63d2e3d38f16", "e0eb52ca36da7c1d1001c91f682f6543b888aed358821266354e9b600e010fe197f82f1ba283c93bd2b1ecd14f249fab5e9c47b68db6ecc6ba97d07ef9fb3ee0a9e12dc4c0493dc25b21c92a8bdb95576ac560752a0622e02270864185c5c394b02cd63464f2fa899317f3f6f03b1c013174b2fbffc77b78227a094fc9e7a06da9c18d577f7e2e89eff53ee4399516b51edbc489bca2fe4620815dd910209b09ddec9834bb988d3082200def38e8921aaef277d857cca57b4cfd1aeb71f9f0a339119688ecb809496a037783b955c755813b5023c09d200e509b03799e2b9bf87940b3262adf393b3a7df4b84dfe2d79a4ec445f74d2d49df6200f17216bd4c21dfceed9df2a6d513ab44224f61ff6bfbb46116e6a27b8b127af55fb6d3ed1e58e2dd3e14654316865ed271e5b30843bf248e645882003c5ac782a056270dee26fbdc346778bacabcd75c43bf09ea3f6d87b676d3ea46d287ffd16e713fe85191cb4a96754148e37014b073275bdc633d20a025ade20d4ae7e33b44910c53f021031868307c2f57cdaef3ca3bc572e34628fe05cf1aeec9849fca2d431840de7f58ecf70e15e64e8d5c6c6e940f9dc4826310715d312035837ef0ecca5a5ff8dee056985c6f6805cb070120dec5b6a041118c476a1885fc8c5b5221ef278d0ef05259bebd2af9a7b07fe434a223877d860297b1df75099451f48e1eada9e3cbbfada03d603183781122d4c283ac12e848713d7155c1d29f97af696f57bf9b50357bc941fe810744dddb75d363a923e43a59e80ebd2511f1fc01b028db8a075a111511205e9e22f24ef31a3ca0b6a0128cbca0263d333ee7e8fa104dad2cf9f83e22b469d47bbc17d2a481c7f1778423ea2ca8701e9667ac3869cab1533849f5e2b0125a5dda75ba65f6b05036daf709e9e58e8133af388b013ab6d359ffb37d7159053a0a62e7147b912262dafc7dc78ac5603f976033e86585c084baf048d8c74fd656b08ce9b96702be6fe07c2a63002a101694c416fa2d6b9c0dbf58cc814c59e7043f4d0114fbd03995bb3082defcacaae588ed1f5bafc65d8536bbd4155c5f85e37b685801c652c3819d26db9ebad71b25aa3a894837f2d18be212b6567961d9cc3ce656693fdf17a4f4a434f363fa7df54bc091fc5e8e130fc62d68ab78458c4abca0b8d5a9db5d4508be6191a8e56b087d8be802644fe48c435df2c1e24baa4e18e41852833d1c6fbfac88e5bc9f399b0c5006ce82c804489bde85ed06b76abcd561acfe0b1adba0745b8c092a61147adc6e16d081e897067744c91df5c629f5f9fe79e5626d7e65dd442f110537cfd92441356f045cffa3a2c34e0f82c55bd580e053b3fd1608e7e0976375f977757438bdc7c1ac92574f6c347c5b7618924082b42bd4991135d7c3323c12ba3a5fb61f2e1685b4c5fd7cbdfc1ef237e9a3a90b6f2bacb81c34f194c47056886cc0d314ab9deb80c73f9ddbed1fba8f016f67950240444f4923b05198c2f767f5a943d4c2e2e2b491f106cc71b32545bdb92b203578426c42b2b5234daafeae10076bcfb6450aca37e9fafefc7a114f4912cb39f10409353912683866464b331fcd000feb83a001fc9c641f4afcce6175d1281c1394e49a08ab77e1bfb2c036eeb617d1f753a8ffcb43b73a8bb59d2e3b9173090f5065c3ac1547cd275745daa5ec672938d662ef82ab2b92d73c5d33b991a454d05918139614e11b26e7283c2b357a6bf89c9c1dc7d75c203a8d46360bc1d35ebe782dc85ce28e2a634e66310499d5ffcb4f3d1f4ff49fc786bfbd6bbf746a18f6328226e728d8792bb399c798194b194f47813288a0eff1ed9d37a65ec381500e54f4ee4f27201a06502fe49f27f43babfc6dbfeb46e3091e1a0f515ef9e890e5e7deb2fe27bc474f50bfd1e0a332084f911818c9d7f9610752f74e33f372c417c80b5d8db97721ca8b24e85a7ebcf5c3394bfc16c4f48aeebcbfad1cec09e65654c37f29919118543e50c8df6b1ce8be0fe362ad0861955a6b3b05b33962c89acd0d744d004c65ac2d6e7289440f0ef111c4b5b83868a5bff9499174e4054b319b69a00be26ac82bcce8ec6d54adf46baeebe017deb0cfa113fdef96e81adc18e6a7a6f9684bb7ac43a7a74a86ae31758f0a065f6369d2f371442c6afa93c469b0b19a8db5c1d3e697b4e53b9898bb5e6546ef268adb9bb73b466f236daa2b7cf344973b609177555396bf2bd2fc4f17dff197358e93649651c64876efbab85780958ef5b31a154520d449b1051906a76b9c94b07ae5b8564188997599aea123ec59344dbb6cbde16deb641f17182e61f358d29d2b956441851a3657c203bf361778851fc7168d4de624b55660c0ccc949195570205e12cb79840e71fa9b5251007c3625623bbf4049094b0d2f09290d9587355e480f38efbd6ca785448df002fa570dc81060deec0b3c695e84e3f0dee19d663c6001a5b4fa2e5ecd8570b1994038e49b9fc06072614aec95aeca023fc1e662eaa0a2d77d3961e338cd8fbd91db42a62ba720912a495bea18c223dcaacc903445b948a90033cb7e86effd79147688ecf417a5f282ef6771949dd992b809010c6e9780cc049b89a270ff31aab745984e89c3b8f6b2fc92dae24cdf760794afe583857a90c4f29c83be1b2e1f49398273cd98e4017bf01920b2b0b2fde8a51ed1d53dad4a5b29144a279077167366e197f9cd359bb46169b96e5c0dbfbba3d763dc02c034a75d3773bf4f01a6a4a7fc078d2c67ad638b5b74b1b402daf37929360e2ed3330237874d4e8fe320e118f314eed569d9c414a5c726423a41295ef66dd2ccab5f9babb84945586b4a459260b707dd8855e415b30dc9ea7e2ec18cb2e5fcfd251acbbd770e16086754df3d36337327164ef4dbbbeb2747cc80df5680fade845ce08a73dad0ce1e1d6568146c9c26a0717475e92038c324d45917f066a0cba4d7471670cf0e59bf79f2896fb00a74ba5f3d0fbc42d4292f20b729b14be7b1e3a6bc77f728fdc576013926b92f2dab4559613889e7c81c56c68c848018b8fe9934ab4cb26f3c9e5cec3fe13d4c4a4266a502adf1d8301d13df9ee674fe27269d21dbfd8aa9d099190465405132cd16f61805657b96e878c855b902313cbc8262e4cdfefc14d10e505526c74cad66f16adcb4a0fd9ba65456ae551439eb90408439ab5ede4afd992a017058a1e41624f949f935b0510eba062534835ee7d0e755e053df781434f8654cdf2f4db2e85828ac6563d508842d2f2174fa5672947b726ff60c5183412fa537df8b2664591b4f6338ebb6dd3955b20eec47057aa90c2856366e9447fdce33e66d9950e4f2308c1559b8515d64bd23b1b9b46fc29aab2781cc66719f8ba1b8ecb2827c67779ed284d0f5d3d131cff952bc05c6fd74e6e3fe59a4f3f87ea809dfdaf30d7d1cc0a49bb79aa423f3da67efa438f239bae1d332a99e3794492f5ece96d47ec377fadf93d4d880559c2d789f0bf9e036b6429196ed07f1ff54f3a6e79ee294a50a45767450ce082cd781c15e4ddbd62e8294aeb537ddb537b346cb7d21bc05c1e55d761ffe0cc897b95996e9bd243005b018f52df1466d24005dbbacc9dc8f66650d5f0353df3aecc5f90b4030484873b1072b6bbeaceb2247141040128ded7b5179d54c240ab228a98369f6612e71843715b69efee494001291c0fc447ea7cc7286848e4a4c119201d83c62b7ea4c46e1ef65a6e1072f5f736d248880e6fa9335c1b1768698125cc6c36e49bc19137745fbebc30f44d0a4af5fdd5ebac07253141558586442d53cbba38c52f7db59be38b197eba22869b28db3546a6903e8fcae6531004255e11bcd785005f8582066756b918c0bdbf18e2b023eb1c5c5291dd20b9c02cf66ffca1bbbca236c2f0b4da3937a21b1032d44bb247df13f7b182060e949a16329a5e554d7265a6396ba2cf8728f521d88b6a930d25dfc3a0e56fd2cb6310a836ced55acd8a364befb193be8c7e22cf25ff40bfb264e22078cf5bf752be3e00cbc3891769dc265645c697dbbe5b380ab0255bfb1d384ea5f030287b9206fbfd4af45a2ba18b6f58fa35688a3d876e86db260569a77151b91f59d249f0a9bcb0ab60fa38c929e9972e7598f1ea821b772e81b538c6bfb3dc4d27d7c82856f24beb61209fa515ec995b03b1b6f4c6b9ec7089ac2faff99f9fc48c04beec4c6f1a40385ce6fb1650e1ed8c683a7d5f6fd76b3f56cbd7655029874cf980557e06281dfad334e1454eab78cc29df4c5f9f5a7c36f6e72259ba526799c181e582f31cc6537212f2314123a31a4c312441be33faacdff04a3a5bd3ed25a3227c806642aadee42c6a96169e61a451d3fce4d2e12856c425953b0325753a013816f4c32fb0a7ccb9dbc749c0dedab785413e11bcdb1e3fbd8421ae4216a2e4edb31f5a18d639a0f0948bd320e8f18c2a0ae4e50f641d345f075c580d20f09cb8602dbcab7989f364f95b75563ea795e2bca765a77f5e846c7acd2b1176052baf8f3f455c23500c4e6f6626c6c3656a48249245e0952b624abcb5da3383d7cbda1f3daa5dae8aaacab276241d4388fa23b446ba7f8c362f93b8439f5f5097c0c45594c7d959910bc302bb0012bbbec0593e11affa752845c832b631fab39efd6a35d2e6e487cf02b01fe8883807027c4d9b643d15a2617c4d4738d8d26132e533843445e4920b68b7764b99e155f48df9db95412301c3c0955055b373f0f382718dbc11c95024f880e23772c61629153a70b80fd46185f27f00bbdb407261e1c51adb54445639748d2feac7e8adb9716c15a84133a440cdad15734e740657c4578e1a8d1cde397802ea3ea748beb4af293782fde1abb948a6c9dc7bed259a9ee7a0faa7316b0975ee48a12370d80ee1e90bc749f5817e4b2986be0b16f6ece0fd4f1f9090d4f05704d62b0dd469eb6209618dc38684b3f4513b042f447716d2ebcd71f766e0876ef8bda1dacdd5e988aa47710cf55b0ecad182b5974e399287e9af305d9a5c95c7eade1e508dfe6554f231eebe576d34feb1a9be874cc50cc1689a0a7e7d4dff4777649819c55fca6c6293f40bb123e8d7401cc774212d4e74e278e2bd5f1dd5f7a2db9e012b3f1ad2ced12d168dff460b1ad99c89d95fd8abfbbd63d4d527d497e5b042de7e5bbf920507d90f4ef1362abfc78bbdb50e50dbedec7beaeb11896d37442c12b019582bc03c60fbb572a35f0d3eed641c83bfedc51dfab1ad897773246e129d59c8dc1fe025b2b78250aac6fa10f7e33a12bdfb940965df1f2f226edfb55adef3088217ebe1342ff4ad09505e602e382dc505a4c2361d383d0f7718eeacb15a6eeb0cf98e92331977c465f3e8c6e8b351d3009917ea8ccd2f46685c39"}) socketpair$nbd(0x1, 0x1, 0x0, &(0x7f0000000100)={0xffffffffffffffff}) ioctl$sock_SIOCGIFVLAN_DEL_VLAN_CMD(r8, 0x8982, &(0x7f0000002800)={0x1, 'vlan0\x00', {}, 0x40}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000100)={0x0}) ioctl$BTRFS_IOC_INO_LOOKUP(r8, 0xd0009412, &(0x7f0000001200)={r9, 0x100000000}) socketpair$nbd(0x1, 0x1, 0x0, &(0x7f0000000100)={0xffffffffffffffff}) ioctl$sock_SIOCGIFVLAN_DEL_VLAN_CMD(r10, 0x8982, &(0x7f0000002800)={0x1, 'vlan0\x00', {}, 0x40}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000100)={0x0}) ioctl$BTRFS_IOC_INO_LOOKUP(r10, 0xd0009412, &(0x7f0000001200)={r11, 0x100000000}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)={0x0, ""/256, 0x0, 0x0}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000500)={0x0, ""/256, 0x0, 0x0}) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f0000000280)={0xeeb, [{}, {0x0, r14}, {r12}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {r13, r14}, {r12}, {}, {}, {}, {}, {}, {}, {}, {}, {r16}, {}, {}, {}, {r13}, {}, {}, {0x0, r14}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {0x0, r17}, {}, {}, {}, {0x0, r17}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {r15}, {r12}, {}, {}, {}, {r15}, {}, {}, {}, {r12}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r12}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {}, {}, {}, {}, {}, {}, {0x0, r14}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {}, {}, {}, {}, {}, {}, {}, {0x0, r14}], 0x0, "285c4d007ecdfb"}) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(r0, 0xd000943d, &(0x7f000005ba40)={0x8, [{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {0x0}], 0x9, "4b17715d1bc552"}) ioctl$BTRFS_IOC_INO_LOOKUP_USER(r1, 0xd000943e, &(0x7f000005ca40)={0x0, 0x0, "3bba9f8c6b54fff276ac8650c3ecfb6ea67dfe79046068a76519a8e22fd1aa5ed3e3b89562dd0cb305722ad80b34c70b1a3602563cad800680d01ee0b2b863d794c5dc4c9dc1deb694dc9f9b9f7925ada1e9b62e52f2f690d793357e555529222b847046075686f42d5c31126f608586bc8f7682298cd2c983be94ac7967af85d27b17af36f4df99c03828ec3f22d242f8d43c75895d67c70a28169c8314f61ca41c8cda88931b0bf2cfbfa8572f12d82dce36db0559792aa2b07a5efecc4f5951db4bcb938dd65db3646a19aace91f757f00bcf78be484a6055de140d5173386e590268e4b05dec8ee99132d42da35acd19ff320aca0fe8c8588d0664f83a46", "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"}) ioctl$BTRFS_IOC_TREE_SEARCH_V2(0xffffffffffffffff, 0xc0709411, &(0x7f000005da40)={{0x0, 0xd24, 0x6, 0x6, 0x0, 0x4, 0x1, 0xfb2a, 0xee, 0x100, 0x0, 0x5f, 0x8, 0xffffffff, 0x1}, 0x10, [0x0, 0x0]}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r0, 0x81f8943c, &(0x7f000005dac0)={0x0, ""/256, 0x0, 0x0}) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(r0, 0xd000943d, &(0x7f000005dcc0)={0x2, [{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r2}, {r4}, {}, {r6}, {0x0, r7}, {r9}, {}, {r11, r14}, {r18}, {0x0, r19}, {r20, r21}], 0x9, "e93256de518788"}) [ 1278.357331][T12234] syz-executor.3 (12234): drop_caches: 3 [ 1278.371438][T12248] hub 1-0:1.0: USB hub found [ 1278.386538][T12248] hub 1-0:1.0: 1 port detected [ 1278.402815][T12239] syz-executor.1 (12239): drop_caches: 3 [ 1278.403606][T12248] FAULT_INJECTION: forcing a failure. [ 1278.403606][T12248] name failslab, interval 1, probability 0, space 0, times 0 [ 1278.419435][T12232] syz-executor.3 (12232): drop_caches: 3 [ 1278.454505][T12248] CPU: 1 PID: 12248 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1278.463218][T12248] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1278.473627][T12248] Call Trace: [ 1278.476911][T12248] [ 1278.479848][T12248] dump_stack_lvl+0x125/0x1b0 [ 1278.484537][T12248] should_fail_ex+0x496/0x5b0 [ 1278.489030][T12239] syz-executor.1 (12239): drop_caches: 3 [ 1278.489217][T12248] should_failslab+0x9/0x20 [ 1278.499333][T12248] __kmem_cache_alloc_node+0x2fd/0x350 [ 1278.504830][T12248] ? usb_get_status+0x7a/0x270 [ 1278.509619][T12248] ? usb_get_status+0x7a/0x270 [ 1278.514379][T12248] __kmalloc+0x4f/0x100 [ 1278.518529][T12248] usb_get_status+0x7a/0x270 [ 1278.523122][T12248] hub_probe+0x178e/0x3070 [ 1278.527543][T12248] ? lock_release+0x4bf/0x680 [ 1278.532223][T12248] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1278.538495][T12248] ? reacquire_held_locks+0x4b0/0x4b0 [ 1278.543881][T12248] ? trace_print_lat_context+0x5a0/0xa70 [ 1278.549511][T12248] ? read_tsc+0x9/0x20 [ 1278.553570][T12248] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1278.559121][T12248] ? rcu_is_watching+0x12/0xb0 [ 1278.563885][T12248] usb_probe_interface+0x307/0x930 [ 1278.568991][T12248] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1278.574352][T12248] really_probe+0x234/0xc90 [ 1278.578854][T12248] __driver_probe_device+0x1de/0x4b0 [ 1278.584143][T12248] driver_probe_device+0x4c/0x1a0 [ 1278.589164][T12248] __device_attach_driver+0x1d4/0x300 [ 1278.594533][T12248] ? driver_probe_device+0x1a0/0x1a0 [ 1278.599813][T12248] bus_for_each_drv+0x157/0x1d0 [ 1278.604658][T12248] ? bus_for_each_dev+0x1d0/0x1d0 [ 1278.609674][T12248] ? rcu_is_watching+0x12/0xb0 [ 1278.614538][T12248] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1278.620517][T12248] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1278.626332][T12248] __device_attach+0x1e8/0x4b0 [ 1278.631099][T12248] ? device_driver_attach+0x200/0x200 [ 1278.636468][T12248] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1278.641397][T12248] proc_ioctl+0x585/0x6a0 [ 1278.645726][T12248] usbdev_ioctl+0x1650/0x3ca0 [ 1278.650411][T12248] ? do_proc_control+0x1070/0x1070 [ 1278.655528][T12248] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1278.661433][T12248] ? do_vfs_ioctl+0x379/0x1920 [ 1278.666196][T12248] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1278.671222][T12248] ? reacquire_held_locks+0x4b0/0x4b0 [ 1278.676598][T12248] ? rcu_is_watching+0x12/0xb0 [ 1278.681363][T12248] ? __fget_files+0x279/0x410 [ 1278.686033][T12248] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1278.691003][T12248] ? do_proc_control+0x1070/0x1070 [ 1278.696129][T12248] __x64_sys_ioctl+0x18f/0x210 [ 1278.700899][T12248] do_syscall_64+0x38/0xb0 [ 1278.705349][T12248] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1278.711243][T12248] RIP: 0033:0x7f08a827cae9 [ 1278.715649][T12248] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1278.735253][T12248] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1278.743664][T12248] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 21:48:42 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r1 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r1, r0, &(0x7f0000002080)=0x7a, 0x23b) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f0000000280)={0xeeb, [], 0x0, "285c4d007ecdfb"}) (async) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f0000000280)={0xeeb, [], 0x0, "285c4d007ecdfb"}) ioctl$BTRFS_IOC_TREE_SEARCH(r0, 0xd0009411, &(0x7f0000059a40)={{0x0, 0x2, 0x1, 0x3, 0xfffffffffffffff7, 0x7, 0x0, 0x9, 0x7fffffff, 0xffff7710, 0x9, 0xffffffff, 0x2, 0x4, 0x80000000}}) socketpair$nbd(0x1, 0x1, 0x0, &(0x7f0000000100)={0xffffffffffffffff}) ioctl$sock_SIOCGIFVLAN_DEL_VLAN_CMD(r3, 0x8982, &(0x7f0000002800)={0x1, 'vlan0\x00', {}, 0x40}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000100)={0x0}) ioctl$BTRFS_IOC_INO_LOOKUP(r3, 0xd0009412, &(0x7f0000001200)={r4, 0x100000000}) socketpair$nbd(0x1, 0x1, 0x0, &(0x7f0000000100)) (async) socketpair$nbd(0x1, 0x1, 0x0, &(0x7f0000000100)={0xffffffffffffffff}) ioctl$sock_SIOCGIFVLAN_DEL_VLAN_CMD(r5, 0x8982, &(0x7f0000002800)={0x1, 'vlan0\x00', {}, 0x40}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000100)) (async) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000100)={0x0}) ioctl$BTRFS_IOC_INO_LOOKUP(r5, 0xd0009412, &(0x7f0000001200)={r6, 0x100000000}) ioctl$BTRFS_IOC_INO_LOOKUP_USER(r0, 0xd000943e, &(0x7f000005aa40)={0x0, 0x0, "e972aa84c449e306dfea8815212997ab3338006f3d5ad684aabbe1b3f5a09c5619d404e50fff12d10bc22e0061ccc29a6c8e4694f5e51fcf992f6d69bf0cac954c4a78d0406ff3ab57a1c1e98fa6448b66e8bbac1d541a7c9f566acb076cedbfb66d16fbd457760ded9fb3b7342415f300e970136edeb3df189ba7be7c4a1ea76ebe7b27afa45f9baeeffd5af9aea747a8994b3c68af3b6ad125b90ce30d63f521513e8d80351691a3a364ac75362b1823a0c3b0c5f5da27823dfe8b29bce1b35602950d98004d0e7aa64c952c8faee03f240072bf0f5317f10bcaed57b515659a44451cd55eca1fa45a0f2029842ba005089049e95b45a08cbc63d2e3d38f16", "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"}) (async) ioctl$BTRFS_IOC_INO_LOOKUP_USER(r0, 0xd000943e, &(0x7f000005aa40)={0x0, 0x0, "e972aa84c449e306dfea8815212997ab3338006f3d5ad684aabbe1b3f5a09c5619d404e50fff12d10bc22e0061ccc29a6c8e4694f5e51fcf992f6d69bf0cac954c4a78d0406ff3ab57a1c1e98fa6448b66e8bbac1d541a7c9f566acb076cedbfb66d16fbd457760ded9fb3b7342415f300e970136edeb3df189ba7be7c4a1ea76ebe7b27afa45f9baeeffd5af9aea747a8994b3c68af3b6ad125b90ce30d63f521513e8d80351691a3a364ac75362b1823a0c3b0c5f5da27823dfe8b29bce1b35602950d98004d0e7aa64c952c8faee03f240072bf0f5317f10bcaed57b515659a44451cd55eca1fa45a0f2029842ba005089049e95b45a08cbc63d2e3d38f16", "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"}) socketpair$nbd(0x1, 0x1, 0x0, &(0x7f0000000100)) (async) socketpair$nbd(0x1, 0x1, 0x0, &(0x7f0000000100)={0xffffffffffffffff}) ioctl$sock_SIOCGIFVLAN_DEL_VLAN_CMD(r8, 0x8982, &(0x7f0000002800)={0x1, 'vlan0\x00', {}, 0x40}) (async) ioctl$sock_SIOCGIFVLAN_DEL_VLAN_CMD(r8, 0x8982, &(0x7f0000002800)={0x1, 'vlan0\x00', {}, 0x40}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000100)={0x0}) ioctl$BTRFS_IOC_INO_LOOKUP(r8, 0xd0009412, &(0x7f0000001200)={r9, 0x100000000}) socketpair$nbd(0x1, 0x1, 0x0, &(0x7f0000000100)={0xffffffffffffffff}) ioctl$sock_SIOCGIFVLAN_DEL_VLAN_CMD(r10, 0x8982, &(0x7f0000002800)={0x1, 'vlan0\x00', {}, 0x40}) (async) ioctl$sock_SIOCGIFVLAN_DEL_VLAN_CMD(r10, 0x8982, &(0x7f0000002800)={0x1, 'vlan0\x00', {}, 0x40}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000100)={0x0}) ioctl$BTRFS_IOC_INO_LOOKUP(r10, 0xd0009412, &(0x7f0000001200)={r11, 0x100000000}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)) (async) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)={0x0, ""/256, 0x0, 0x0}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000500)={0x0, ""/256, 0x0, 0x0}) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f0000000280)={0xeeb, [{}, {0x0, r14}, {r12}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {r13, r14}, {r12}, {}, {}, {}, {}, {}, {}, {}, {}, {r16}, {}, {}, {}, {r13}, {}, {}, {0x0, r14}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {0x0, r17}, {}, {}, {}, {0x0, r17}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {r15}, {r12}, {}, {}, {}, {r15}, {}, {}, {}, {r12}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r12}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {}, {}, {}, {}, {}, {}, {0x0, r14}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {}, {}, {}, {}, {}, {}, {}, {0x0, r14}], 0x0, "285c4d007ecdfb"}) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(r0, 0xd000943d, &(0x7f000005ba40)={0x8, [], 0x9, "4b17715d1bc552"}) (async) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(r0, 0xd000943d, &(0x7f000005ba40)={0x8, [{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {0x0}], 0x9, "4b17715d1bc552"}) ioctl$BTRFS_IOC_INO_LOOKUP_USER(r1, 0xd000943e, &(0x7f000005ca40)={0x0, 0x0, "3bba9f8c6b54fff276ac8650c3ecfb6ea67dfe79046068a76519a8e22fd1aa5ed3e3b89562dd0cb305722ad80b34c70b1a3602563cad800680d01ee0b2b863d794c5dc4c9dc1deb694dc9f9b9f7925ada1e9b62e52f2f690d793357e555529222b847046075686f42d5c31126f608586bc8f7682298cd2c983be94ac7967af85d27b17af36f4df99c03828ec3f22d242f8d43c75895d67c70a28169c8314f61ca41c8cda88931b0bf2cfbfa8572f12d82dce36db0559792aa2b07a5efecc4f5951db4bcb938dd65db3646a19aace91f757f00bcf78be484a6055de140d5173386e590268e4b05dec8ee99132d42da35acd19ff320aca0fe8c8588d0664f83a46", "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"}) (async) ioctl$BTRFS_IOC_INO_LOOKUP_USER(r1, 0xd000943e, &(0x7f000005ca40)={0x0, 0x0, "3bba9f8c6b54fff276ac8650c3ecfb6ea67dfe79046068a76519a8e22fd1aa5ed3e3b89562dd0cb305722ad80b34c70b1a3602563cad800680d01ee0b2b863d794c5dc4c9dc1deb694dc9f9b9f7925ada1e9b62e52f2f690d793357e555529222b847046075686f42d5c31126f608586bc8f7682298cd2c983be94ac7967af85d27b17af36f4df99c03828ec3f22d242f8d43c75895d67c70a28169c8314f61ca41c8cda88931b0bf2cfbfa8572f12d82dce36db0559792aa2b07a5efecc4f5951db4bcb938dd65db3646a19aace91f757f00bcf78be484a6055de140d5173386e590268e4b05dec8ee99132d42da35acd19ff320aca0fe8c8588d0664f83a46", "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"}) ioctl$BTRFS_IOC_TREE_SEARCH_V2(0xffffffffffffffff, 0xc0709411, &(0x7f000005da40)={{0x0, 0xd24, 0x6, 0x6, 0x0, 0x4, 0x1, 0xfb2a, 0xee, 0x100, 0x0, 0x5f, 0x8, 0xffffffff, 0x1}, 0x10, [0x0, 0x0]}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r0, 0x81f8943c, &(0x7f000005dac0)={0x0, ""/256, 0x0, 0x0}) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(r0, 0xd000943d, &(0x7f000005dcc0)={0x2, [{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r2}, {r4}, {}, {r6}, {0x0, r7}, {r9}, {}, {r11, r14}, {r18}, {0x0, r19}, {r20, r21}], 0x9, "e93256de518788"}) [ 1278.751636][T12248] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1278.759606][T12248] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1278.767573][T12248] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 [ 1278.775544][T12248] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1278.783522][T12248] 21:48:43 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) (async) r1 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r1, r0, &(0x7f0000002080)=0x7a, 0x23b) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f0000000280)={0xeeb, [], 0x0, "285c4d007ecdfb"}) ioctl$BTRFS_IOC_TREE_SEARCH(r0, 0xd0009411, &(0x7f0000059a40)={{0x0, 0x2, 0x1, 0x3, 0xfffffffffffffff7, 0x7, 0x0, 0x9, 0x7fffffff, 0xffff7710, 0x9, 0xffffffff, 0x2, 0x4, 0x80000000}}) socketpair$nbd(0x1, 0x1, 0x0, &(0x7f0000000100)={0xffffffffffffffff}) ioctl$sock_SIOCGIFVLAN_DEL_VLAN_CMD(r3, 0x8982, &(0x7f0000002800)={0x1, 'vlan0\x00', {}, 0x40}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000100)={0x0}) ioctl$BTRFS_IOC_INO_LOOKUP(r3, 0xd0009412, &(0x7f0000001200)={r4, 0x100000000}) (async) ioctl$BTRFS_IOC_INO_LOOKUP(r3, 0xd0009412, &(0x7f0000001200)={r4, 0x100000000}) socketpair$nbd(0x1, 0x1, 0x0, &(0x7f0000000100)={0xffffffffffffffff}) ioctl$sock_SIOCGIFVLAN_DEL_VLAN_CMD(r5, 0x8982, &(0x7f0000002800)={0x1, 'vlan0\x00', {}, 0x40}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000100)) (async) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000100)={0x0}) ioctl$BTRFS_IOC_INO_LOOKUP(r5, 0xd0009412, &(0x7f0000001200)={r6, 0x100000000}) (async) ioctl$BTRFS_IOC_INO_LOOKUP(r5, 0xd0009412, &(0x7f0000001200)={r6, 0x100000000}) ioctl$BTRFS_IOC_INO_LOOKUP_USER(r0, 0xd000943e, &(0x7f000005aa40)={0x0, 0x0, "e972aa84c449e306dfea8815212997ab3338006f3d5ad684aabbe1b3f5a09c5619d404e50fff12d10bc22e0061ccc29a6c8e4694f5e51fcf992f6d69bf0cac954c4a78d0406ff3ab57a1c1e98fa6448b66e8bbac1d541a7c9f566acb076cedbfb66d16fbd457760ded9fb3b7342415f300e970136edeb3df189ba7be7c4a1ea76ebe7b27afa45f9baeeffd5af9aea747a8994b3c68af3b6ad125b90ce30d63f521513e8d80351691a3a364ac75362b1823a0c3b0c5f5da27823dfe8b29bce1b35602950d98004d0e7aa64c952c8faee03f240072bf0f5317f10bcaed57b515659a44451cd55eca1fa45a0f2029842ba005089049e95b45a08cbc63d2e3d38f16", "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"}) socketpair$nbd(0x1, 0x1, 0x0, &(0x7f0000000100)={0xffffffffffffffff}) ioctl$sock_SIOCGIFVLAN_DEL_VLAN_CMD(r8, 0x8982, &(0x7f0000002800)={0x1, 'vlan0\x00', {}, 0x40}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000100)={0x0}) ioctl$BTRFS_IOC_INO_LOOKUP(r8, 0xd0009412, &(0x7f0000001200)={r9, 0x100000000}) (async) ioctl$BTRFS_IOC_INO_LOOKUP(r8, 0xd0009412, &(0x7f0000001200)={r9, 0x100000000}) socketpair$nbd(0x1, 0x1, 0x0, &(0x7f0000000100)={0xffffffffffffffff}) ioctl$sock_SIOCGIFVLAN_DEL_VLAN_CMD(r10, 0x8982, &(0x7f0000002800)={0x1, 'vlan0\x00', {}, 0x40}) (async) ioctl$sock_SIOCGIFVLAN_DEL_VLAN_CMD(r10, 0x8982, &(0x7f0000002800)={0x1, 'vlan0\x00', {}, 0x40}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000100)={0x0}) ioctl$BTRFS_IOC_INO_LOOKUP(r10, 0xd0009412, &(0x7f0000001200)={r11, 0x100000000}) (async) ioctl$BTRFS_IOC_INO_LOOKUP(r10, 0xd0009412, &(0x7f0000001200)={r11, 0x100000000}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)) (async) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)={0x0, ""/256, 0x0, 0x0}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000500)={0x0, ""/256, 0x0, 0x0}) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f0000000280)={0xeeb, [{}, {0x0, r14}, {r12}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {r13, r14}, {r12}, {}, {}, {}, {}, {}, {}, {}, {}, {r16}, {}, {}, {}, {r13}, {}, {}, {0x0, r14}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {0x0, r17}, {}, {}, {}, {0x0, r17}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {r15}, {r12}, {}, {}, {}, {r15}, {}, {}, {}, {r12}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r12}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {}, {}, {}, {}, {}, {}, {0x0, r14}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r13}, {}, {}, {}, {}, {}, {}, {}, {}, {0x0, r14}], 0x0, "285c4d007ecdfb"}) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(r0, 0xd000943d, &(0x7f000005ba40)={0x8, [{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {0x0}], 0x9, "4b17715d1bc552"}) ioctl$BTRFS_IOC_INO_LOOKUP_USER(r1, 0xd000943e, &(0x7f000005ca40)={0x0, 0x0, "3bba9f8c6b54fff276ac8650c3ecfb6ea67dfe79046068a76519a8e22fd1aa5ed3e3b89562dd0cb305722ad80b34c70b1a3602563cad800680d01ee0b2b863d794c5dc4c9dc1deb694dc9f9b9f7925ada1e9b62e52f2f690d793357e555529222b847046075686f42d5c31126f608586bc8f7682298cd2c983be94ac7967af85d27b17af36f4df99c03828ec3f22d242f8d43c75895d67c70a28169c8314f61ca41c8cda88931b0bf2cfbfa8572f12d82dce36db0559792aa2b07a5efecc4f5951db4bcb938dd65db3646a19aace91f757f00bcf78be484a6055de140d5173386e590268e4b05dec8ee99132d42da35acd19ff320aca0fe8c8588d0664f83a46", "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"}) (async) ioctl$BTRFS_IOC_INO_LOOKUP_USER(r1, 0xd000943e, &(0x7f000005ca40)={0x0, 0x0, "3bba9f8c6b54fff276ac8650c3ecfb6ea67dfe79046068a76519a8e22fd1aa5ed3e3b89562dd0cb305722ad80b34c70b1a3602563cad800680d01ee0b2b863d794c5dc4c9dc1deb694dc9f9b9f7925ada1e9b62e52f2f690d793357e555529222b847046075686f42d5c31126f608586bc8f7682298cd2c983be94ac7967af85d27b17af36f4df99c03828ec3f22d242f8d43c75895d67c70a28169c8314f61ca41c8cda88931b0bf2cfbfa8572f12d82dce36db0559792aa2b07a5efecc4f5951db4bcb938dd65db3646a19aace91f757f00bcf78be484a6055de140d5173386e590268e4b05dec8ee99132d42da35acd19ff320aca0fe8c8588d0664f83a46", "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"}) ioctl$BTRFS_IOC_TREE_SEARCH_V2(0xffffffffffffffff, 0xc0709411, &(0x7f000005da40)={{0x0, 0xd24, 0x6, 0x6, 0x0, 0x4, 0x1, 0xfb2a, 0xee, 0x100, 0x0, 0x5f, 0x8, 0xffffffff, 0x1}, 0x10, [0x0, 0x0]}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r0, 0x81f8943c, &(0x7f000005dac0)) (async) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r0, 0x81f8943c, &(0x7f000005dac0)={0x0, ""/256, 0x0, 0x0}) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(r0, 0xd000943d, &(0x7f000005dcc0)={0x2, [{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {r2}, {r4}, {}, {r6}, {0x0, r7}, {r9}, {}, {r11, r14}, {r18}, {0x0, r19}, {r20, r21}], 0x9, "e93256de518788"}) 21:48:43 executing program 3: r0 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX(r0, 0x8933, &(0x7f00000000c0)={'macvtap0\x00', 0x0}) r2 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_route(r2, &(0x7f0000000040)={0x0, 0x0, &(0x7f00000006c0)={&(0x7f0000000100)=@bridge_delneigh={0x28, 0x1d, 0xc07, 0x0, 0x0, {0x7, 0x0, 0x0, r1, 0x0, 0x2}, [@NDA_LLADDR={0xa, 0x2, @dev}]}, 0x28}}, 0x0) r3 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r4 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r4, r3, &(0x7f0000002080)=0x7a, 0x23b) r5 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r6 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r6, r5, &(0x7f0000002080)=0x7a, 0x23b) bpf$BPF_PROG_ATTACH(0x8, &(0x7f0000000380)={@ifindex=r1, 0xffffffffffffffff, 0x2b, 0x10, r3, @prog_fd=r5}, 0x20) r7 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r7, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r8 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r8, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) ioctl$AUTOFS_DEV_IOCTL_VERSION(0xffffffffffffffff, 0xc0189371, &(0x7f0000000040)={{0x1, 0x1, 0x18, r7}, './file0\x00'}) recvmsg$kcm(r9, &(0x7f0000000340)={&(0x7f00000001c0)=@in6={0xa, 0x0, 0x0, @dev}, 0x80, &(0x7f0000000240)=[{&(0x7f0000000080)=""/4, 0x4}, {&(0x7f0000000100)=""/49, 0x31}], 0x2, &(0x7f0000000280)=""/179, 0xb3}, 0x1) write$tun(r7, &(0x7f00000003c0)=ANY=[@ANYBLOB="00000000bbbbbbbbbbbbdf2a1770bfa081000000080045000020000000000011900600000000000000aa00004e21000c9078030000005df9d23c08ef44e0b2d729ded42467e14e6b9df34ba76f46ea22bb"], 0x36) socket$nl_generic(0x10, 0x3, 0x10) (async) ioctl$sock_SIOCGIFINDEX(r0, 0x8933, &(0x7f00000000c0)={'macvtap0\x00'}) (async) socket$netlink(0x10, 0x3, 0x0) (async) sendmsg$nl_route(r2, &(0x7f0000000040)={0x0, 0x0, &(0x7f00000006c0)={&(0x7f0000000100)=@bridge_delneigh={0x28, 0x1d, 0xc07, 0x0, 0x0, {0x7, 0x0, 0x0, r1, 0x0, 0x2}, [@NDA_LLADDR={0xa, 0x2, @dev}]}, 0x28}}, 0x0) (async) openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async) openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) (async) sendfile(r4, r3, &(0x7f0000002080)=0x7a, 0x23b) (async) openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async) openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) (async) sendfile(r6, r5, &(0x7f0000002080)=0x7a, 0x23b) (async) bpf$BPF_PROG_ATTACH(0x8, &(0x7f0000000380)={@ifindex=r1, 0xffffffffffffffff, 0x2b, 0x10, r3, @prog_fd=r5}, 0x20) (async) openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) (async) ioctl$TUNSETIFF(r7, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) socket$kcm(0x2, 0xa, 0x2) (async) ioctl$SIOCSIFHWADDR(r8, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) (async) ioctl$AUTOFS_DEV_IOCTL_VERSION(0xffffffffffffffff, 0xc0189371, &(0x7f0000000040)={{0x1, 0x1, 0x18, r7}, './file0\x00'}) (async) recvmsg$kcm(r9, &(0x7f0000000340)={&(0x7f00000001c0)=@in6={0xa, 0x0, 0x0, @dev}, 0x80, &(0x7f0000000240)=[{&(0x7f0000000080)=""/4, 0x4}, {&(0x7f0000000100)=""/49, 0x31}], 0x2, &(0x7f0000000280)=""/179, 0xb3}, 0x1) (async) write$tun(r7, &(0x7f00000003c0)=ANY=[@ANYBLOB="00000000bbbbbbbbbbbbdf2a1770bfa081000000080045000020000000000011900600000000000000aa00004e21000c9078030000005df9d23c08ef44e0b2d729ded42467e14e6b9df34ba76f46ea22bb"], 0x36) (async) 21:48:43 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x2, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) 21:48:43 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) (async) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) r2 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000680)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_JOIN_MESH(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000080)={0x48, r1, 0x2, 0x0, 0x0, {{}, {@val={0x8, 0x3, r3}, @void}}, [@NL80211_ATTR_MESH_CONFIG={0xc, 0x23, 0x0, 0x1, [@NL80211_MESHCONF_CONFIRM_TIMEOUT={0x6}]}, @chandef_params=[@NL80211_ATTR_WIPHY_EDMG_CHANNELS={0x5, 0x118, 0x33}, @NL80211_ATTR_WIPHY_FREQ_OFFSET={0x8, 0x122, 0x23c}, @NL80211_ATTR_WIPHY_FREQ_OFFSET={0x8, 0x122, 0x16f}, @NL80211_ATTR_WIPHY_EDMG_CHANNELS={0x5, 0x118, 0x29}]]}, 0x48}}, 0x0) 21:48:43 executing program 2: getpid() sched_setaffinity(0x0, 0xfffffffffffffedd, &(0x7f0000000240)) r0 = syz_open_dev$usbfs(&(0x7f0000000080), 0xb, 0x101301) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000200)) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000040)=@usbdevfs_connect) (fail_nth: 17) [ 1278.806974][T12248] hub 1-0:1.0: config failed, can't get hub status (err -12) 21:48:43 executing program 1: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async) r1 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r1, r0, &(0x7f0000002080)=0x7a, 0x23b) (async) connect$tipc(r0, &(0x7f0000000040)=@id={0x1e, 0x3, 0x0, {0x4e21, 0x1}}, 0x10) r2 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r2, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) r3 = socket$kcm(0x2, 0x2, 0x2) ioctl$SIOCSIFHWADDR(r3, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r2, &(0x7f0000000000)=ANY=[@ANYBLOB="00080000bbbbbbbbbbbbdf2a0700bfa08100000008004500001c000105cf2817845500ccbe0000011a78ac130078af8225ebd7d3b4fb3f8210397611fc"], 0x32) [ 1278.910320][T12260] syz-executor.3 (12260): drop_caches: 3 [ 1278.945024][T12271] hub 1-0:1.0: USB hub found 21:48:43 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r1 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) r2 = openat$zero(0xffffffffffffff9c, &(0x7f0000000000), 0x8001, 0x0) ioctl$IOCTL_VMCI_INIT_CONTEXT(r2, 0x7a0, &(0x7f0000000080)={@my=0x1}) r3 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r3, &(0x7f000000c2c0)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000240)=ANY=[@ANYBLOB="140000001000010000000000000000000000000a28000000000a0101000000005e2bffdf020000000900010073797a300000000008000240000000032c000000030a01b60000e6ff00000000020004000900010073797a38c20000000900030073797a3200000000140100001100019a859fcac0ff506dd4bc1aeec45a71fb1b6f328dd7ef0f00a837747b42ea976848750177915d86c3fae766a6fb46b4da10d2226fa0e5d4aecb12de3c0698426c37400a7a3950c9f5ce73cdb98941336a61b635fe3617e389a6ff1a7f6cb3fd4a659eff17cc3d629f7c01ed02"], 0x7c}}, 0x0) r4 = openat$nvme_fabrics(0xffffffffffffff9c, &(0x7f0000000180), 0x10000, 0x0) sendmsg$NFT_MSG_GETRULE(r4, &(0x7f0000000500)={&(0x7f00000001c0)={0x10, 0x0, 0x0, 0x40000}, 0xc, &(0x7f0000000400)={&(0x7f0000000340)={0xc0, 0x7, 0xa, 0x3, 0x0, 0x0, {0x5, 0x0, 0x3}, [@NFTA_RULE_USERDATA={0xa8, 0x7, 0x1, 0x0, "7cb3fbcc35d8928f7edef21c77d201494772b4c9c633ac6729a0f3d838678862f063e26ea4d33b6a0605658cc0bec2f1cf46981daac1d87d68037351835ad38ec48482deb7fab70176a8e3eee52fe08d9cd047318026d40e5e19663ce0c6a8ebc9b85ca46f047a28c8325acb747df38179065bbfc7cc0959b9fb1479bca1c0ec6f821afba23f66f46fc94a633931c048fe3fd7ab761190133fd4b37f974f7c7a3d205c30"}, @NFTA_RULE_COMPAT={0x4}]}, 0xc0}, 0x1, 0x0, 0x0, 0x1}, 0x84005) sendmsg$NFT_BATCH(r3, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000440)=ANY=[@ANYBLOB="14009782f8a0f147138dd453fe06018700001000010000000000000000000000000a50005b9a0000060a2b0400000001000000000200000024000480200001800a00010071756f7461000000100002800c000130000000000900020073797a320000000014000000080a00000000000000000000000000001400"/140], 0x8c}}, 0x0) ioctl$BTRFS_IOC_INO_PATHS(r3, 0xc0389423, &(0x7f0000000140)={0x800000000004, 0x30, [0x2, 0x34080002, 0x1fb, 0x1], &(0x7f0000000100)=[0x0, 0x0, 0x0, 0x0, 0x0, 0x0]}) sendfile(r1, r0, &(0x7f0000002080)=0x7a, 0x23b) [ 1278.958396][T12271] hub 1-0:1.0: 1 port detected [ 1278.963929][T12271] FAULT_INJECTION: forcing a failure. [ 1278.963929][T12271] name failslab, interval 1, probability 0, space 0, times 0 [ 1278.994597][T12271] CPU: 1 PID: 12271 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1279.003406][T12271] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1279.013653][T12271] Call Trace: [ 1279.016940][T12271] [ 1279.019871][T12271] dump_stack_lvl+0x125/0x1b0 [ 1279.024643][T12271] should_fail_ex+0x496/0x5b0 [ 1279.025942][T12267] syz-executor.3 (12267): drop_caches: 3 [ 1279.029356][T12271] should_failslab+0x9/0x20 [ 1279.029391][T12271] __kmem_cache_alloc_node+0x2fd/0x350 [ 1279.029420][T12271] ? usb_alloc_urb+0x69/0xa0 [ 1279.029446][T12271] ? usb_alloc_urb+0x69/0xa0 [ 1279.044412][T12260] syz-executor.3 (12260): drop_caches: 3 [ 1279.045026][T12271] __kmalloc+0x4f/0x100 [ 1279.045058][T12271] usb_alloc_urb+0x69/0xa0 [ 1279.068355][T12271] usb_control_msg+0x1d4/0x4a0 [ 1279.073134][T12271] ? usb_start_wait_urb+0x4c0/0x4c0 [ 1279.078343][T12271] ? kasan_set_track+0x25/0x30 [ 1279.083292][T12271] usb_get_status+0xdc/0x270 [ 1279.087895][T12271] hub_probe+0x178e/0x3070 [ 1279.092321][T12271] ? lock_release+0x4bf/0x680 [ 1279.097004][T12271] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1279.103247][T12271] ? reacquire_held_locks+0x4b0/0x4b0 [ 1279.108627][T12271] ? trace_print_lat_context+0x5a0/0xa70 [ 1279.114255][T12271] ? read_tsc+0x9/0x20 [ 1279.118321][T12271] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1279.123868][T12271] ? rcu_is_watching+0x12/0xb0 [ 1279.128628][T12271] usb_probe_interface+0x307/0x930 [ 1279.133740][T12271] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1279.139202][T12271] really_probe+0x234/0xc90 [ 1279.143716][T12271] __driver_probe_device+0x1de/0x4b0 [ 1279.149003][T12271] driver_probe_device+0x4c/0x1a0 [ 1279.154124][T12271] __device_attach_driver+0x1d4/0x300 [ 1279.159507][T12271] ? driver_probe_device+0x1a0/0x1a0 [ 1279.164784][T12271] bus_for_each_drv+0x157/0x1d0 [ 1279.169630][T12271] ? bus_for_each_dev+0x1d0/0x1d0 [ 1279.174647][T12271] ? rcu_is_watching+0x12/0xb0 [ 1279.179409][T12271] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1279.185392][T12271] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1279.191203][T12271] __device_attach+0x1e8/0x4b0 [ 1279.195972][T12271] ? device_driver_attach+0x200/0x200 [ 1279.201436][T12271] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1279.206379][T12271] proc_ioctl+0x585/0x6a0 [ 1279.210716][T12271] usbdev_ioctl+0x1650/0x3ca0 [ 1279.215400][T12271] ? do_proc_control+0x1070/0x1070 [ 1279.220513][T12271] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1279.226419][T12271] ? do_vfs_ioctl+0x379/0x1920 [ 1279.231266][T12271] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1279.236289][T12271] ? reacquire_held_locks+0x4b0/0x4b0 [ 1279.241675][T12271] ? bit_wait_timeout+0x160/0x160 [ 1279.246698][T12271] ? __fget_files+0x279/0x410 [ 1279.251372][T12271] ? __fget_files+0x279/0x410 [ 1279.256072][T12271] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1279.261011][T12271] ? do_proc_control+0x1070/0x1070 [ 1279.266125][T12271] __x64_sys_ioctl+0x18f/0x210 [ 1279.270889][T12271] do_syscall_64+0x38/0xb0 [ 1279.275300][T12271] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1279.281198][T12271] RIP: 0033:0x7f08a827cae9 [ 1279.285608][T12271] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1279.305349][T12271] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1279.313770][T12271] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 [ 1279.321733][T12271] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1279.329691][T12271] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1279.337655][T12271] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 [ 1279.345624][T12271] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1279.353600][T12271] 21:48:43 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) (async) r1 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) r2 = openat$zero(0xffffffffffffff9c, &(0x7f0000000000), 0x8001, 0x0) ioctl$IOCTL_VMCI_INIT_CONTEXT(r2, 0x7a0, &(0x7f0000000080)={@my=0x1}) (async) ioctl$IOCTL_VMCI_INIT_CONTEXT(r2, 0x7a0, &(0x7f0000000080)={@my=0x1}) socket$nl_netfilter(0x10, 0x3, 0xc) (async) r3 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r3, &(0x7f000000c2c0)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000240)=ANY=[@ANYBLOB="140000001000010000000000000000000000000a28000000000a0101000000005e2bffdf020000000900010073797a300000000008000240000000032c000000030a01b60000e6ff00000000020004000900010073797a38c20000000900030073797a3200000000140100001100019a859fcac0ff506dd4bc1aeec45a71fb1b6f328dd7ef0f00a837747b42ea976848750177915d86c3fae766a6fb46b4da10d2226fa0e5d4aecb12de3c0698426c37400a7a3950c9f5ce73cdb98941336a61b635fe3617e389a6ff1a7f6cb3fd4a659eff17cc3d629f7c01ed02"], 0x7c}}, 0x0) r4 = openat$nvme_fabrics(0xffffffffffffff9c, &(0x7f0000000180), 0x10000, 0x0) sendmsg$NFT_MSG_GETRULE(r4, &(0x7f0000000500)={&(0x7f00000001c0)={0x10, 0x0, 0x0, 0x40000}, 0xc, &(0x7f0000000400)={&(0x7f0000000340)={0xc0, 0x7, 0xa, 0x3, 0x0, 0x0, {0x5, 0x0, 0x3}, [@NFTA_RULE_USERDATA={0xa8, 0x7, 0x1, 0x0, "7cb3fbcc35d8928f7edef21c77d201494772b4c9c633ac6729a0f3d838678862f063e26ea4d33b6a0605658cc0bec2f1cf46981daac1d87d68037351835ad38ec48482deb7fab70176a8e3eee52fe08d9cd047318026d40e5e19663ce0c6a8ebc9b85ca46f047a28c8325acb747df38179065bbfc7cc0959b9fb1479bca1c0ec6f821afba23f66f46fc94a633931c048fe3fd7ab761190133fd4b37f974f7c7a3d205c30"}, @NFTA_RULE_COMPAT={0x4}]}, 0xc0}, 0x1, 0x0, 0x0, 0x1}, 0x84005) sendmsg$NFT_BATCH(r3, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000440)=ANY=[@ANYBLOB="14009782f8a0f147138dd453fe06018700001000010000000000000000000000000a50005b9a0000060a2b0400000001000000000200000024000480200001800a00010071756f7461000000100002800c000130000000000900020073797a320000000014000000080a00000000000000000000000000001400"/140], 0x8c}}, 0x0) ioctl$BTRFS_IOC_INO_PATHS(r3, 0xc0389423, &(0x7f0000000140)={0x800000000004, 0x30, [0x2, 0x34080002, 0x1fb, 0x1], &(0x7f0000000100)=[0x0, 0x0, 0x0, 0x0, 0x0, 0x0]}) sendfile(r1, r0, &(0x7f0000002080)=0x7a, 0x23b) 21:48:43 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) socket$kcm(0x2, 0xa, 0x2) ioctl$AUTOFS_DEV_IOCTL_READY(0xffffffffffffffff, 0xc0189376, &(0x7f0000000040)={{0x1, 0x1, 0x18, r0, {0x901b}}, './file0\x00'}) r2 = openat$sysfs(0xffffffffffffff9c, &(0x7f0000000100)='/sys/module/fb', 0x84000, 0x1d2) sendto$inet(r2, &(0x7f00000001c0)="2f3b4fd461de5580d2f17f0b4bf827652a0b51c5b2e2f67983ef3142", 0x1c, 0xc3, &(0x7f0000000200)={0x2, 0x4e23, @rand_addr=0x64010100}, 0x10) openat$tun(0xffffffffffffff9c, &(0x7f0000000080), 0x20000, 0x0) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local={0x1, 0x80, 0xc2, 0x0, 0x0, 0x1}}) write$tun(r0, &(0x7f0000000000)=ANY=[@ANYBLOB="00000000bbbbbbbbbbbbdf2a1770bfa0810000000800450000200000000000119078ac1e0001ac1414aa00004e21000c907802000000"], 0x36) 21:48:43 executing program 2: getpid() sched_setaffinity(0x0, 0xfffffffffffffedd, &(0x7f0000000240)) r0 = syz_open_dev$usbfs(&(0x7f0000000080), 0xb, 0x101301) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000200)) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000040)=@usbdevfs_connect) (fail_nth: 18) [ 1279.373601][T12271] hub 1-0:1.0: config failed, can't get hub status (err -5) 21:48:43 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) socket$kcm(0x2, 0xa, 0x2) (async) ioctl$AUTOFS_DEV_IOCTL_READY(0xffffffffffffffff, 0xc0189376, &(0x7f0000000040)={{0x1, 0x1, 0x18, r0, {0x901b}}, './file0\x00'}) r2 = openat$sysfs(0xffffffffffffff9c, &(0x7f0000000100)='/sys/module/fb', 0x84000, 0x1d2) sendto$inet(r2, &(0x7f00000001c0)="2f3b4fd461de5580d2f17f0b4bf827652a0b51c5b2e2f67983ef3142", 0x1c, 0xc3, &(0x7f0000000200)={0x2, 0x4e23, @rand_addr=0x64010100}, 0x10) (async) openat$tun(0xffffffffffffff9c, &(0x7f0000000080), 0x20000, 0x0) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local={0x1, 0x80, 0xc2, 0x0, 0x0, 0x1}}) (async) write$tun(r0, &(0x7f0000000000)=ANY=[@ANYBLOB="00000000bbbbbbbbbbbbdf2a1770bfa0810000000800450000200000000000119078ac1e0001ac1414aa00004e21000c907802000000"], 0x36) [ 1279.433199][T12284] hub 1-0:1.0: USB hub found [ 1279.438142][T12284] hub 1-0:1.0: 1 port detected [ 1279.443056][T12284] FAULT_INJECTION: forcing a failure. [ 1279.443056][T12284] name failslab, interval 1, probability 0, space 0, times 0 [ 1279.475843][T12284] CPU: 0 PID: 12284 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1279.484571][T12284] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1279.494756][T12284] Call Trace: [ 1279.498038][T12284] [ 1279.500967][T12284] dump_stack_lvl+0x125/0x1b0 [ 1279.505652][T12284] should_fail_ex+0x496/0x5b0 [ 1279.510373][T12284] should_failslab+0x9/0x20 [ 1279.514877][T12284] __kmem_cache_alloc_node+0x2fd/0x350 [ 1279.520464][T12284] ? usb_alloc_urb+0x69/0xa0 [ 1279.525084][T12284] ? usb_alloc_urb+0x69/0xa0 [ 1279.529673][T12284] __kmalloc+0x4f/0x100 [ 1279.533823][T12284] usb_alloc_urb+0x69/0xa0 [ 1279.538266][T12284] usb_control_msg+0x1d4/0x4a0 [ 1279.543035][T12284] ? usb_start_wait_urb+0x4c0/0x4c0 [ 1279.548262][T12284] ? kasan_set_track+0x25/0x30 [ 1279.553029][T12284] usb_get_status+0xdc/0x270 [ 1279.557625][T12284] hub_probe+0x178e/0x3070 [ 1279.562044][T12284] ? lock_release+0x4bf/0x680 [ 1279.566724][T12284] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1279.572989][T12284] ? reacquire_held_locks+0x4b0/0x4b0 [ 1279.578391][T12284] ? trace_print_lat_context+0x5a0/0xa70 [ 1279.584057][T12284] ? read_tsc+0x9/0x20 [ 1279.588121][T12284] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1279.593675][T12284] ? rcu_is_watching+0x12/0xb0 [ 1279.598447][T12284] usb_probe_interface+0x307/0x930 [ 1279.603560][T12284] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1279.608930][T12284] really_probe+0x234/0xc90 [ 1279.613444][T12284] __driver_probe_device+0x1de/0x4b0 [ 1279.618819][T12284] driver_probe_device+0x4c/0x1a0 [ 1279.623849][T12284] __device_attach_driver+0x1d4/0x300 [ 1279.629309][T12284] ? driver_probe_device+0x1a0/0x1a0 [ 1279.634596][T12284] bus_for_each_drv+0x157/0x1d0 [ 1279.639451][T12284] ? bus_for_each_dev+0x1d0/0x1d0 [ 1279.644471][T12284] ? rcu_is_watching+0x12/0xb0 [ 1279.649228][T12284] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1279.655337][T12284] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1279.661159][T12284] __device_attach+0x1e8/0x4b0 [ 1279.665924][T12284] ? device_driver_attach+0x200/0x200 [ 1279.671380][T12284] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1279.676312][T12284] proc_ioctl+0x585/0x6a0 [ 1279.680668][T12284] usbdev_ioctl+0x1650/0x3ca0 [ 1279.685377][T12284] ? do_proc_control+0x1070/0x1070 [ 1279.690576][T12284] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1279.696476][T12284] ? do_vfs_ioctl+0x379/0x1920 [ 1279.701236][T12284] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1279.706251][T12284] ? reacquire_held_locks+0x4b0/0x4b0 [ 1279.711651][T12284] ? bit_wait_timeout+0x160/0x160 [ 1279.716672][T12284] ? __fget_files+0x279/0x410 [ 1279.721343][T12284] ? __fget_files+0x279/0x410 [ 1279.726014][T12284] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1279.730956][T12284] ? do_proc_control+0x1070/0x1070 [ 1279.736166][T12284] __x64_sys_ioctl+0x18f/0x210 [ 1279.740925][T12284] do_syscall_64+0x38/0xb0 [ 1279.745337][T12284] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1279.751244][T12284] RIP: 0033:0x7f08a827cae9 [ 1279.756514][T12284] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1279.776114][T12284] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1279.784521][T12284] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 [ 1279.792492][T12284] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1279.800455][T12284] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1279.808419][T12284] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 [ 1279.816380][T12284] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1279.824345][T12284] [ 1279.865737][T12284] hub 1-0:1.0: config failed, can't get hub status (err -5) 21:48:44 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f0000000040)={'pimreg1\x00', 0x8000}) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x1c, 0x0, 0x0, 0x0, 0x32, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x0, 0x8}}}}}}, 0x32) 21:48:44 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) r2 = syz_io_uring_complete(0x0) r3 = socket$nl_generic(0x10, 0x3, 0x10) r4 = syz_genetlink_get_family_id$ethtool(&(0x7f0000000040), 0xffffffffffffffff) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r3, 0x8933, &(0x7f0000000080)={'batadv_slave_0\x00'}) sendmsg$ETHTOOL_MSG_FEATURES_GET(r3, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000000)={&(0x7f00000001c0)={0x18, r4, 0x78207b9cf137c31d, 0x0, 0x0, {0x4}, [@HEADER={0x4}]}, 0x18}}, 0x4080) ioctl$sock_SIOCGIFINDEX(r0, 0x8933, &(0x7f00000000c0)={'veth0_to_hsr\x00', 0x0}) r6 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX(r6, 0x8933, &(0x7f00000000c0)={'macvtap0\x00', 0x0}) r8 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_route(r8, &(0x7f0000000040)={0x0, 0x0, &(0x7f00000006c0)={&(0x7f0000000100)=@bridge_delneigh={0x28, 0x1d, 0xc07, 0x0, 0x0, {0x7, 0x0, 0x0, r7, 0x0, 0x2}, [@NDA_LLADDR={0xa, 0x2, @dev}]}, 0x28}}, 0x0) bpf$BPF_GET_PROG_INFO(0xf, &(0x7f0000000440)={0xffffffffffffffff, 0xe0, &(0x7f0000000340)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1, &(0x7f0000000100)=[0x0], ""/16, 0x0, 0x0, 0x0, 0x0, 0x7, 0x2, &(0x7f0000000140)=[0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0], &(0x7f0000000200)=[0x0, 0x0], 0x0, 0x8, &(0x7f0000000240)=[{}, {}, {}, {}, {}], 0x28, 0x10, &(0x7f0000000280), &(0x7f00000002c0), 0x8, 0x10, 0x8, 0x8, &(0x7f0000000300)}}, 0x10) ioctl$ifreq_SIOCGIFINDEX_vcan(r0, 0x8933, &(0x7f0000000480)={'vxcan1\x00', 0x0}) sendmsg$ETHTOOL_MSG_LINKMODES_SET(r2, &(0x7f0000000640)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x400}, 0xc, &(0x7f0000000600)={&(0x7f00000004c0)={0x118, r4, 0x800, 0x70bd2a, 0x25dfdbfd, {}, [@ETHTOOL_A_LINKMODES_OURS={0xc, 0x3, 0x0, 0x1, [@ETHTOOL_A_BITSET_NOMASK={0x4}, @ETHTOOL_A_BITSET_NOMASK={0x4}]}, @ETHTOOL_A_LINKMODES_MASTER_SLAVE_CFG={0x5, 0x7, 0x7}, @ETHTOOL_A_LINKMODES_DUPLEX={0x5, 0x6, 0x5}, @ETHTOOL_A_LINKMODES_AUTONEG={0x5, 0x2, 0x5}, @ETHTOOL_A_LINKMODES_HEADER={0x54, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_INDEX={0x8}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'rose0\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x3}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth0_to_bridge\x00'}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r5}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x3}]}, @ETHTOOL_A_LINKMODES_HEADER={0x84, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'netpci0\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r7}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'vlan1\x00'}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'nr0\x00'}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r9}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r10}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'team0\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}]}, @ETHTOOL_A_LINKMODES_SPEED={0x8, 0x5, 0x7fff}]}, 0x118}, 0x1, 0x0, 0x0, 0x20040000}, 0x800) r11 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r11, 0x8933, &(0x7f0000000680)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_JOIN_MESH(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000000)={0x28, r1, 0x5, 0x0, 0x0, {{}, {@val={0x8, 0x3, r12}, @void}}, [@NL80211_ATTR_MESH_CONFIG={0xc, 0x23, 0x0, 0x1, [@NL80211_MESHCONF_CONFIRM_TIMEOUT={0x6}]}]}, 0x28}}, 0x0) 21:48:44 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x3, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) 21:48:44 executing program 2: getpid() sched_setaffinity(0x0, 0xfffffffffffffedd, &(0x7f0000000240)) r0 = syz_open_dev$usbfs(&(0x7f0000000080), 0xb, 0x101301) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000200)) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000040)=@usbdevfs_connect) (fail_nth: 19) 21:48:44 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f0000000040)={'pimreg1\x00', 0x8000}) (async) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x1c, 0x0, 0x0, 0x0, 0x32, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x0, 0x8}}}}}}, 0x32) [ 1279.924578][T12296] hub 1-0:1.0: USB hub found [ 1279.930452][T12296] hub 1-0:1.0: 1 port detected [ 1279.935344][T12296] FAULT_INJECTION: forcing a failure. [ 1279.935344][T12296] name failslab, interval 1, probability 0, space 0, times 0 [ 1279.952931][T12296] CPU: 1 PID: 12296 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1279.961640][T12296] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1279.971815][T12296] Call Trace: 21:48:44 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r1 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) (async, rerun: 32) r2 = openat$zero(0xffffffffffffff9c, &(0x7f0000000000), 0x8001, 0x0) (rerun: 32) ioctl$IOCTL_VMCI_INIT_CONTEXT(r2, 0x7a0, &(0x7f0000000080)={@my=0x1}) (async) r3 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r3, &(0x7f000000c2c0)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000240)=ANY=[@ANYBLOB="140000001000010000000000000000000000000a28000000000a0101000000005e2bffdf020000000900010073797a300000000008000240000000032c000000030a01b60000e6ff00000000020004000900010073797a38c20000000900030073797a3200000000140100001100019a859fcac0ff506dd4bc1aeec45a71fb1b6f328dd7ef0f00a837747b42ea976848750177915d86c3fae766a6fb46b4da10d2226fa0e5d4aecb12de3c0698426c37400a7a3950c9f5ce73cdb98941336a61b635fe3617e389a6ff1a7f6cb3fd4a659eff17cc3d629f7c01ed02"], 0x7c}}, 0x0) r4 = openat$nvme_fabrics(0xffffffffffffff9c, &(0x7f0000000180), 0x10000, 0x0) sendmsg$NFT_MSG_GETRULE(r4, &(0x7f0000000500)={&(0x7f00000001c0)={0x10, 0x0, 0x0, 0x40000}, 0xc, &(0x7f0000000400)={&(0x7f0000000340)={0xc0, 0x7, 0xa, 0x3, 0x0, 0x0, {0x5, 0x0, 0x3}, [@NFTA_RULE_USERDATA={0xa8, 0x7, 0x1, 0x0, "7cb3fbcc35d8928f7edef21c77d201494772b4c9c633ac6729a0f3d838678862f063e26ea4d33b6a0605658cc0bec2f1cf46981daac1d87d68037351835ad38ec48482deb7fab70176a8e3eee52fe08d9cd047318026d40e5e19663ce0c6a8ebc9b85ca46f047a28c8325acb747df38179065bbfc7cc0959b9fb1479bca1c0ec6f821afba23f66f46fc94a633931c048fe3fd7ab761190133fd4b37f974f7c7a3d205c30"}, @NFTA_RULE_COMPAT={0x4}]}, 0xc0}, 0x1, 0x0, 0x0, 0x1}, 0x84005) sendmsg$NFT_BATCH(r3, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000440)=ANY=[@ANYBLOB="14009782f8a0f147138dd453fe06018700001000010000000000000000000000000a50005b9a0000060a2b0400000001000000000200000024000480200001800a00010071756f7461000000100002800c000130000000000900020073797a320000000014000000080a00000000000000000000000000001400"/140], 0x8c}}, 0x0) ioctl$BTRFS_IOC_INO_PATHS(r3, 0xc0389423, &(0x7f0000000140)={0x800000000004, 0x30, [0x2, 0x34080002, 0x1fb, 0x1], &(0x7f0000000100)=[0x0, 0x0, 0x0, 0x0, 0x0, 0x0]}) (async) sendfile(r1, r0, &(0x7f0000002080)=0x7a, 0x23b) 21:48:44 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) socket$kcm(0x2, 0xa, 0x2) ioctl$AUTOFS_DEV_IOCTL_READY(0xffffffffffffffff, 0xc0189376, &(0x7f0000000040)={{0x1, 0x1, 0x18, r0, {0x901b}}, './file0\x00'}) openat$sysfs(0xffffffffffffff9c, &(0x7f0000000100)='/sys/module/fb', 0x84000, 0x1d2) (async) r2 = openat$sysfs(0xffffffffffffff9c, &(0x7f0000000100)='/sys/module/fb', 0x84000, 0x1d2) sendto$inet(r2, &(0x7f00000001c0)="2f3b4fd461de5580d2f17f0b4bf827652a0b51c5b2e2f67983ef3142", 0x1c, 0xc3, &(0x7f0000000200)={0x2, 0x4e23, @rand_addr=0x64010100}, 0x10) openat$tun(0xffffffffffffff9c, &(0x7f0000000080), 0x20000, 0x0) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local={0x1, 0x80, 0xc2, 0x0, 0x0, 0x1}}) write$tun(r0, &(0x7f0000000000)=ANY=[@ANYBLOB="00000000bbbbbbbbbbbbdf2a1770bfa0810000000800450000200000000000119078ac1e0001ac1414aa00004e21000c907802000000"], 0x36) (async) write$tun(r0, &(0x7f0000000000)=ANY=[@ANYBLOB="00000000bbbbbbbbbbbbdf2a1770bfa0810000000800450000200000000000119078ac1e0001ac1414aa00004e21000c907802000000"], 0x36) [ 1279.975100][T12296] [ 1279.978038][T12296] dump_stack_lvl+0x125/0x1b0 [ 1279.982733][T12296] should_fail_ex+0x496/0x5b0 [ 1279.987441][T12296] should_failslab+0x9/0x20 [ 1279.991950][T12296] __kmem_cache_alloc_node+0x2fd/0x350 [ 1279.997436][T12296] ? usb_hcd_submit_urb+0x6af/0x2100 [ 1280.002752][T12296] ? do_raw_spin_unlock+0x173/0x230 [ 1280.007983][T12296] ? usb_hcd_submit_urb+0x6af/0x2100 [ 1280.013298][T12296] __kmalloc+0x4f/0x100 [ 1280.017494][T12296] usb_hcd_submit_urb+0x6af/0x2100 [ 1280.022731][T12296] ? usb_alloc_urb+0x69/0xa0 [ 1280.027320][T12296] ? unlink1+0x540/0x540 [ 1280.031565][T12296] ? __kasan_kmalloc+0xa2/0xb0 [ 1280.036335][T12296] ? __kmalloc+0x60/0x100 [ 1280.040665][T12296] ? usb_alloc_urb+0x69/0xa0 [ 1280.045865][T12296] ? usb_control_msg+0x1d4/0x4a0 [ 1280.050808][T12296] ? usb_get_status+0xdc/0x270 [ 1280.055574][T12296] ? hub_probe+0x178e/0x3070 [ 1280.060161][T12296] ? usb_probe_interface+0x307/0x930 [ 1280.065438][T12296] ? really_probe+0x234/0xc90 [ 1280.070111][T12296] ? __driver_probe_device+0x1de/0x4b0 [ 1280.075567][T12296] ? driver_probe_device+0x4c/0x1a0 [ 1280.080763][T12296] ? __device_attach_driver+0x1d4/0x300 [ 1280.086305][T12296] ? bus_for_each_drv+0x157/0x1d0 [ 1280.091327][T12296] ? __device_attach+0x1e8/0x4b0 [ 1280.096257][T12296] ? proc_ioctl+0x585/0x6a0 [ 1280.100760][T12296] ? usbdev_ioctl+0x1650/0x3ca0 [ 1280.105611][T12296] ? __x64_sys_ioctl+0x18f/0x210 [ 1280.110543][T12296] ? do_syscall_64+0x38/0xb0 [ 1280.115126][T12296] ? entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1280.121191][T12296] usb_submit_urb+0x878/0x1720 [ 1280.125955][T12296] ? lockdep_init_map_type+0x140/0x7c0 [ 1280.131420][T12296] ? __init_swait_queue_head+0xca/0x150 [ 1280.136964][T12296] usb_start_wait_urb+0x103/0x4c0 [ 1280.142002][T12296] ? usb_api_blocking_completion+0xa0/0xa0 [ 1280.147812][T12296] ? rcu_is_watching+0x12/0xb0 [ 1280.152573][T12296] usb_control_msg+0x327/0x4a0 [ 1280.157340][T12296] ? usb_start_wait_urb+0x4c0/0x4c0 [ 1280.162655][T12296] ? kasan_set_track+0x25/0x30 [ 1280.167447][T12296] usb_get_status+0xdc/0x270 [ 1280.172061][T12296] hub_probe+0x178e/0x3070 [ 1280.176495][T12296] ? lock_release+0x4bf/0x680 [ 1280.181170][T12296] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1280.187413][T12296] ? reacquire_held_locks+0x4b0/0x4b0 [ 1280.192794][T12296] ? trace_print_lat_context+0x5a0/0xa70 [ 1280.198426][T12296] ? read_tsc+0x9/0x20 [ 1280.202493][T12296] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1280.208043][T12296] ? rcu_is_watching+0x12/0xb0 [ 1280.212802][T12296] usb_probe_interface+0x307/0x930 [ 1280.217934][T12296] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1280.223320][T12296] really_probe+0x234/0xc90 [ 1280.227837][T12296] __driver_probe_device+0x1de/0x4b0 [ 1280.233131][T12296] driver_probe_device+0x4c/0x1a0 [ 1280.238159][T12296] __device_attach_driver+0x1d4/0x300 [ 1280.243528][T12296] ? driver_probe_device+0x1a0/0x1a0 [ 1280.248831][T12296] bus_for_each_drv+0x157/0x1d0 [ 1280.253713][T12296] ? bus_for_each_dev+0x1d0/0x1d0 [ 1280.258745][T12296] ? rcu_is_watching+0x12/0xb0 [ 1280.263507][T12296] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1280.269482][T12296] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1280.275318][T12296] __device_attach+0x1e8/0x4b0 [ 1280.280103][T12296] ? device_driver_attach+0x200/0x200 [ 1280.285477][T12296] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1280.290412][T12296] proc_ioctl+0x585/0x6a0 [ 1280.294747][T12296] usbdev_ioctl+0x1650/0x3ca0 [ 1280.299431][T12296] ? do_proc_control+0x1070/0x1070 [ 1280.304546][T12296] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1280.310445][T12296] ? do_vfs_ioctl+0x379/0x1920 [ 1280.315202][T12296] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1280.320223][T12296] ? reacquire_held_locks+0x4b0/0x4b0 [ 1280.325592][T12296] ? bit_wait_timeout+0x160/0x160 [ 1280.330614][T12296] ? __fget_files+0x279/0x410 [ 1280.335292][T12296] ? __fget_files+0x279/0x410 [ 1280.339963][T12296] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1280.344924][T12296] ? do_proc_control+0x1070/0x1070 [ 1280.350043][T12296] __x64_sys_ioctl+0x18f/0x210 [ 1280.354802][T12296] do_syscall_64+0x38/0xb0 [ 1280.359211][T12296] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1280.365096][T12296] RIP: 0033:0x7f08a827cae9 [ 1280.369509][T12296] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1280.389113][T12296] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1280.397516][T12296] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 [ 1280.405482][T12296] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1280.413453][T12296] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1280.421419][T12296] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 [ 1280.429378][T12296] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1280.437346][T12296] 21:48:44 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f0000000040)={'pimreg1\x00', 0x8000}) (async) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f0000000040)={'pimreg1\x00', 0x8000}) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) socket$kcm(0x2, 0xa, 0x2) (async) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x1c, 0x0, 0x0, 0x0, 0x32, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x0, 0x8}}}}}}, 0x32) 21:48:44 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) r2 = fcntl$dupfd(r0, 0x406, r1) ioctl$TUNSETIFF(r2, 0x400454ca, &(0x7f0000000040)={'veth1\x00', 0x2000}) write$tun(r0, &(0x7f00000001c0)={@val={0x0, 0xb3be566d1db65b19}, @void, @x25={0x2, 0x1, 0xfb, "6b4c5bd9e88b5087e36648be8760a0415fa290e7f0cdcab65e836b894f954dafb01c1adb1225e1cad355f861657da2962697b20dec80aad4fab47fdc5d8226ee5dcbff3c09ac2b7e947983f47056ec9d2fc065e4f1c97fef5f94c34be2d68068aba7fef9b0760b5c8b700bc69b72326d194d61ff4478"}}, 0x7d) 21:48:44 executing program 5: r0 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) r1 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r1, &(0x7f000000c2c0)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000340)=ANY=[@ANYBLOB="140000001000010000000000000000000000000a28000000000a0101000000005e2bffdf020000000900010073797a300000000008000240000000032c000000030a01030000e6ff00000000020004000900010073797a30000000000900030073797a320000000014000000110001"], 0x7c}}, 0x0) sendmsg$NFT_BATCH(r1, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000440)={{0x14}, [@NFT_MSG_NEWRULE={0x50, 0x6, 0xa, 0x40b, 0x0, 0x0, {0x2}, [@NFTA_RULE_EXPRESSIONS={0x24, 0x4, 0x0, 0x1, [{0x20, 0x1, 0x0, 0x1, @quota={{0xa}, @val={0x10, 0x2, 0x0, 0x1, [@NFTA_QUOTA_BYTES={0xc}]}}}]}, @NFTA_RULE_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_RULE_CHAIN={0x9, 0x2, 'syz2\x00'}]}, @NFT_MSG_DELRULE={0x14}], {0x14}}, 0x8c}}, 0x0) r2 = getpid() sched_setscheduler(r2, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r3, &(0x7f0000000180)=@abs, 0x6e) sendmmsg$unix(r4, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r3, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r5 = syz_init_net_socket$nfc_llcp(0x27, 0x3, 0x1) r6 = openat$incfs(0xffffffffffffff9c, &(0x7f000000a180)='.pending_reads\x00', 0x80, 0x80) r7 = getuid() sendmsg$IPCTNL_MSG_TIMEOUT_DEFAULT_GET(r6, &(0x7f0000002340)={&(0x7f00000013c0)={0x10, 0x0, 0x0, 0x20000}, 0xc, &(0x7f0000002300)={&(0x7f0000002280)=ANY=[@ANYBLOB="64000000000100000a000002000000000500000906000240000d000306000240000d0000060002400a010000240004803f000140000001000800024000000004080001400240505300000400048092f80dc909e72f5061eb6705001a5289000000010400"], 0x64}, 0x1, 0x0, 0x0, 0x40084}, 0x8000) sendmmsg$unix(r5, &(0x7f0000003880)=[{{&(0x7f0000002200)=@abs={0x0, 0x0, 0x4e20}, 0x6e, &(0x7f0000002640)=[{&(0x7f0000002380)="a04fdb", 0x3}], 0x1, &(0x7f0000002b00)=[@cred={{0x1c}}, @rights={{0x14, 0x1, 0x1, [0xffffffffffffffff]}}, @rights={{0x30, 0x1, 0x1, [0xffffffffffffffff, r6, 0xffffffffffffffff, r6, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, r4]}}, @rights={{0x20, 0x1, 0x1, [0xffffffffffffffff, r4, 0xffffffffffffffff, 0xffffffffffffffff]}}], 0x88, 0x81}}, {{&(0x7f0000002880)=@abs={0x1, 0x0, 0x4e23}, 0x6e, &(0x7f0000002c00)=[{&(0x7f0000002bc0)="b43b2a7bd5cd2dec379051f74424756bb6f77b5e8f779acbc9c984a6f6808b2abbd072e90869409a6f438480dfcd26c00eb1c68c41c8", 0x36}], 0x1, &(0x7f0000002d40)=[@rights={{0x18, 0x1, 0x1, [0xffffffffffffffff, r6]}}, @rights={{0x14, 0x1, 0x1, [0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {r2, r7}}}, @rights={{0x2c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, r3, 0xffffffffffffffff, 0xffffffffffffffff, r3]}}, @cred={{0x1c}}], 0xa0, 0x20000000}}, {{&(0x7f0000002e00)=@file={0x0, './file1\x00'}, 0x6e, &(0x7f0000002f80)=[{&(0x7f0000002e80)="e8b2d367047c6877450101f94478f8031c", 0x11}, {&(0x7f0000002ec0)="44d6ca0e65bfde1fbca8e0e70fbf4ab8648de74a0400eae22b3b7cfaccf15678ecfce854c880e64d69787c3d98d45fdc102fe57a75ccb4960b1f7dbd85c4bfbc8386958f3ffc1552604ad781b43c106906256fb5164d53b159734cfc75a058febf00b1e1c70c06a6980476a298b445db7d233215e40f9af0", 0x78}, {&(0x7f0000002f40)="0866c00ed4b9f6f005d6912ed2df32d9456d7a241e9fbf55f7", 0x19}], 0x3, &(0x7f0000003040)=[@cred={{0x1c, 0x1, 0x2, {r2}}}, @cred={{0x1c, 0x1, 0x2, {0x0, r7}}}, @rights={{0x14, 0x1, 0x1, [r6]}}, @cred={{0x1c}}], 0x78, 0x40000}}, {{&(0x7f00000030c0)=@abs={0x0, 0x0, 0x4e20}, 0x6e, &(0x7f0000003540)=[{&(0x7f0000003140)="14077d3db1bed9322b5e826f5916337ed712fc139f0474475ff862a8639976a63fe0f06532947ac56c1d2b79fc72ad4ee43b2a3dbf09dcf23a7fc6d32d27654ad4169ede4d612cfee30a0d464192f32402cd303d6a", 0x55}, {&(0x7f00000031c0)="4726d4f8d707bce2f5bbec46f9a601473f8a577c6afb9820f777273c0b98c32532e4fc776224f6257dad2d5828f35eda3bf144ab44604db4e11aa2d5f7e771d948e90e242ec7484c5aa0b24a7244b1cb19f6f3089313379e2c6a0d8224e79061a99c6500703b63c0bb6d563db6871d7a521b38080f10154a048144de52306fe76d68671461753b585de4796fe8bf5508ea7a71466ce9c70ed7e74814ac7328dc2f76600522c4d636102d22a6b92aa78ab5af71492b4037872d2451d9189d52488eac4405ee33807fcc38f2a37fdd24cebe30e00272d6883a375ce9c217a43e0860f594", 0xe3}, {&(0x7f00000032c0)}, {&(0x7f0000003300)="aa161feac279e4883b7c6e96139386a5d2a121a352270bf536bf74778e8de14887e56f404e5c96d86df741504529448ded271be9c13f0e8e68e91921a4d1e3df81deda16e186b51e237c5470da50de97162f53875339b7b2d35252862b3257e5467ae20041e7c34c0fe9ecf754e94028e044cdb92091abaddea9ea729579c4676afefa19f7e5f20247c36940d748e894a2a6", 0x92}, {&(0x7f00000033c0)="87c8451d57a386ab27bfbeb6df7e77f27ca6bc3c33d29d11fc66e4e6c319478e3dedb8c5b43983f2eee216e9cfad39166a803d36875d63258b1aa35ba675accc8e5efac60cb83c2b0bd4139f1ceac4f725cd127f7f11b5bf9a693ea37a55f616349ff9256c081f6a73cc494a3296f7df7bbd9e5f0145e2a8dbfeca6bdc417d6d32d2fabcba53809226338a1919011905fa8aa56cddfe5567f3bf76c24c518d6cc7590985bbdb7d7b82e94d69df619ab0946d36f3b3be2fc0821e440c7fc2e52327c74812477e21a017bbfb25fa77795c4c11bf6fb6470e73722b", 0xda}, {&(0x7f00000047c0)="ba6c57bd52bfe42fe0641e91f5d9eb0677b1b598f3d0a40427989feda2b7c54439ec5da2295250fca483e2ad40d0057cee8450ffb58f8d69b24338f69a037082f9756afa10baf4db5f3caa93204e74476db6ad8fae281b8570a6d930a469336321c9721e7a9152818bdea703de4b134214dd14e1f0d3361f6a9cb59bf39468a70665353e91b6da8e57f8fed346dd6680aacc571b5664cf5b7c3541102cf6f0d4e7466929a6fed6e62f693482f39c1db46bedc27220067b3b868b7235a610afe8d832fa086c612ea7155a3e2a0a63b5caac4621b86d6d8168c19e84138d214e1af60132bb741866cede5c7ccc15c85b2b6a845e07b003c480dd91b527bc8d9d479dc9a06741487fe42dd69b54bab2e356ce08c9ee95bfb62680258d631ee20007ef38f1c4265cb9bd25cb6983cd23969f5a1b7213c04dcea56c7fd4c04456ff580342be07b289e1e0f0dd7690dbe07e52823b5c2e461fa3e0d2b426ebbc019242018d48593d8dd206f805209e970e682e7c18af6421c255bbc162278f9780f2ae84ee64dc270d2adaa516c47459aa74e61ff8e1dcfacee9ac2b68f564b72ca180c7390944d41c1809de6f24242615c59e0efdb1e966dd85334954f54f254e429260387c206de7123378b2974748452d9aacd057c71bece03f5dd4f5a868aede0ac1c37bc1b192d98a872c11505dd753e511d67df05ad41aabb187377b9681a59ca8d8b630026cbd180070b8e8b1d6a79f60a3c42b0cae267e3994c95aca7dff35e33bd022f71b7b92a4fba877b088c261a1a0cc5efa190f78ece54d88c8d9a358857e423a5bd2944dc60d968fbc6a5177d25f8ed0c7213f29ee634fa28fc26e38bfdaf8aeed2b4f8444f1461bc5a80809f4b6263c29e191f99e97f2c2565d53a11bdabad8b671befa3fa40f67609dfce133d5ee1a6bb477dd1ede0c5f78c92d6e5b8af3f196efaa49e9d6fc15b22c740f3675c8b86df0a288b0b8a0c88d5a78e668801b2b7bb85f1112f157d39a39915770741a6cf4a4067cd02d4d8bdcb1ed34ffe10ee97ef57a37385ba73c86cf2e88d618dfd22581ed56c0c93c3d464eac3b2c7aff24ee31ba4915e5092b17b335118a6b4bd7377da844a473a65ef861be7fd25aac308c3a553bd877bed4be8048ab7c04f33d9079843d30280e3699ffa2b863d45c417760e8eed468b1625123040ddce386f33d1f5372df7b34531c5ab1ef4073c7d01946056cff8ab80a5573193d4088c88e6ea352d0aad25465fdc5aff842e57b4c98e39eb6c4071abfe6ecfdaebd8eed7b2685172a79136554be5ff60024f2dca0a34d9567ba946ee73ff61cb0a9b8b83a113acf7dfe0fc2b917456e759d7fcf06453ff69a8dd15638d7f689f5ff17e43deed07421733981ef548ce81645d550417a1e7eab9294a1a73551970c2ce1be09b768a2a6a02dbf5f692750f6c1e05db3ee3e8bccc6e4ed63520cecba39dd6465027464049d0a342f795a46954a078cb8be49b120f73bbbeea326fb6a502a22c71d6d79b210cd2027829c8a096ff8c5a5cf69030c6941796c0dede5b48ee0c4b715910c99760ce348e4f6bfade693148860fa3854e39764e62ac4fa1292059ed37f40c43f00c9e5838754a8fc7810abc0aaea36f6cb524905edbf2751980f447666a85b8b9c1290e2988944822d00c8494ebbd3dd380fa322be0fd7c860b3c3a4c1e27684cb0a37feaaae2fdb8aa267a56561be146e442a87611f4f622cc3bbf8e7d63994318094ac952a97a4d0baf09baeaccab3c583a1e18c506e1beb33f0bcf6f1fb9d8a9ff31a7063c0f39620d354f862b28b7c9c43728bdd36876b8a98caa391c63b8466ac7bbecaf2553a5409e01d618577f6f5dece690bb1b60a6473805cc5c6814e44c6989f915afa4c5e13a0bd97a4b20db809a6f7b02461224ecaeb27bdc0c3d5a67ebfbab928112f8d05b3e8d0db217e7f37fbfb19084a18316a4b05093fdcc889f65c48e944cc6d3f362b0ed57c9db6bf9da303cf0025016c4e3b392e27661fda88e30590788b25f450bbd64f9730f44e75e1059d972166b3f30569a22db2596d63bf7d70fe173b2783dcebc74f760e83148c71c3ec6f645331d549b83168e6c3a9284d10189148a80f54db868dfe9c4c3f7a9993d95532be361721c6d743c72bd75f418251920ec8d493098b59b632f88633108c1369ac4e5ae48ec7dfb09b3f565bb7f953d3063a90de0a9a2b540ddb9f837148c0f26d1e92f7b09182f9b078e4325b5121f78c5aa6ee9fdb715916efebfce9379d87dc0a68cb09603e45dfcd1772ce47a6e6427f01b929b28986a7c30ad1cca77461ef93f307284dd5792bb3afb15542af5251a4e92995b72a361883789983435494f68f07539888878c6bd7e7203f8ed96f1dc7111da53c3a90a8984696d0ea44076ce9ab654de2e80d3cd79759d8c54a7db57b3b5400a1f61049bd538d847782911cdafb031daf064f3ff3069be01ac065801013dbbf7faffdbb30c897e87562b0a08c1e76fc37112a102c2fd804de150655fa0784d2f4a30f536a4d966f184bb245d16c96767f1024c069f731e23f689e0c13f4bf464cdc4df5c6c6b77a065b8097c13377b4432e5dd4a18835ae09ec90ac5eba40a37b45487c51597183ca6d0c2b7c169cdf9a78fbf80a0a5c60a92b33a7af5f602dbbecbbe1233fa25005ce1270db4aa54af0ee0eed543fc89bbefcaeb6f8731068aec4cb6e0a8218bc07f9b9b7c52546ee5c39787bdf1b182bdd3ea0f30a30c5eb3d3a9544757edfd064effcbde7b338db6500103726580150f9fb7495719d94362804678d74d39373e01063ae20c7415d3f7ebe9a3f1a9b76c844f0d1520509d8994dbd0fdd97096ae03fc2d1cf4c4d0ae6e6ced84313cd27600087a5f047f5c594670579860e35759cff7cb948007027ffa6fd7cb62aca066d3086d9c9ae575dc5f61ea7d4f568f4cfafcdf23de83e7877b5c2109fee14c89398a328a6150ffe4241cbaa141b21c8885e1f4ee275db077bbf0d7354b09bf4d45c7bd4ff1020283951abf47f3e1ae97313d7820193ed280c6b87fa377ef79ca4d9d44d00b9543f7545fbea8cd7b0105bb16acc48f024a2eba77444533cde69ddfe85145db4c4225fb64f6867db0450c8641aab5bd5392529821a635ce84c26774a327bbea1dceb65eca8d441f724a8c45aea078878c5e10ba1301a3d2529d52233620898495df88876964112d99ddfcb5c3b7fd8a69e926025b994f7fdd797444f5d89e3ecf18a45778e661fdae4b86c686c71515695d8ada2a3dd10dfe6fbbf19b5f7f59d00121d10efbbd044ae4289ff4d60d5cccb0764153512ae70d682b53430dd0518a8be277470f3d936d7892a433930471c1246106d52f8d9ec2000de8eb31a556747cfab8a1278c1ea20b33f86a24d4095869f385d1214ebb1215a51121feb77c1d93a0459614dc0eff9fef825709680786e986ed3199c72c186bafdec5dc88789949fe83424c69dc5bfa8d6ea29012bf9945f9b5bae5a72c33cae7bfc0bc90bbb02f411e4643da2fe3951f589bb0dc664ebccd973d9fc130a93e2a47211e37b99e173a5d7103a9c55e130cedceb282ddf38b93100f1755d2a047b1d6c5045b09d91dbccf56a2e13d6cd3b87400d453c1bc8578a55aeb5be202addb3e1fdde0ee58cbddc152f17b0a352c70c23c8d5bf36fa4090dbab2fd66cbb778679d1a066dfa56454212513a9b8dbb7c27705ff2953238fa5f54db407a75e3889b2e92a783ce1a6ad15e6d8060f61bc005a48fc1ac68e4775a807c9a38bf08ee4c5606bfc98f34c965605e70a53a5f0091e045cd3b63951f0c76a003cd4b88c969c4e17ab8f77b1d93a7d2eb8948a0e191d3a403d62900f5ff6a7787739b9940452be29114b21cdb0464f2e988dadafc1537f0d5df9e40e6098de9cbb9a9bde2bcad7bbc61aa36112d55d66d4c41aef940e3f5ad4a03bcd1a1a1b64777abfbf2e54f148dcf101986f81695951627acddf3817ab1ae9c2bb5ee759c6175ffd4486b9bccbe619062d433229efade2c8ee389ee88557d3c7985f0c306d3dd97975fb6e2b611e1c2f82b7271dbd09734c86d4c1f9a9460471058a0db61c10804f1f071f01e9ec1bdd6806335b6c8eec5fcba0f6243a800d148f4851f3f7b7ed0b21d6b5523d991bd8ac586564d80e52ce7a8d31263638c79f5761cb69b457e0d65b243afc09757c7461c660bb4436135de3d0cfcc9533bdd5871e2c32571ad894689dcd378fa884313b9a726e686397ecf81ba79fffbf98eb592bc25a3adfbae7fec1e03ef7ce3633e968c1e69f8bb59893039b60573434b312342b71d0285a7e18dbf1d6c6e4683f24013fe1b929372396a958561c4270519cf31edbdb1d8d10f6caeb0123671cded49fc224fcc550b1f2ce41c68230d1e6e0a11c2f3df940c56d614a82641e38466d6f6f46cdc31e0a4851e697594057d56083a99c7be80eef69f22407a947d8e03efbf43cc9f3debcd75f14653e04b47268beb4e81a8cb8c913ac9dd432200dcaf0a57868a49b0ab517459e8a1174945c688803953a45c9c955f35ed18c15dd2cf47987df126c339798d22a39265d980b1a561314c2eb954ce795b9f944d11563839d040439667c9494c3e5c9525537cba7e4a13c12f45425d1be7c129c97b2e481b031a9648e85aaf11dc53c12ad078824b0d3c2227444412e2f51b20be7abe58d4cb15a2a64b26997351632142874779f1aa9b858f2e2cb27e967816868643e01e3424c7469ca3dd24a49f85e1c4c2b3f6d7e5fe096602edcbca5fd8d9dd432f8b5fe8203011430597ca0a456045a0661878bd3bd4782de399c422f744b41ef45e2ec02eedd63dbcfa20acf21930a933e2554bb5329f02342911ce04b570be1b59ae8a496fc54a702b295232b06e7a62afdd5e5a67e1480b0867100a92da3171390a5c19eae91509d17ec08189087e2b70230a4ef93bc529eb8d41326413a00114db27fa3ae4b60edddb9f37a51e6a5acd490fcbc27719e6b491623636e2ee3240d487a4a64c3ceb302e4b24b63370a464d19e5014564e0cf2b19cfb18e22694e846c17f936d12711fefbe7e6c9bd3304b97f087502f81b06646da6da985ab0121189111bf0dfdbe7cf8967410053be69c43d7d2c2e50c9ffb28940c69550359400531deb952ef332781cfd31c250e6662427ceaa5d7f2d0c4b536688bf447e07a16944fdf46a198791f25f71e59cdee363bec81f7cee59a5baa32d373e72de33014a5080a4dae2f184579e101d3eec82d1c9259ed7c2c1084c34fa42644d54169944a2a8c5885cd1971dca400003049abc2d21a9ac9dfb42c11e964ff071c6ddac3ef997cd4f93b2b31e1218dab3a2bec636cc0b5b785e0d8ab2ec14881cd74b243d3497c7dc89e30ad32797f8ca976b27a282bf142e18c212d471c828902b84cd2c2c5134ddfdb3fa653f2c4a9a3cbf61992e038e83b9bdcaf840b8fc2d40d008beb86ff1978ddfec2ec0fc12640295b34d9b067015b09a63e225fff3e5d56e9a6ea20bb1d942e6c5ef9beece1d74e668c276922fd65d22832a28e4dda7dd32187e51335dc61763227e678ffd36e399b059c136dfc09202ef135ac7d5239ec125b03331eabac0a4efb302498369b14bb2602ae6e0d3b79e9781e53ce45036a18154cc26b2071b3da8f18bfe9c6aafdca16d01ea2ba3c1f814c6911681ef48e687bf1bfe0d886c9d4d913f295984afec3267922387f09224ce93696a24bc683da76bccc960027b11a2aeddf2fb2f46d4200ae3b2ab478d0f540a7c", 0x1000}, {&(0x7f00000034c0)="de2711e941305064cc2fae1aec024f", 0xf}, {&(0x7f0000003500)="b4711cbf0d877bb6870d8b81674aac8a72e13cdd4eef709777be73919fa2d91560047adfe19002d6f510922e2a", 0x2d}], 0x8, &(0x7f0000003780)=[@cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff, r7}}}, @cred={{0x1c, 0x1, 0x2, {r2}}}, @rights={{0x2c, 0x1, 0x1, [r3, r6, 0xffffffffffffffff, r5, r6, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c}}, @rights={{0x2c, 0x1, 0x1, [r4, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @rights={{0x20, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, r5]}}, @rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, r4]}}], 0x100, 0x2404c005}}], 0x4, 0x4000005) quotactl_fd$Q_SETQUOTA(r1, 0xc13441addafdfa1e, r7, &(0x7f0000000080)={0x2a9, 0x93, 0x7fff, 0x5, 0x3, 0x3, 0xffffffffffffff80, 0xc8, 0x2}) sendfile(r0, 0xffffffffffffffff, &(0x7f0000002080)=0x7a, 0x23b) 21:48:44 executing program 2: getpid() sched_setaffinity(0x0, 0xfffffffffffffedd, &(0x7f0000000240)) r0 = syz_open_dev$usbfs(&(0x7f0000000080), 0xb, 0x101301) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000200)) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000040)=@usbdevfs_connect) (fail_nth: 20) [ 1280.474885][T12296] hub 1-0:1.0: config failed, can't get hub status (err -5) 21:48:44 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x5, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) 21:48:44 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) r2 = syz_io_uring_complete(0x0) r3 = socket$nl_generic(0x10, 0x3, 0x10) r4 = syz_genetlink_get_family_id$ethtool(&(0x7f0000000040), 0xffffffffffffffff) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r3, 0x8933, &(0x7f0000000080)={'batadv_slave_0\x00'}) sendmsg$ETHTOOL_MSG_FEATURES_GET(r3, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000000)={&(0x7f00000001c0)={0x18, r4, 0x78207b9cf137c31d, 0x0, 0x0, {0x4}, [@HEADER={0x4}]}, 0x18}}, 0x4080) ioctl$sock_SIOCGIFINDEX(r0, 0x8933, &(0x7f00000000c0)={'veth0_to_hsr\x00', 0x0}) r6 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX(r6, 0x8933, &(0x7f00000000c0)={'macvtap0\x00', 0x0}) r8 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_route(r8, &(0x7f0000000040)={0x0, 0x0, &(0x7f00000006c0)={&(0x7f0000000100)=@bridge_delneigh={0x28, 0x1d, 0xc07, 0x0, 0x0, {0x7, 0x0, 0x0, r7, 0x0, 0x2}, [@NDA_LLADDR={0xa, 0x2, @dev}]}, 0x28}}, 0x0) bpf$BPF_GET_PROG_INFO(0xf, &(0x7f0000000440)={0xffffffffffffffff, 0xe0, &(0x7f0000000340)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1, &(0x7f0000000100)=[0x0], ""/16, 0x0, 0x0, 0x0, 0x0, 0x7, 0x2, &(0x7f0000000140)=[0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0], &(0x7f0000000200)=[0x0, 0x0], 0x0, 0x8, &(0x7f0000000240)=[{}, {}, {}, {}, {}], 0x28, 0x10, &(0x7f0000000280), &(0x7f00000002c0), 0x8, 0x10, 0x8, 0x8, &(0x7f0000000300)}}, 0x10) ioctl$ifreq_SIOCGIFINDEX_vcan(r0, 0x8933, &(0x7f0000000480)={'vxcan1\x00', 0x0}) sendmsg$ETHTOOL_MSG_LINKMODES_SET(r2, &(0x7f0000000640)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x400}, 0xc, &(0x7f0000000600)={&(0x7f00000004c0)={0x118, r4, 0x800, 0x70bd2a, 0x25dfdbfd, {}, [@ETHTOOL_A_LINKMODES_OURS={0xc, 0x3, 0x0, 0x1, [@ETHTOOL_A_BITSET_NOMASK={0x4}, @ETHTOOL_A_BITSET_NOMASK={0x4}]}, @ETHTOOL_A_LINKMODES_MASTER_SLAVE_CFG={0x5, 0x7, 0x7}, @ETHTOOL_A_LINKMODES_DUPLEX={0x5, 0x6, 0x5}, @ETHTOOL_A_LINKMODES_AUTONEG={0x5, 0x2, 0x5}, @ETHTOOL_A_LINKMODES_HEADER={0x54, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_INDEX={0x8}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'rose0\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x3}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth0_to_bridge\x00'}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r5}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x3}]}, @ETHTOOL_A_LINKMODES_HEADER={0x84, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'netpci0\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r7}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'vlan1\x00'}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'nr0\x00'}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r9}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r10}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'team0\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}]}, @ETHTOOL_A_LINKMODES_SPEED={0x8, 0x5, 0x7fff}]}, 0x118}, 0x1, 0x0, 0x0, 0x20040000}, 0x800) r11 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r11, 0x8933, &(0x7f0000000680)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_JOIN_MESH(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000000)={0x28, r1, 0x5, 0x0, 0x0, {{}, {@val={0x8, 0x3, r12}, @void}}, [@NL80211_ATTR_MESH_CONFIG={0xc, 0x23, 0x0, 0x1, [@NL80211_MESHCONF_CONFIRM_TIMEOUT={0x6}]}]}, 0x28}}, 0x0) socket$nl_generic(0x10, 0x3, 0x10) (async) syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) (async) syz_io_uring_complete(0x0) (async) socket$nl_generic(0x10, 0x3, 0x10) (async) syz_genetlink_get_family_id$ethtool(&(0x7f0000000040), 0xffffffffffffffff) (async) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r3, 0x8933, &(0x7f0000000080)={'batadv_slave_0\x00'}) (async) sendmsg$ETHTOOL_MSG_FEATURES_GET(r3, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000000)={&(0x7f00000001c0)={0x18, r4, 0x78207b9cf137c31d, 0x0, 0x0, {0x4}, [@HEADER={0x4}]}, 0x18}}, 0x4080) (async) ioctl$sock_SIOCGIFINDEX(r0, 0x8933, &(0x7f00000000c0)={'veth0_to_hsr\x00'}) (async) socket$nl_generic(0x10, 0x3, 0x10) (async) ioctl$sock_SIOCGIFINDEX(r6, 0x8933, &(0x7f00000000c0)={'macvtap0\x00'}) (async) socket$netlink(0x10, 0x3, 0x0) (async) sendmsg$nl_route(r8, &(0x7f0000000040)={0x0, 0x0, &(0x7f00000006c0)={&(0x7f0000000100)=@bridge_delneigh={0x28, 0x1d, 0xc07, 0x0, 0x0, {0x7, 0x0, 0x0, r7, 0x0, 0x2}, [@NDA_LLADDR={0xa, 0x2, @dev}]}, 0x28}}, 0x0) (async) bpf$BPF_GET_PROG_INFO(0xf, &(0x7f0000000440)={0xffffffffffffffff, 0xe0, &(0x7f0000000340)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1, &(0x7f0000000100)=[0x0], ""/16, 0x0, 0x0, 0x0, 0x0, 0x7, 0x2, &(0x7f0000000140)=[0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0], &(0x7f0000000200)=[0x0, 0x0], 0x0, 0x8, &(0x7f0000000240)=[{}, {}, {}, {}, {}], 0x28, 0x10, &(0x7f0000000280), &(0x7f00000002c0), 0x8, 0x10, 0x8, 0x8, &(0x7f0000000300)}}, 0x10) (async) ioctl$ifreq_SIOCGIFINDEX_vcan(r0, 0x8933, &(0x7f0000000480)={'vxcan1\x00'}) (async) sendmsg$ETHTOOL_MSG_LINKMODES_SET(r2, &(0x7f0000000640)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x400}, 0xc, &(0x7f0000000600)={&(0x7f00000004c0)={0x118, r4, 0x800, 0x70bd2a, 0x25dfdbfd, {}, [@ETHTOOL_A_LINKMODES_OURS={0xc, 0x3, 0x0, 0x1, [@ETHTOOL_A_BITSET_NOMASK={0x4}, @ETHTOOL_A_BITSET_NOMASK={0x4}]}, @ETHTOOL_A_LINKMODES_MASTER_SLAVE_CFG={0x5, 0x7, 0x7}, @ETHTOOL_A_LINKMODES_DUPLEX={0x5, 0x6, 0x5}, @ETHTOOL_A_LINKMODES_AUTONEG={0x5, 0x2, 0x5}, @ETHTOOL_A_LINKMODES_HEADER={0x54, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_INDEX={0x8}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'rose0\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x3}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth0_to_bridge\x00'}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r5}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x3}]}, @ETHTOOL_A_LINKMODES_HEADER={0x84, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'netpci0\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r7}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'vlan1\x00'}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'nr0\x00'}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r9}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r10}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'team0\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}]}, @ETHTOOL_A_LINKMODES_SPEED={0x8, 0x5, 0x7fff}]}, 0x118}, 0x1, 0x0, 0x0, 0x20040000}, 0x800) (async) socket$nl_generic(0x10, 0x3, 0x10) (async) ioctl$sock_SIOCGIFINDEX_80211(r11, 0x8933, &(0x7f0000000680)={'wlan1\x00'}) (async) sendmsg$NL80211_CMD_JOIN_MESH(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000000)={0x28, r1, 0x5, 0x0, 0x0, {{}, {@val={0x8, 0x3, r12}, @void}}, [@NL80211_ATTR_MESH_CONFIG={0xc, 0x23, 0x0, 0x1, [@NL80211_MESHCONF_CONFIRM_TIMEOUT={0x6}]}]}, 0x28}}, 0x0) (async) 21:48:44 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000080), 0x101803, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) r2 = io_uring_setup(0x5c11, &(0x7f00000004c0)={0x0, 0x9dff, 0x2000, 0x4, 0x2af, 0x0, r0}) r3 = openat$pfkey(0xffffffffffffff9c, &(0x7f0000000040), 0x44001, 0x0) syz_clone3(&(0x7f0000000540)={0x6285080, &(0x7f0000000100)=0xffffffffffffffff, &(0x7f0000000300), &(0x7f0000000140), {0x10}, &(0x7f0000000200)=""/239, 0xef, &(0x7f0000000340)=""/177, &(0x7f0000000400), 0x0, {r3}}, 0x26) dup3(r2, r4, 0x80000) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)=ANY=[@ANYBLOB="00000500bbbbbbbbbbbbdf2a1770bfa08100000008004500001c0000000000329078ac1e0001248385136405347e657d3565"], 0x32) 21:48:44 executing program 3: openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) (async) r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) fcntl$dupfd(r0, 0x406, r1) (async) r2 = fcntl$dupfd(r0, 0x406, r1) ioctl$TUNSETIFF(r2, 0x400454ca, &(0x7f0000000040)={'veth1\x00', 0x2000}) write$tun(r0, &(0x7f00000001c0)={@val={0x0, 0xb3be566d1db65b19}, @void, @x25={0x2, 0x1, 0xfb, "6b4c5bd9e88b5087e36648be8760a0415fa290e7f0cdcab65e836b894f954dafb01c1adb1225e1cad355f861657da2962697b20dec80aad4fab47fdc5d8226ee5dcbff3c09ac2b7e947983f47056ec9d2fc065e4f1c97fef5f94c34be2d68068aba7fef9b0760b5c8b700bc69b72326d194d61ff4478"}}, 0x7d) [ 1280.605378][T12318] hub 1-0:1.0: USB hub found [ 1280.622016][T12318] hub 1-0:1.0: 1 port detected [ 1280.631750][T12318] FAULT_INJECTION: forcing a failure. [ 1280.631750][T12318] name failslab, interval 1, probability 0, space 0, times 0 [ 1280.661433][T12318] CPU: 1 PID: 12318 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1280.670153][T12318] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1280.680214][T12318] Call Trace: [ 1280.683525][T12318] [ 1280.686473][T12318] dump_stack_lvl+0x125/0x1b0 [ 1280.691153][T12318] should_fail_ex+0x496/0x5b0 [ 1280.695835][T12318] should_failslab+0x9/0x20 [ 1280.700336][T12318] __kmem_cache_alloc_node+0x2fd/0x350 [ 1280.705817][T12318] ? usb_control_msg+0xbd/0x4a0 [ 1280.710681][T12318] kmalloc_trace+0x25/0xe0 [ 1280.715131][T12318] usb_control_msg+0xbd/0x4a0 [ 1280.719816][T12318] ? usb_start_wait_urb+0x4c0/0x4c0 [ 1280.725012][T12318] ? usb_get_status+0x112/0x270 [ 1280.729940][T12318] ? __kmem_cache_free+0xb8/0x2f0 [ 1280.734970][T12318] hub_hub_status+0x107/0x340 [ 1280.739643][T12318] hub_probe+0x1975/0x3070 [ 1280.744122][T12318] ? lock_release+0x4bf/0x680 [ 1280.748817][T12318] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1280.755075][T12318] ? reacquire_held_locks+0x4b0/0x4b0 [ 1280.760451][T12318] ? trace_print_lat_context+0x5a0/0xa70 [ 1280.766075][T12318] ? read_tsc+0x9/0x20 [ 1280.770143][T12318] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1280.775725][T12318] ? rcu_is_watching+0x12/0xb0 [ 1280.780491][T12318] usb_probe_interface+0x307/0x930 [ 1280.785609][T12318] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1280.791160][T12318] really_probe+0x234/0xc90 [ 1280.795664][T12318] __driver_probe_device+0x1de/0x4b0 [ 1280.800947][T12318] driver_probe_device+0x4c/0x1a0 [ 1280.805975][T12318] __device_attach_driver+0x1d4/0x300 [ 1280.811349][T12318] ? driver_probe_device+0x1a0/0x1a0 [ 1280.816659][T12318] bus_for_each_drv+0x157/0x1d0 [ 1280.821517][T12318] ? bus_for_each_dev+0x1d0/0x1d0 [ 1280.826538][T12318] ? rcu_is_watching+0x12/0xb0 [ 1280.831295][T12318] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1280.837275][T12318] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1280.843087][T12318] __device_attach+0x1e8/0x4b0 [ 1280.847851][T12318] ? device_driver_attach+0x200/0x200 [ 1280.853226][T12318] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1280.858170][T12318] proc_ioctl+0x585/0x6a0 [ 1280.862504][T12318] usbdev_ioctl+0x1650/0x3ca0 [ 1280.867188][T12318] ? do_proc_control+0x1070/0x1070 [ 1280.872443][T12318] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1280.878431][T12318] ? do_vfs_ioctl+0x379/0x1920 [ 1280.883191][T12318] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1280.888212][T12318] ? reacquire_held_locks+0x4b0/0x4b0 [ 1280.893589][T12318] ? bit_wait_timeout+0x160/0x160 [ 1280.898699][T12318] ? __fget_files+0x279/0x410 [ 1280.903898][T12318] ? __fget_files+0x279/0x410 [ 1280.908567][T12318] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1280.913589][T12318] ? do_proc_control+0x1070/0x1070 [ 1280.918705][T12318] __x64_sys_ioctl+0x18f/0x210 [ 1280.923460][T12318] do_syscall_64+0x38/0xb0 [ 1280.927865][T12318] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1280.933759][T12318] RIP: 0033:0x7f08a827cae9 [ 1280.938165][T12318] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1280.957851][T12318] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1280.966256][T12318] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 [ 1280.974220][T12318] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1280.982178][T12318] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1280.990138][T12318] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 [ 1280.998104][T12318] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1281.006073][T12318] 21:48:45 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) (async) r2 = fcntl$dupfd(r0, 0x406, r1) ioctl$TUNSETIFF(r2, 0x400454ca, &(0x7f0000000040)={'veth1\x00', 0x2000}) (async) write$tun(r0, &(0x7f00000001c0)={@val={0x0, 0xb3be566d1db65b19}, @void, @x25={0x2, 0x1, 0xfb, "6b4c5bd9e88b5087e36648be8760a0415fa290e7f0cdcab65e836b894f954dafb01c1adb1225e1cad355f861657da2962697b20dec80aad4fab47fdc5d8226ee5dcbff3c09ac2b7e947983f47056ec9d2fc065e4f1c97fef5f94c34be2d68068aba7fef9b0760b5c8b700bc69b72326d194d61ff4478"}}, 0x7d) 21:48:45 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000080), 0x101803, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) r2 = io_uring_setup(0x5c11, &(0x7f00000004c0)={0x0, 0x9dff, 0x2000, 0x4, 0x2af, 0x0, r0}) openat$pfkey(0xffffffffffffff9c, &(0x7f0000000040), 0x44001, 0x0) (async) r3 = openat$pfkey(0xffffffffffffff9c, &(0x7f0000000040), 0x44001, 0x0) syz_clone3(&(0x7f0000000540)={0x6285080, &(0x7f0000000100)=0xffffffffffffffff, &(0x7f0000000300), &(0x7f0000000140), {0x10}, &(0x7f0000000200)=""/239, 0xef, &(0x7f0000000340)=""/177, &(0x7f0000000400), 0x0, {r3}}, 0x26) dup3(r2, r4, 0x80000) (async) dup3(r2, r4, 0x80000) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)=ANY=[@ANYBLOB="00000500bbbbbbbbbbbbdf2a1770bfa08100000008004500001c0000000000329078ac1e0001248385136405347e657d3565"], 0x32) 21:48:45 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x6, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) 21:48:45 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) (async) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) (async) r2 = syz_io_uring_complete(0x0) r3 = socket$nl_generic(0x10, 0x3, 0x10) r4 = syz_genetlink_get_family_id$ethtool(&(0x7f0000000040), 0xffffffffffffffff) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r3, 0x8933, &(0x7f0000000080)={'batadv_slave_0\x00'}) (async) sendmsg$ETHTOOL_MSG_FEATURES_GET(r3, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000000)={&(0x7f00000001c0)={0x18, r4, 0x78207b9cf137c31d, 0x0, 0x0, {0x4}, [@HEADER={0x4}]}, 0x18}}, 0x4080) (async) ioctl$sock_SIOCGIFINDEX(r0, 0x8933, &(0x7f00000000c0)={'veth0_to_hsr\x00', 0x0}) (async) r6 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX(r6, 0x8933, &(0x7f00000000c0)={'macvtap0\x00', 0x0}) (async) r8 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_route(r8, &(0x7f0000000040)={0x0, 0x0, &(0x7f00000006c0)={&(0x7f0000000100)=@bridge_delneigh={0x28, 0x1d, 0xc07, 0x0, 0x0, {0x7, 0x0, 0x0, r7, 0x0, 0x2}, [@NDA_LLADDR={0xa, 0x2, @dev}]}, 0x28}}, 0x0) bpf$BPF_GET_PROG_INFO(0xf, &(0x7f0000000440)={0xffffffffffffffff, 0xe0, &(0x7f0000000340)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1, &(0x7f0000000100)=[0x0], ""/16, 0x0, 0x0, 0x0, 0x0, 0x7, 0x2, &(0x7f0000000140)=[0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0], &(0x7f0000000200)=[0x0, 0x0], 0x0, 0x8, &(0x7f0000000240)=[{}, {}, {}, {}, {}], 0x28, 0x10, &(0x7f0000000280), &(0x7f00000002c0), 0x8, 0x10, 0x8, 0x8, &(0x7f0000000300)}}, 0x10) (async) ioctl$ifreq_SIOCGIFINDEX_vcan(r0, 0x8933, &(0x7f0000000480)={'vxcan1\x00', 0x0}) sendmsg$ETHTOOL_MSG_LINKMODES_SET(r2, &(0x7f0000000640)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x400}, 0xc, &(0x7f0000000600)={&(0x7f00000004c0)={0x118, r4, 0x800, 0x70bd2a, 0x25dfdbfd, {}, [@ETHTOOL_A_LINKMODES_OURS={0xc, 0x3, 0x0, 0x1, [@ETHTOOL_A_BITSET_NOMASK={0x4}, @ETHTOOL_A_BITSET_NOMASK={0x4}]}, @ETHTOOL_A_LINKMODES_MASTER_SLAVE_CFG={0x5, 0x7, 0x7}, @ETHTOOL_A_LINKMODES_DUPLEX={0x5, 0x6, 0x5}, @ETHTOOL_A_LINKMODES_AUTONEG={0x5, 0x2, 0x5}, @ETHTOOL_A_LINKMODES_HEADER={0x54, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_INDEX={0x8}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'rose0\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x3}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth0_to_bridge\x00'}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r5}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x3}]}, @ETHTOOL_A_LINKMODES_HEADER={0x84, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'netpci0\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r7}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'vlan1\x00'}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'nr0\x00'}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r9}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r10}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'team0\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}]}, @ETHTOOL_A_LINKMODES_SPEED={0x8, 0x5, 0x7fff}]}, 0x118}, 0x1, 0x0, 0x0, 0x20040000}, 0x800) (async) r11 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r11, 0x8933, &(0x7f0000000680)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_JOIN_MESH(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000000)={0x28, r1, 0x5, 0x0, 0x0, {{}, {@val={0x8, 0x3, r12}, @void}}, [@NL80211_ATTR_MESH_CONFIG={0xc, 0x23, 0x0, 0x1, [@NL80211_MESHCONF_CONFIRM_TIMEOUT={0x6}]}]}, 0x28}}, 0x0) [ 1281.035020][T12318] hub 1-0:1.0: hub_hub_status failed (err = -12) [ 1281.064919][T12318] hub 1-0:1.0: config failed, can't get hub status (err -12) 21:48:45 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000280)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0xb4, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xa0, 0x0, @opaque="4ca54973f4d1ce15f59ce0efdfb4215d2c33128768bc9b6323f9bd0f96115b956723b9b591ff88b7cf5dcc5010be78b3c84123811350dea4a1bea0317227c64af054ba1c4e056fab7678a59104b6a3e87eba83f02fa52e2cc2c8c61dacf16ed3a1398f7d361e097811dc8d3a5cacdd2ac85c1afa513e41c8881006866a317c611fa581df8538aecb6ee6b08c3115b9584ec022ea5890e9eb"}}}}}}, 0xca) 21:48:45 executing program 2: getpid() sched_setaffinity(0x0, 0xfffffffffffffedd, &(0x7f0000000240)) r0 = syz_open_dev$usbfs(&(0x7f0000000080), 0xb, 0x101301) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000200)) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000040)=@usbdevfs_connect) (fail_nth: 21) [ 1281.186762][T12354] hub 1-0:1.0: USB hub found [ 1281.197854][T12354] hub 1-0:1.0: 1 port detected [ 1281.204471][T12354] FAULT_INJECTION: forcing a failure. [ 1281.204471][T12354] name failslab, interval 1, probability 0, space 0, times 0 [ 1281.235503][T12354] CPU: 1 PID: 12354 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1281.244304][T12354] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1281.254369][T12354] Call Trace: [ 1281.257660][T12354] [ 1281.260606][T12354] dump_stack_lvl+0x125/0x1b0 [ 1281.265294][T12354] should_fail_ex+0x496/0x5b0 [ 1281.269990][T12354] should_failslab+0x9/0x20 [ 1281.274508][T12354] __kmem_cache_alloc_node+0x2fd/0x350 [ 1281.279993][T12354] ? usb_alloc_urb+0x69/0xa0 [ 1281.284575][T12354] ? usb_alloc_urb+0x69/0xa0 [ 1281.289165][T12354] __kmalloc+0x4f/0x100 [ 1281.293334][T12354] usb_alloc_urb+0x69/0xa0 [ 1281.297756][T12354] usb_control_msg+0x1d4/0x4a0 [ 1281.302548][T12354] ? usb_start_wait_urb+0x4c0/0x4c0 [ 1281.307745][T12354] ? usb_get_status+0x112/0x270 [ 1281.312593][T12354] ? __kmem_cache_free+0xb8/0x2f0 [ 1281.317618][T12354] hub_hub_status+0x107/0x340 [ 1281.322294][T12354] hub_probe+0x1975/0x3070 [ 1281.326853][T12354] ? lock_release+0x4bf/0x680 [ 1281.331545][T12354] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1281.337789][T12354] ? reacquire_held_locks+0x4b0/0x4b0 [ 1281.343253][T12354] ? trace_print_lat_context+0x5a0/0xa70 [ 1281.349236][T12354] ? read_tsc+0x9/0x20 [ 1281.353306][T12354] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1281.358873][T12354] ? rcu_is_watching+0x12/0xb0 [ 1281.363632][T12354] usb_probe_interface+0x307/0x930 [ 1281.368736][T12354] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1281.374099][T12354] really_probe+0x234/0xc90 [ 1281.380603][T12354] __driver_probe_device+0x1de/0x4b0 [ 1281.385890][T12354] driver_probe_device+0x4c/0x1a0 [ 1281.390918][T12354] __device_attach_driver+0x1d4/0x300 [ 1281.396309][T12354] ? driver_probe_device+0x1a0/0x1a0 [ 1281.401593][T12354] bus_for_each_drv+0x157/0x1d0 [ 1281.407221][T12354] ? bus_for_each_dev+0x1d0/0x1d0 [ 1281.412237][T12354] ? rcu_is_watching+0x12/0xb0 [ 1281.416998][T12354] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1281.422975][T12354] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1281.428787][T12354] __device_attach+0x1e8/0x4b0 [ 1281.433550][T12354] ? device_driver_attach+0x200/0x200 [ 1281.439097][T12354] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1281.444032][T12354] proc_ioctl+0x585/0x6a0 [ 1281.448364][T12354] usbdev_ioctl+0x1650/0x3ca0 [ 1281.453049][T12354] ? do_proc_control+0x1070/0x1070 [ 1281.458183][T12354] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1281.464125][T12354] ? do_vfs_ioctl+0x379/0x1920 [ 1281.468968][T12354] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1281.473988][T12354] ? reacquire_held_locks+0x4b0/0x4b0 [ 1281.479358][T12354] ? bit_wait_timeout+0x160/0x160 [ 1281.484379][T12354] ? __fget_files+0x279/0x410 [ 1281.489049][T12354] ? __fget_files+0x279/0x410 [ 1281.493721][T12354] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1281.498658][T12354] ? do_proc_control+0x1070/0x1070 [ 1281.503771][T12354] __x64_sys_ioctl+0x18f/0x210 [ 1281.508533][T12354] do_syscall_64+0x38/0xb0 [ 1281.512970][T12354] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1281.518883][T12354] RIP: 0033:0x7f08a827cae9 [ 1281.523356][T12354] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1281.543567][T12354] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1281.552405][T12354] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 [ 1281.560388][T12354] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1281.568387][T12354] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1281.576345][T12354] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 21:48:45 executing program 5: r0 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) r1 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r1, &(0x7f000000c2c0)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000340)=ANY=[@ANYBLOB="140000001000010000000000000000000000000a28000000000a0101000000005e2bffdf020000000900010073797a300000000008000240000000032c000000030a01030000e6ff00000000020004000900010073797a30000000000900030073797a320000000014000000110001"], 0x7c}}, 0x0) sendmsg$NFT_BATCH(r1, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000440)={{0x14}, [@NFT_MSG_NEWRULE={0x50, 0x6, 0xa, 0x40b, 0x0, 0x0, {0x2}, [@NFTA_RULE_EXPRESSIONS={0x24, 0x4, 0x0, 0x1, [{0x20, 0x1, 0x0, 0x1, @quota={{0xa}, @val={0x10, 0x2, 0x0, 0x1, [@NFTA_QUOTA_BYTES={0xc}]}}}]}, @NFTA_RULE_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_RULE_CHAIN={0x9, 0x2, 'syz2\x00'}]}, @NFT_MSG_DELRULE={0x14}], {0x14}}, 0x8c}}, 0x0) r2 = getpid() sched_setscheduler(r2, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r3, &(0x7f0000000180)=@abs, 0x6e) (async) sendmmsg$unix(r4, &(0x7f00000bd000), 0x318, 0x0) (async) recvmmsg(r3, &(0x7f00000000c0), 0x10106, 0x2, 0x0) (async) r5 = syz_init_net_socket$nfc_llcp(0x27, 0x3, 0x1) (async) r6 = openat$incfs(0xffffffffffffff9c, &(0x7f000000a180)='.pending_reads\x00', 0x80, 0x80) (async) r7 = getuid() sendmsg$IPCTNL_MSG_TIMEOUT_DEFAULT_GET(r6, &(0x7f0000002340)={&(0x7f00000013c0)={0x10, 0x0, 0x0, 0x20000}, 0xc, &(0x7f0000002300)={&(0x7f0000002280)=ANY=[@ANYBLOB="64000000000100000a000002000000000500000906000240000d000306000240000d0000060002400a010000240004803f000140000001000800024000000004080001400240505300000400048092f80dc909e72f5061eb6705001a5289000000010400"], 0x64}, 0x1, 0x0, 0x0, 0x40084}, 0x8000) (async) sendmmsg$unix(r5, &(0x7f0000003880)=[{{&(0x7f0000002200)=@abs={0x0, 0x0, 0x4e20}, 0x6e, &(0x7f0000002640)=[{&(0x7f0000002380)="a04fdb", 0x3}], 0x1, &(0x7f0000002b00)=[@cred={{0x1c}}, @rights={{0x14, 0x1, 0x1, [0xffffffffffffffff]}}, @rights={{0x30, 0x1, 0x1, [0xffffffffffffffff, r6, 0xffffffffffffffff, r6, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, r4]}}, @rights={{0x20, 0x1, 0x1, [0xffffffffffffffff, r4, 0xffffffffffffffff, 0xffffffffffffffff]}}], 0x88, 0x81}}, {{&(0x7f0000002880)=@abs={0x1, 0x0, 0x4e23}, 0x6e, &(0x7f0000002c00)=[{&(0x7f0000002bc0)="b43b2a7bd5cd2dec379051f74424756bb6f77b5e8f779acbc9c984a6f6808b2abbd072e90869409a6f438480dfcd26c00eb1c68c41c8", 0x36}], 0x1, &(0x7f0000002d40)=[@rights={{0x18, 0x1, 0x1, [0xffffffffffffffff, r6]}}, @rights={{0x14, 0x1, 0x1, [0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {r2, r7}}}, @rights={{0x2c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, r3, 0xffffffffffffffff, 0xffffffffffffffff, r3]}}, @cred={{0x1c}}], 0xa0, 0x20000000}}, {{&(0x7f0000002e00)=@file={0x0, './file1\x00'}, 0x6e, &(0x7f0000002f80)=[{&(0x7f0000002e80)="e8b2d367047c6877450101f94478f8031c", 0x11}, {&(0x7f0000002ec0)="44d6ca0e65bfde1fbca8e0e70fbf4ab8648de74a0400eae22b3b7cfaccf15678ecfce854c880e64d69787c3d98d45fdc102fe57a75ccb4960b1f7dbd85c4bfbc8386958f3ffc1552604ad781b43c106906256fb5164d53b159734cfc75a058febf00b1e1c70c06a6980476a298b445db7d233215e40f9af0", 0x78}, {&(0x7f0000002f40)="0866c00ed4b9f6f005d6912ed2df32d9456d7a241e9fbf55f7", 0x19}], 0x3, &(0x7f0000003040)=[@cred={{0x1c, 0x1, 0x2, {r2}}}, @cred={{0x1c, 0x1, 0x2, {0x0, r7}}}, @rights={{0x14, 0x1, 0x1, [r6]}}, @cred={{0x1c}}], 0x78, 0x40000}}, {{&(0x7f00000030c0)=@abs={0x0, 0x0, 0x4e20}, 0x6e, &(0x7f0000003540)=[{&(0x7f0000003140)="14077d3db1bed9322b5e826f5916337ed712fc139f0474475ff862a8639976a63fe0f06532947ac56c1d2b79fc72ad4ee43b2a3dbf09dcf23a7fc6d32d27654ad4169ede4d612cfee30a0d464192f32402cd303d6a", 0x55}, {&(0x7f00000031c0)="4726d4f8d707bce2f5bbec46f9a601473f8a577c6afb9820f777273c0b98c32532e4fc776224f6257dad2d5828f35eda3bf144ab44604db4e11aa2d5f7e771d948e90e242ec7484c5aa0b24a7244b1cb19f6f3089313379e2c6a0d8224e79061a99c6500703b63c0bb6d563db6871d7a521b38080f10154a048144de52306fe76d68671461753b585de4796fe8bf5508ea7a71466ce9c70ed7e74814ac7328dc2f76600522c4d636102d22a6b92aa78ab5af71492b4037872d2451d9189d52488eac4405ee33807fcc38f2a37fdd24cebe30e00272d6883a375ce9c217a43e0860f594", 0xe3}, {&(0x7f00000032c0)}, {&(0x7f0000003300)="aa161feac279e4883b7c6e96139386a5d2a121a352270bf536bf74778e8de14887e56f404e5c96d86df741504529448ded271be9c13f0e8e68e91921a4d1e3df81deda16e186b51e237c5470da50de97162f53875339b7b2d35252862b3257e5467ae20041e7c34c0fe9ecf754e94028e044cdb92091abaddea9ea729579c4676afefa19f7e5f20247c36940d748e894a2a6", 0x92}, {&(0x7f00000033c0)="87c8451d57a386ab27bfbeb6df7e77f27ca6bc3c33d29d11fc66e4e6c319478e3dedb8c5b43983f2eee216e9cfad39166a803d36875d63258b1aa35ba675accc8e5efac60cb83c2b0bd4139f1ceac4f725cd127f7f11b5bf9a693ea37a55f616349ff9256c081f6a73cc494a3296f7df7bbd9e5f0145e2a8dbfeca6bdc417d6d32d2fabcba53809226338a1919011905fa8aa56cddfe5567f3bf76c24c518d6cc7590985bbdb7d7b82e94d69df619ab0946d36f3b3be2fc0821e440c7fc2e52327c74812477e21a017bbfb25fa77795c4c11bf6fb6470e73722b", 0xda}, {&(0x7f00000047c0)="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", 0x1000}, {&(0x7f00000034c0)="de2711e941305064cc2fae1aec024f", 0xf}, {&(0x7f0000003500)="b4711cbf0d877bb6870d8b81674aac8a72e13cdd4eef709777be73919fa2d91560047adfe19002d6f510922e2a", 0x2d}], 0x8, &(0x7f0000003780)=[@cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff, r7}}}, @cred={{0x1c, 0x1, 0x2, {r2}}}, @rights={{0x2c, 0x1, 0x1, [r3, r6, 0xffffffffffffffff, r5, r6, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c}}, @rights={{0x2c, 0x1, 0x1, [r4, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @rights={{0x20, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, r5]}}, @rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, r4]}}], 0x100, 0x2404c005}}], 0x4, 0x4000005) (async) quotactl_fd$Q_SETQUOTA(r1, 0xc13441addafdfa1e, r7, &(0x7f0000000080)={0x2a9, 0x93, 0x7fff, 0x5, 0x3, 0x3, 0xffffffffffffff80, 0xc8, 0x2}) (async) sendfile(r0, 0xffffffffffffffff, &(0x7f0000002080)=0x7a, 0x23b) 21:48:45 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000080), 0x101803, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) r2 = io_uring_setup(0x5c11, &(0x7f00000004c0)={0x0, 0x9dff, 0x2000, 0x4, 0x2af, 0x0, r0}) r3 = openat$pfkey(0xffffffffffffff9c, &(0x7f0000000040), 0x44001, 0x0) syz_clone3(&(0x7f0000000540)={0x6285080, &(0x7f0000000100)=0xffffffffffffffff, &(0x7f0000000300), &(0x7f0000000140), {0x10}, &(0x7f0000000200)=""/239, 0xef, &(0x7f0000000340)=""/177, &(0x7f0000000400), 0x0, {r3}}, 0x26) dup3(r2, r4, 0x80000) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)=ANY=[@ANYBLOB="00000500bbbbbbbbbbbbdf2a1770bfa08100000008004500001c0000000000329078ac1e0001248385136405347e657d3565"], 0x32) openat$tun(0xffffffffffffff9c, &(0x7f0000000080), 0x101803, 0x0) (async) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) socket$kcm(0x2, 0xa, 0x2) (async) io_uring_setup(0x5c11, &(0x7f00000004c0)={0x0, 0x9dff, 0x2000, 0x4, 0x2af, 0x0, r0}) (async) openat$pfkey(0xffffffffffffff9c, &(0x7f0000000040), 0x44001, 0x0) (async) syz_clone3(&(0x7f0000000540)={0x6285080, &(0x7f0000000100), &(0x7f0000000300), &(0x7f0000000140), {0x10}, &(0x7f0000000200)=""/239, 0xef, &(0x7f0000000340)=""/177, &(0x7f0000000400), 0x0, {r3}}, 0x26) (async) dup3(r2, r4, 0x80000) (async) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) (async) write$tun(r0, &(0x7f0000000000)=ANY=[@ANYBLOB="00000500bbbbbbbbbbbbdf2a1770bfa08100000008004500001c0000000000329078ac1e0001248385136405347e657d3565"], 0x32) (async) 21:48:45 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000280)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0xb4, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xa0, 0x0, @opaque="4ca54973f4d1ce15f59ce0efdfb4215d2c33128768bc9b6323f9bd0f96115b956723b9b591ff88b7cf5dcc5010be78b3c84123811350dea4a1bea0317227c64af054ba1c4e056fab7678a59104b6a3e87eba83f02fa52e2cc2c8c61dacf16ed3a1398f7d361e097811dc8d3a5cacdd2ac85c1afa513e41c8881006866a317c611fa581df8538aecb6ee6b08c3115b9584ec022ea5890e9eb"}}}}}}, 0xca) (async) write$tun(r0, &(0x7f0000000280)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0xb4, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xa0, 0x0, @opaque="4ca54973f4d1ce15f59ce0efdfb4215d2c33128768bc9b6323f9bd0f96115b956723b9b591ff88b7cf5dcc5010be78b3c84123811350dea4a1bea0317227c64af054ba1c4e056fab7678a59104b6a3e87eba83f02fa52e2cc2c8c61dacf16ed3a1398f7d361e097811dc8d3a5cacdd2ac85c1afa513e41c8881006866a317c611fa581df8538aecb6ee6b08c3115b9584ec022ea5890e9eb"}}}}}}, 0xca) 21:48:45 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) r2 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000680)={'wlan1\x00', 0x0}) r4 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r5 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r5, r4, &(0x7f0000002080)=0x7a, 0x23b) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, &(0x7f00000000c0)={'wlan1\x00'}) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000280)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_SET_CQM(r2, &(0x7f0000000240)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x800000}, 0xc, &(0x7f0000000200)={&(0x7f00000002c0)={0x40, 0x0, 0x100, 0x70bd29, 0x25dfdbfe, {{}, {@val={0x8, 0x3, r6}, @val={0xc, 0x99, {0x86, 0x77}}}}, [@NL80211_ATTR_CQM={0x14, 0x5e, 0x0, 0x1, [@NL80211_ATTR_CQM_RSSI_HYST={0x8, 0x2, 0x5}, @NL80211_ATTR_CQM_TXE_PKTS={0x8, 0x6, 0x9dfe}]}, @NL80211_ATTR_CQM={0x4}]}, 0x40}, 0x1, 0x0, 0x0, 0x24000800}, 0x20008000) sendmsg$NL80211_CMD_JOIN_MESH(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000000)={0x28, r1, 0x5, 0x0, 0x0, {{}, {@val={0x8, 0x3, r3}, @void}}, [@NL80211_ATTR_MESH_CONFIG={0xc, 0x23, 0x0, 0x1, [@NL80211_MESHCONF_CONFIRM_TIMEOUT={0x6}]}]}, 0x28}}, 0x0) 21:48:45 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x7, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) 21:48:45 executing program 2: getpid() sched_setaffinity(0x0, 0xfffffffffffffedd, &(0x7f0000000240)) r0 = syz_open_dev$usbfs(&(0x7f0000000080), 0xb, 0x101301) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000200)) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000040)=@usbdevfs_connect) (fail_nth: 22) [ 1281.584407][T12354] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1281.592383][T12354] [ 1281.599451][T12354] hub 1-0:1.0: hub_hub_status failed (err = -12) [ 1281.610477][T12354] hub 1-0:1.0: config failed, can't get hub status (err -12) 21:48:45 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000280)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0xb4, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xa0, 0x0, @opaque="4ca54973f4d1ce15f59ce0efdfb4215d2c33128768bc9b6323f9bd0f96115b956723b9b591ff88b7cf5dcc5010be78b3c84123811350dea4a1bea0317227c64af054ba1c4e056fab7678a59104b6a3e87eba83f02fa52e2cc2c8c61dacf16ed3a1398f7d361e097811dc8d3a5cacdd2ac85c1afa513e41c8881006866a317c611fa581df8538aecb6ee6b08c3115b9584ec022ea5890e9eb"}}}}}}, 0xca) 21:48:45 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) r2 = openat$nvme_fabrics(0xffffffffffffff9c, &(0x7f0000000040), 0x420000, 0x0) ioctl$TUNSETIFF(r2, 0x400454ca, &(0x7f0000000080)={'veth1\x00', 0x1000}) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f00000001c0)=ANY=[@ANYBLOB="00000000bbbbbbbbbbbb0180c20000008100000008004500001c000000050000000000000001ac1414aa0000000000089078620edd1866222fe419894236175f8e102c93dce0c87bf4d72e199b56471ca576a3ea4937b3bc371fe7b134b745b891c831aaed122f96e5190f12d42ac97d17b3250aa264a3dae8fa6f12850e40d17d9cb802875e6d6744e69eb140e666aac5c39dd8ea50713a7ff512a612e8ac5fdd4a0a95b392e9a5"], 0x32) [ 1281.726638][T12376] hub 1-0:1.0: USB hub found [ 1281.740882][T12376] hub 1-0:1.0: 1 port detected [ 1281.769231][T12376] FAULT_INJECTION: forcing a failure. [ 1281.769231][T12376] name failslab, interval 1, probability 0, space 0, times 0 [ 1281.787620][T12374] syz-executor.4 (12374): drop_caches: 3 [ 1281.792722][T12376] CPU: 1 PID: 12376 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1281.802075][T12376] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1281.812116][T12376] Call Trace: [ 1281.815410][T12376] [ 1281.818345][T12376] dump_stack_lvl+0x125/0x1b0 [ 1281.823014][T12376] should_fail_ex+0x496/0x5b0 [ 1281.827803][T12376] should_failslab+0x9/0x20 [ 1281.832315][T12376] __kmem_cache_alloc_node+0x2fd/0x350 [ 1281.837832][T12376] ? usb_alloc_urb+0x69/0xa0 [ 1281.842627][T12376] ? usb_alloc_urb+0x69/0xa0 [ 1281.847756][T12376] __kmalloc+0x4f/0x100 [ 1281.851906][T12376] usb_alloc_urb+0x69/0xa0 [ 1281.856325][T12376] hub_probe+0x1b69/0x3070 [ 1281.860746][T12376] ? lock_release+0x4bf/0x680 [ 1281.865437][T12376] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1281.871732][T12376] ? reacquire_held_locks+0x4b0/0x4b0 [ 1281.877283][T12376] ? trace_print_lat_context+0x5a0/0xa70 [ 1281.883195][T12376] ? read_tsc+0x9/0x20 [ 1281.887343][T12376] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1281.893066][T12376] ? rcu_is_watching+0x12/0xb0 [ 1281.897843][T12376] usb_probe_interface+0x307/0x930 [ 1281.902975][T12376] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1281.908347][T12376] really_probe+0x234/0xc90 [ 1281.912855][T12376] __driver_probe_device+0x1de/0x4b0 [ 1281.918143][T12376] driver_probe_device+0x4c/0x1a0 [ 1281.924650][T12376] __device_attach_driver+0x1d4/0x300 [ 1281.930827][T12376] ? driver_probe_device+0x1a0/0x1a0 [ 1281.936111][T12376] bus_for_each_drv+0x157/0x1d0 [ 1281.940959][T12376] ? bus_for_each_dev+0x1d0/0x1d0 [ 1281.945986][T12376] ? rcu_is_watching+0x12/0xb0 [ 1281.950744][T12376] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1281.957068][T12376] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1281.962879][T12376] __device_attach+0x1e8/0x4b0 [ 1281.967670][T12376] ? device_driver_attach+0x200/0x200 [ 1281.973082][T12376] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1281.978043][T12376] proc_ioctl+0x585/0x6a0 [ 1281.982379][T12376] usbdev_ioctl+0x1650/0x3ca0 [ 1281.987080][T12376] ? do_proc_control+0x1070/0x1070 [ 1281.992189][T12376] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1281.998088][T12376] ? do_vfs_ioctl+0x379/0x1920 [ 1282.002877][T12376] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1282.007905][T12376] ? reacquire_held_locks+0x4b0/0x4b0 [ 1282.013293][T12376] ? bit_wait_timeout+0x160/0x160 [ 1282.018318][T12376] ? __fget_files+0x279/0x410 [ 1282.022989][T12376] ? __fget_files+0x279/0x410 [ 1282.027658][T12376] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1282.032608][T12376] ? do_proc_control+0x1070/0x1070 [ 1282.037758][T12376] __x64_sys_ioctl+0x18f/0x210 [ 1282.042536][T12376] do_syscall_64+0x38/0xb0 [ 1282.047005][T12376] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1282.052966][T12376] RIP: 0033:0x7f08a827cae9 [ 1282.057377][T12376] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1282.076985][T12376] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1282.085395][T12376] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 [ 1282.093469][T12376] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1282.102230][T12376] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1282.110194][T12376] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 21:48:46 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) ioctl$AUTOFS_DEV_IOCTL_EXPIRE(0xffffffffffffffff, 0xc018937c, &(0x7f0000000040)={{0x1, 0x1, 0x18, r0}, './file0\x00'}) r3 = syz_open_procfs(0x0, &(0x7f0000000100)='personality\x00') ioctl$TUNSETIFF(r3, 0x400454ca, &(0x7f00000001c0)={'team_slave_1\x00', 0x800}) ioctl$TUNSETIFF(r2, 0x400454ca, &(0x7f0000000080)={'wg2\x00', 0x1}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="e52a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="03000000"}}}}}}, 0x36) 21:48:46 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) r1 = socket$kcm(0x2, 0xa, 0x2) (async) r2 = openat$nvme_fabrics(0xffffffffffffff9c, &(0x7f0000000040), 0x420000, 0x0) ioctl$TUNSETIFF(r2, 0x400454ca, &(0x7f0000000080)={'veth1\x00', 0x1000}) (async) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) (async) write$tun(r0, &(0x7f00000001c0)=ANY=[@ANYBLOB="00000000bbbbbbbbbbbb0180c20000008100000008004500001c000000050000000000000001ac1414aa0000000000089078620edd1866222fe419894236175f8e102c93dce0c87bf4d72e199b56471ca576a3ea4937b3bc371fe7b134b745b891c831aaed122f96e5190f12d42ac97d17b3250aa264a3dae8fa6f12850e40d17d9cb802875e6d6744e69eb140e666aac5c39dd8ea50713a7ff512a612e8ac5fdd4a0a95b392e9a5"], 0x32) [ 1282.118168][T12376] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1282.126160][T12376] [ 1282.135165][T12376] hub 1-0:1.0: config failed, out of memory (err -12) 21:48:46 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) ioctl$AUTOFS_DEV_IOCTL_EXPIRE(0xffffffffffffffff, 0xc018937c, &(0x7f0000000040)={{0x1, 0x1, 0x18, r0}, './file0\x00'}) r3 = syz_open_procfs(0x0, &(0x7f0000000100)='personality\x00') ioctl$TUNSETIFF(r3, 0x400454ca, &(0x7f00000001c0)={'team_slave_1\x00', 0x800}) ioctl$TUNSETIFF(r2, 0x400454ca, &(0x7f0000000080)={'wg2\x00', 0x1}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="e52a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="03000000"}}}}}}, 0x36) openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) (async) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) socket$kcm(0x2, 0xa, 0x2) (async) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) (async) ioctl$AUTOFS_DEV_IOCTL_EXPIRE(0xffffffffffffffff, 0xc018937c, &(0x7f0000000040)={{0x1, 0x1, 0x18, r0}, './file0\x00'}) (async) syz_open_procfs(0x0, &(0x7f0000000100)='personality\x00') (async) ioctl$TUNSETIFF(r3, 0x400454ca, &(0x7f00000001c0)={'team_slave_1\x00', 0x800}) (async) ioctl$TUNSETIFF(r2, 0x400454ca, &(0x7f0000000080)={'wg2\x00', 0x1}) (async) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="e52a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="03000000"}}}}}}, 0x36) (async) 21:48:46 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x8, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) [ 1282.282805][T12374] syz-executor.4 (12374): drop_caches: 3 21:48:46 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) r2 = openat$nvme_fabrics(0xffffffffffffff9c, &(0x7f0000000040), 0x420000, 0x0) ioctl$TUNSETIFF(r2, 0x400454ca, &(0x7f0000000080)={'veth1\x00', 0x1000}) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f00000001c0)=ANY=[@ANYBLOB="00000000bbbbbbbbbbbb0180c20000008100000008004500001c000000050000000000000001ac1414aa0000000000089078620edd1866222fe419894236175f8e102c93dce0c87bf4d72e199b56471ca576a3ea4937b3bc371fe7b134b745b891c831aaed122f96e5190f12d42ac97d17b3250aa264a3dae8fa6f12850e40d17d9cb802875e6d6744e69eb140e666aac5c39dd8ea50713a7ff512a612e8ac5fdd4a0a95b392e9a5"], 0x32) openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) (async) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) socket$kcm(0x2, 0xa, 0x2) (async) openat$nvme_fabrics(0xffffffffffffff9c, &(0x7f0000000040), 0x420000, 0x0) (async) ioctl$TUNSETIFF(r2, 0x400454ca, &(0x7f0000000080)={'veth1\x00', 0x1000}) (async) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) (async) write$tun(r0, &(0x7f00000001c0)=ANY=[@ANYBLOB="00000000bbbbbbbbbbbb0180c20000008100000008004500001c000000050000000000000001ac1414aa0000000000089078620edd1866222fe419894236175f8e102c93dce0c87bf4d72e199b56471ca576a3ea4937b3bc371fe7b134b745b891c831aaed122f96e5190f12d42ac97d17b3250aa264a3dae8fa6f12850e40d17d9cb802875e6d6744e69eb140e666aac5c39dd8ea50713a7ff512a612e8ac5fdd4a0a95b392e9a5"], 0x32) (async) 21:48:46 executing program 5: r0 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) (async) r1 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r1, &(0x7f000000c2c0)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000340)=ANY=[@ANYBLOB="140000001000010000000000000000000000000a28000000000a0101000000005e2bffdf020000000900010073797a300000000008000240000000032c000000030a01030000e6ff00000000020004000900010073797a30000000000900030073797a320000000014000000110001"], 0x7c}}, 0x0) (async) sendmsg$NFT_BATCH(r1, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000440)={{0x14}, [@NFT_MSG_NEWRULE={0x50, 0x6, 0xa, 0x40b, 0x0, 0x0, {0x2}, [@NFTA_RULE_EXPRESSIONS={0x24, 0x4, 0x0, 0x1, [{0x20, 0x1, 0x0, 0x1, @quota={{0xa}, @val={0x10, 0x2, 0x0, 0x1, [@NFTA_QUOTA_BYTES={0xc}]}}}]}, @NFTA_RULE_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_RULE_CHAIN={0x9, 0x2, 'syz2\x00'}]}, @NFT_MSG_DELRULE={0x14}], {0x14}}, 0x8c}}, 0x0) r2 = getpid() sched_setscheduler(r2, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r3, &(0x7f0000000180)=@abs, 0x6e) (async) sendmmsg$unix(r4, &(0x7f00000bd000), 0x318, 0x0) (async) recvmmsg(r3, &(0x7f00000000c0), 0x10106, 0x2, 0x0) (async) r5 = syz_init_net_socket$nfc_llcp(0x27, 0x3, 0x1) (async) r6 = openat$incfs(0xffffffffffffff9c, &(0x7f000000a180)='.pending_reads\x00', 0x80, 0x80) (async) r7 = getuid() sendmsg$IPCTNL_MSG_TIMEOUT_DEFAULT_GET(r6, &(0x7f0000002340)={&(0x7f00000013c0)={0x10, 0x0, 0x0, 0x20000}, 0xc, &(0x7f0000002300)={&(0x7f0000002280)=ANY=[@ANYBLOB="64000000000100000a000002000000000500000906000240000d000306000240000d0000060002400a010000240004803f000140000001000800024000000004080001400240505300000400048092f80dc909e72f5061eb6705001a5289000000010400"], 0x64}, 0x1, 0x0, 0x0, 0x40084}, 0x8000) (async, rerun: 32) sendmmsg$unix(r5, &(0x7f0000003880)=[{{&(0x7f0000002200)=@abs={0x0, 0x0, 0x4e20}, 0x6e, &(0x7f0000002640)=[{&(0x7f0000002380)="a04fdb", 0x3}], 0x1, &(0x7f0000002b00)=[@cred={{0x1c}}, @rights={{0x14, 0x1, 0x1, [0xffffffffffffffff]}}, @rights={{0x30, 0x1, 0x1, [0xffffffffffffffff, r6, 0xffffffffffffffff, r6, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, r4]}}, @rights={{0x20, 0x1, 0x1, [0xffffffffffffffff, r4, 0xffffffffffffffff, 0xffffffffffffffff]}}], 0x88, 0x81}}, {{&(0x7f0000002880)=@abs={0x1, 0x0, 0x4e23}, 0x6e, &(0x7f0000002c00)=[{&(0x7f0000002bc0)="b43b2a7bd5cd2dec379051f74424756bb6f77b5e8f779acbc9c984a6f6808b2abbd072e90869409a6f438480dfcd26c00eb1c68c41c8", 0x36}], 0x1, &(0x7f0000002d40)=[@rights={{0x18, 0x1, 0x1, [0xffffffffffffffff, r6]}}, @rights={{0x14, 0x1, 0x1, [0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {r2, r7}}}, @rights={{0x2c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, r3, 0xffffffffffffffff, 0xffffffffffffffff, r3]}}, @cred={{0x1c}}], 0xa0, 0x20000000}}, {{&(0x7f0000002e00)=@file={0x0, './file1\x00'}, 0x6e, &(0x7f0000002f80)=[{&(0x7f0000002e80)="e8b2d367047c6877450101f94478f8031c", 0x11}, {&(0x7f0000002ec0)="44d6ca0e65bfde1fbca8e0e70fbf4ab8648de74a0400eae22b3b7cfaccf15678ecfce854c880e64d69787c3d98d45fdc102fe57a75ccb4960b1f7dbd85c4bfbc8386958f3ffc1552604ad781b43c106906256fb5164d53b159734cfc75a058febf00b1e1c70c06a6980476a298b445db7d233215e40f9af0", 0x78}, {&(0x7f0000002f40)="0866c00ed4b9f6f005d6912ed2df32d9456d7a241e9fbf55f7", 0x19}], 0x3, &(0x7f0000003040)=[@cred={{0x1c, 0x1, 0x2, {r2}}}, @cred={{0x1c, 0x1, 0x2, {0x0, r7}}}, @rights={{0x14, 0x1, 0x1, [r6]}}, @cred={{0x1c}}], 0x78, 0x40000}}, {{&(0x7f00000030c0)=@abs={0x0, 0x0, 0x4e20}, 0x6e, &(0x7f0000003540)=[{&(0x7f0000003140)="14077d3db1bed9322b5e826f5916337ed712fc139f0474475ff862a8639976a63fe0f06532947ac56c1d2b79fc72ad4ee43b2a3dbf09dcf23a7fc6d32d27654ad4169ede4d612cfee30a0d464192f32402cd303d6a", 0x55}, {&(0x7f00000031c0)="4726d4f8d707bce2f5bbec46f9a601473f8a577c6afb9820f777273c0b98c32532e4fc776224f6257dad2d5828f35eda3bf144ab44604db4e11aa2d5f7e771d948e90e242ec7484c5aa0b24a7244b1cb19f6f3089313379e2c6a0d8224e79061a99c6500703b63c0bb6d563db6871d7a521b38080f10154a048144de52306fe76d68671461753b585de4796fe8bf5508ea7a71466ce9c70ed7e74814ac7328dc2f76600522c4d636102d22a6b92aa78ab5af71492b4037872d2451d9189d52488eac4405ee33807fcc38f2a37fdd24cebe30e00272d6883a375ce9c217a43e0860f594", 0xe3}, {&(0x7f00000032c0)}, {&(0x7f0000003300)="aa161feac279e4883b7c6e96139386a5d2a121a352270bf536bf74778e8de14887e56f404e5c96d86df741504529448ded271be9c13f0e8e68e91921a4d1e3df81deda16e186b51e237c5470da50de97162f53875339b7b2d35252862b3257e5467ae20041e7c34c0fe9ecf754e94028e044cdb92091abaddea9ea729579c4676afefa19f7e5f20247c36940d748e894a2a6", 0x92}, {&(0x7f00000033c0)="87c8451d57a386ab27bfbeb6df7e77f27ca6bc3c33d29d11fc66e4e6c319478e3dedb8c5b43983f2eee216e9cfad39166a803d36875d63258b1aa35ba675accc8e5efac60cb83c2b0bd4139f1ceac4f725cd127f7f11b5bf9a693ea37a55f616349ff9256c081f6a73cc494a3296f7df7bbd9e5f0145e2a8dbfeca6bdc417d6d32d2fabcba53809226338a1919011905fa8aa56cddfe5567f3bf76c24c518d6cc7590985bbdb7d7b82e94d69df619ab0946d36f3b3be2fc0821e440c7fc2e52327c74812477e21a017bbfb25fa77795c4c11bf6fb6470e73722b", 0xda}, {&(0x7f00000047c0)="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", 0x1000}, {&(0x7f00000034c0)="de2711e941305064cc2fae1aec024f", 0xf}, {&(0x7f0000003500)="b4711cbf0d877bb6870d8b81674aac8a72e13cdd4eef709777be73919fa2d91560047adfe19002d6f510922e2a", 0x2d}], 0x8, &(0x7f0000003780)=[@cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff, r7}}}, @cred={{0x1c, 0x1, 0x2, {r2}}}, @rights={{0x2c, 0x1, 0x1, [r3, r6, 0xffffffffffffffff, r5, r6, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c}}, @rights={{0x2c, 0x1, 0x1, [r4, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @rights={{0x20, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, r5]}}, @rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, r4]}}], 0x100, 0x2404c005}}], 0x4, 0x4000005) (async, rerun: 32) quotactl_fd$Q_SETQUOTA(r1, 0xc13441addafdfa1e, r7, &(0x7f0000000080)={0x2a9, 0x93, 0x7fff, 0x5, 0x3, 0x3, 0xffffffffffffff80, 0xc8, 0x2}) sendfile(r0, 0xffffffffffffffff, &(0x7f0000002080)=0x7a, 0x23b) 21:48:46 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) (async) ioctl$AUTOFS_DEV_IOCTL_EXPIRE(0xffffffffffffffff, 0xc018937c, &(0x7f0000000040)={{0x1, 0x1, 0x18, r0}, './file0\x00'}) (async) r3 = syz_open_procfs(0x0, &(0x7f0000000100)='personality\x00') ioctl$TUNSETIFF(r3, 0x400454ca, &(0x7f00000001c0)={'team_slave_1\x00', 0x800}) ioctl$TUNSETIFF(r2, 0x400454ca, &(0x7f0000000080)={'wg2\x00', 0x1}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="e52a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="03000000"}}}}}}, 0x36) 21:48:46 executing program 2: getpid() sched_setaffinity(0x0, 0xfffffffffffffedd, &(0x7f0000000240)) r0 = syz_open_dev$usbfs(&(0x7f0000000080), 0xb, 0x101301) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000200)) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000040)=@usbdevfs_connect) (fail_nth: 23) 21:48:46 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) r2 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000680)={'wlan1\x00', 0x0}) r4 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r5 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r5, r4, &(0x7f0000002080)=0x7a, 0x23b) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, &(0x7f00000000c0)={'wlan1\x00'}) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000280)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_SET_CQM(r2, &(0x7f0000000240)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x800000}, 0xc, &(0x7f0000000200)={&(0x7f00000002c0)={0x40, 0x0, 0x100, 0x70bd29, 0x25dfdbfe, {{}, {@val={0x8, 0x3, r6}, @val={0xc, 0x99, {0x86, 0x77}}}}, [@NL80211_ATTR_CQM={0x14, 0x5e, 0x0, 0x1, [@NL80211_ATTR_CQM_RSSI_HYST={0x8, 0x2, 0x5}, @NL80211_ATTR_CQM_TXE_PKTS={0x8, 0x6, 0x9dfe}]}, @NL80211_ATTR_CQM={0x4}]}, 0x40}, 0x1, 0x0, 0x0, 0x24000800}, 0x20008000) sendmsg$NL80211_CMD_JOIN_MESH(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000000)={0x28, r1, 0x5, 0x0, 0x0, {{}, {@val={0x8, 0x3, r3}, @void}}, [@NL80211_ATTR_MESH_CONFIG={0xc, 0x23, 0x0, 0x1, [@NL80211_MESHCONF_CONFIRM_TIMEOUT={0x6}]}]}, 0x28}}, 0x0) socket$nl_generic(0x10, 0x3, 0x10) (async) syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) (async) socket$nl_generic(0x10, 0x3, 0x10) (async) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000680)={'wlan1\x00'}) (async) openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async) openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) (async) sendfile(r5, r4, &(0x7f0000002080)=0x7a, 0x23b) (async) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, &(0x7f00000000c0)={'wlan1\x00'}) (async) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000280)={'wlan1\x00'}) (async) sendmsg$NL80211_CMD_SET_CQM(r2, &(0x7f0000000240)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x800000}, 0xc, &(0x7f0000000200)={&(0x7f00000002c0)={0x40, 0x0, 0x100, 0x70bd29, 0x25dfdbfe, {{}, {@val={0x8, 0x3, r6}, @val={0xc, 0x99, {0x86, 0x77}}}}, [@NL80211_ATTR_CQM={0x14, 0x5e, 0x0, 0x1, [@NL80211_ATTR_CQM_RSSI_HYST={0x8, 0x2, 0x5}, @NL80211_ATTR_CQM_TXE_PKTS={0x8, 0x6, 0x9dfe}]}, @NL80211_ATTR_CQM={0x4}]}, 0x40}, 0x1, 0x0, 0x0, 0x24000800}, 0x20008000) (async) sendmsg$NL80211_CMD_JOIN_MESH(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000000)={0x28, r1, 0x5, 0x0, 0x0, {{}, {@val={0x8, 0x3, r3}, @void}}, [@NL80211_ATTR_MESH_CONFIG={0xc, 0x23, 0x0, 0x1, [@NL80211_MESHCONF_CONFIRM_TIMEOUT={0x6}]}]}, 0x28}}, 0x0) (async) 21:48:46 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x9, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) 21:48:46 executing program 3: utimensat(0xffffffffffffffff, &(0x7f0000000500)='./file0\x00', 0x0, 0x2000) lsetxattr$security_evm(&(0x7f0000000040)='./file0\x00', &(0x7f0000000080), &(0x7f0000000100)=@sha1={0x1, "8e5eb0b2287758b6c7e1b6dbd7147a262e4169f3"}, 0x15, 0x1) r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f00000001c0)=ANY=[@ANYBLOB="00000200bbbbbbbbbbbbdf2a1770bfa081004dec5ddb450000200000000000289078ac1e0001ac1414aa00004e21000c907803009ed3bcd204a426bf7259467f0000"], 0x36) [ 1282.524781][T12408] hub 1-0:1.0: USB hub found [ 1282.537712][T12408] hub 1-0:1.0: 1 port detected [ 1282.562414][T12408] FAULT_INJECTION: forcing a failure. [ 1282.562414][T12408] name failslab, interval 1, probability 0, space 0, times 0 21:48:46 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r1 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r1, r0, &(0x7f0000002080)=0x407a, 0x23b) [ 1282.596559][T12408] CPU: 0 PID: 12408 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1282.605302][T12408] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1282.615375][T12408] Call Trace: [ 1282.618657][T12408] [ 1282.621588][T12408] dump_stack_lvl+0x125/0x1b0 [ 1282.626282][T12408] should_fail_ex+0x496/0x5b0 [ 1282.630986][T12408] should_failslab+0x9/0x20 [ 1282.635503][T12408] __kmem_cache_alloc_node+0x2fd/0x350 [ 1282.640979][T12408] ? usb_hub_create_port_device+0x75/0xe30 [ 1282.646810][T12408] kmalloc_trace+0x25/0xe0 [ 1282.651259][T12408] usb_hub_create_port_device+0x75/0xe30 [ 1282.656923][T12408] hub_probe+0x1e66/0x3070 [ 1282.661401][T12408] ? lock_release+0x4bf/0x680 [ 1282.666105][T12408] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1282.672359][T12408] ? reacquire_held_locks+0x4b0/0x4b0 [ 1282.677748][T12408] ? trace_print_lat_context+0x5a0/0xa70 [ 1282.683394][T12408] ? read_tsc+0x9/0x20 [ 1282.687472][T12408] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1282.693126][T12408] ? rcu_is_watching+0x12/0xb0 [ 1282.697889][T12408] usb_probe_interface+0x307/0x930 [ 1282.703007][T12408] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1282.708374][T12408] really_probe+0x234/0xc90 [ 1282.712879][T12408] __driver_probe_device+0x1de/0x4b0 [ 1282.718186][T12408] driver_probe_device+0x4c/0x1a0 [ 1282.723212][T12408] __device_attach_driver+0x1d4/0x300 [ 1282.728609][T12408] ? driver_probe_device+0x1a0/0x1a0 [ 1282.733889][T12408] bus_for_each_drv+0x157/0x1d0 [ 1282.738739][T12408] ? bus_for_each_dev+0x1d0/0x1d0 [ 1282.743774][T12408] ? rcu_is_watching+0x12/0xb0 [ 1282.748617][T12408] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1282.754593][T12408] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1282.760400][T12408] __device_attach+0x1e8/0x4b0 [ 1282.765163][T12408] ? device_driver_attach+0x200/0x200 [ 1282.770538][T12408] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1282.775466][T12408] proc_ioctl+0x585/0x6a0 [ 1282.779796][T12408] usbdev_ioctl+0x1650/0x3ca0 [ 1282.784472][T12408] ? do_proc_control+0x1070/0x1070 [ 1282.789608][T12408] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1282.795509][T12408] ? do_vfs_ioctl+0x379/0x1920 [ 1282.800264][T12408] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1282.805283][T12408] ? reacquire_held_locks+0x4b0/0x4b0 [ 1282.810654][T12408] ? bit_wait_timeout+0x160/0x160 [ 1282.815673][T12408] ? __fget_files+0x279/0x410 [ 1282.820342][T12408] ? __fget_files+0x279/0x410 [ 1282.825011][T12408] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1282.829948][T12408] ? do_proc_control+0x1070/0x1070 [ 1282.835075][T12408] __x64_sys_ioctl+0x18f/0x210 [ 1282.839836][T12408] do_syscall_64+0x38/0xb0 [ 1282.844243][T12408] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1282.850132][T12408] RIP: 0033:0x7f08a827cae9 [ 1282.854538][T12408] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1282.874139][T12408] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1282.882551][T12408] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 21:48:47 executing program 3: utimensat(0xffffffffffffffff, &(0x7f0000000500)='./file0\x00', 0x0, 0x2000) (async) utimensat(0xffffffffffffffff, &(0x7f0000000500)='./file0\x00', 0x0, 0x2000) lsetxattr$security_evm(&(0x7f0000000040)='./file0\x00', &(0x7f0000000080), &(0x7f0000000100)=@sha1={0x1, "8e5eb0b2287758b6c7e1b6dbd7147a262e4169f3"}, 0x15, 0x1) openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) (async) r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f00000001c0)=ANY=[@ANYBLOB="00000200bbbbbbbbbbbbdf2a1770bfa081004dec5ddb450000200000000000289078ac1e0001ac1414aa00004e21000c907803009ed3bcd204a426bf7259467f0000"], 0x36) 21:48:47 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) r2 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000680)={'wlan1\x00', 0x0}) (async) r4 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async) r5 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r5, r4, &(0x7f0000002080)=0x7a, 0x23b) (async, rerun: 32) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, &(0x7f00000000c0)={'wlan1\x00'}) (async, rerun: 32) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000280)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_SET_CQM(r2, &(0x7f0000000240)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x800000}, 0xc, &(0x7f0000000200)={&(0x7f00000002c0)={0x40, 0x0, 0x100, 0x70bd29, 0x25dfdbfe, {{}, {@val={0x8, 0x3, r6}, @val={0xc, 0x99, {0x86, 0x77}}}}, [@NL80211_ATTR_CQM={0x14, 0x5e, 0x0, 0x1, [@NL80211_ATTR_CQM_RSSI_HYST={0x8, 0x2, 0x5}, @NL80211_ATTR_CQM_TXE_PKTS={0x8, 0x6, 0x9dfe}]}, @NL80211_ATTR_CQM={0x4}]}, 0x40}, 0x1, 0x0, 0x0, 0x24000800}, 0x20008000) sendmsg$NL80211_CMD_JOIN_MESH(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000000)={0x28, r1, 0x5, 0x0, 0x0, {{}, {@val={0x8, 0x3, r3}, @void}}, [@NL80211_ATTR_MESH_CONFIG={0xc, 0x23, 0x0, 0x1, [@NL80211_MESHCONF_CONFIRM_TIMEOUT={0x6}]}]}, 0x28}}, 0x0) [ 1282.890516][T12408] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1282.898478][T12408] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1282.906447][T12408] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 [ 1282.914414][T12408] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1282.922383][T12408] [ 1282.935560][T12420] syz-executor.4 (12420): drop_caches: 3 21:48:47 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) write$tun(r0, &(0x7f0000000040)={@void, @val={0x3, 0x1, 0x81, 0xfc6, 0x6, 0x6}, @llc={@snap={0xff, 0x1, '6P', "a502bb", 0x8809, "48966bee"}}}, 0x17) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x1c, 0x0, 0x0, 0x0, 0x32, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x0, 0x8}}}}}}, 0x32) 21:48:47 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async) r1 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r1, r0, &(0x7f0000002080)=0x407a, 0x23b) 21:48:47 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) 21:48:47 executing program 3: utimensat(0xffffffffffffffff, &(0x7f0000000500)='./file0\x00', 0x0, 0x2000) (async) lsetxattr$security_evm(&(0x7f0000000040)='./file0\x00', &(0x7f0000000080), &(0x7f0000000100)=@sha1={0x1, "8e5eb0b2287758b6c7e1b6dbd7147a262e4169f3"}, 0x15, 0x1) (async) r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) (async, rerun: 64) write$tun(r0, &(0x7f00000001c0)=ANY=[@ANYBLOB="00000200bbbbbbbbbbbbdf2a1770bfa081004dec5ddb450000200000000000289078ac1e0001ac1414aa00004e21000c907803009ed3bcd204a426bf7259467f0000"], 0x36) (rerun: 64) [ 1282.993506][T12408] hub 1-0:1.0: couldn't create port1 device. 21:48:47 executing program 2: getpid() sched_setaffinity(0x0, 0xfffffffffffffedd, &(0x7f0000000240)) r0 = syz_open_dev$usbfs(&(0x7f0000000080), 0xb, 0x101301) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000200)) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000040)=@usbdevfs_connect) (fail_nth: 24) 21:48:47 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async) r1 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r1, r0, &(0x7f0000002080)=0x407a, 0x23b) 21:48:47 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random, @void, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x2, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @remote}, {0x1, 0x4e21, 0xc, 0x0, @opaque="0300aa84"}}}}}}, 0x32) [ 1283.069962][T12408] hub 1-0:1.0: config failed, out of memory (err -12) 21:48:47 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) write$tun(r0, &(0x7f0000000040)={@void, @val={0x3, 0x1, 0x81, 0xfc6, 0x6, 0x6}, @llc={@snap={0xff, 0x1, '6P', "a502bb", 0x8809, "48966bee"}}}, 0x17) (async) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) (async) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x1c, 0x0, 0x0, 0x0, 0x32, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x0, 0x8}}}}}}, 0x32) [ 1283.131256][T12454] hub 1-0:1.0: USB hub found [ 1283.134806][T12433] syz-executor.4 (12433): drop_caches: 3 [ 1283.136134][T12454] hub 1-0:1.0: 1 port detected [ 1283.153388][T12454] FAULT_INJECTION: forcing a failure. [ 1283.153388][T12454] name failslab, interval 1, probability 0, space 0, times 0 21:48:47 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) r2 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000680)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_JOIN_MESH(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000080)={0x28, r1, 0x5, 0x0, 0x0, {{}, {@val={0x8, 0x3, r3}, @void}}, [@NL80211_ATTR_MESH_CONFIG={0xc, 0x23, 0x0, 0x1, [@NL80211_MESHCONF_CONFIRM_TIMEOUT={0x6}]}]}, 0x28}}, 0x0) [ 1283.173277][T12454] CPU: 1 PID: 12454 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1283.182106][T12454] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1283.192196][T12454] Call Trace: [ 1283.195584][T12454] [ 1283.198546][T12454] dump_stack_lvl+0x125/0x1b0 [ 1283.203434][T12454] should_fail_ex+0x496/0x5b0 [ 1283.208202][T12454] should_failslab+0x9/0x20 [ 1283.212720][T12454] __kmem_cache_alloc_node+0x2fd/0x350 [ 1283.218209][T12454] ? usb_hub_create_port_device+0x75/0xe30 [ 1283.224037][T12454] kmalloc_trace+0x25/0xe0 21:48:47 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r1 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r1, r0, &(0x7f0000002080)=0x7d, 0x239) [ 1283.228496][T12454] usb_hub_create_port_device+0x75/0xe30 [ 1283.234199][T12454] hub_probe+0x1e66/0x3070 [ 1283.238647][T12454] ? lock_release+0x4bf/0x680 [ 1283.243352][T12454] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1283.249617][T12454] ? reacquire_held_locks+0x4b0/0x4b0 [ 1283.255016][T12454] ? trace_print_lat_context+0x5a0/0xa70 [ 1283.260684][T12454] ? read_tsc+0x9/0x20 [ 1283.264788][T12454] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1283.270354][T12454] ? rcu_is_watching+0x12/0xb0 [ 1283.275396][T12454] usb_probe_interface+0x307/0x930 21:48:47 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async) r1 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r1, r0, &(0x7f0000002080)=0x7d, 0x239) 21:48:47 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async) r1 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r1, r0, &(0x7f0000002080)=0x7d, 0x239) [ 1283.280518][T12454] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1283.286165][T12454] really_probe+0x234/0xc90 [ 1283.290683][T12454] __driver_probe_device+0x1de/0x4b0 [ 1283.295991][T12454] driver_probe_device+0x4c/0x1a0 [ 1283.301243][T12454] __device_attach_driver+0x1d4/0x300 [ 1283.306750][T12454] ? driver_probe_device+0x1a0/0x1a0 [ 1283.312051][T12454] bus_for_each_drv+0x157/0x1d0 [ 1283.316929][T12454] ? bus_for_each_dev+0x1d0/0x1d0 [ 1283.322273][T12454] ? rcu_is_watching+0x12/0xb0 21:48:47 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r1 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r1, &(0x7f000000c2c0)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000340)=ANY=[@ANYBLOB="140000001000010000000000000000000000000a28000000000a0101000000005e2bffdf020000000900010073797a300000000008000240000000032c000000030a01030000e6ff00000000020004000900010073797a30000000000900030073797a320000000014000000110001"], 0x7c}}, 0x0) sendmsg$NFT_BATCH(r1, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000440)={{0x14}, [@NFT_MSG_NEWRULE={0x50, 0x6, 0xa, 0x40b, 0x0, 0x0, {0x2}, [@NFTA_RULE_EXPRESSIONS={0x24, 0x4, 0x0, 0x1, [{0x20, 0x1, 0x0, 0x1, @quota={{0xa}, @val={0x10, 0x2, 0x0, 0x1, [@NFTA_QUOTA_BYTES={0xc}]}}}]}, @NFTA_RULE_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_RULE_CHAIN={0x9, 0x2, 'syz2\x00'}]}, @NFT_MSG_DELRULE={0x14}], {0x14}}, 0x8c}}, 0x0) ioctl$F2FS_IOC_START_VOLATILE_WRITE(r1, 0xf503, 0x0) r2 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r2, r0, &(0x7f0000002080)=0x7a, 0x23b) [ 1283.327075][T12454] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1283.333102][T12454] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1283.338944][T12454] __device_attach+0x1e8/0x4b0 [ 1283.343741][T12454] ? device_driver_attach+0x200/0x200 [ 1283.349318][T12454] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1283.354276][T12454] proc_ioctl+0x585/0x6a0 [ 1283.358645][T12454] usbdev_ioctl+0x1650/0x3ca0 [ 1283.363348][T12454] ? do_proc_control+0x1070/0x1070 [ 1283.368460][T12454] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1283.374361][T12454] ? do_vfs_ioctl+0x379/0x1920 [ 1283.379128][T12454] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1283.384194][T12454] ? reacquire_held_locks+0x4b0/0x4b0 [ 1283.389571][T12454] ? bit_wait_timeout+0x160/0x160 [ 1283.394683][T12454] ? __fget_files+0x279/0x410 [ 1283.399357][T12454] ? __fget_files+0x279/0x410 [ 1283.404036][T12454] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1283.408995][T12454] ? do_proc_control+0x1070/0x1070 [ 1283.414117][T12454] __x64_sys_ioctl+0x18f/0x210 [ 1283.418878][T12454] do_syscall_64+0x38/0xb0 [ 1283.423355][T12454] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1283.429349][T12454] RIP: 0033:0x7f08a827cae9 [ 1283.433783][T12454] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1283.453399][T12454] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1283.461845][T12454] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 [ 1283.469844][T12454] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 21:48:47 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x2, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) 21:48:47 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r1 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r1, &(0x7f000000c2c0)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000340)=ANY=[@ANYBLOB="140000001000010000000000000000000000000a28000000000a0101000000005e2bffdf020000000900010073797a300000000008000240000000032c000000030a01030000e6ff00000000020004000900010073797a30000000000900030073797a320000000014000000110001"], 0x7c}}, 0x0) sendmsg$NFT_BATCH(r1, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000440)={{0x14}, [@NFT_MSG_NEWRULE={0x50, 0x6, 0xa, 0x40b, 0x0, 0x0, {0x2}, [@NFTA_RULE_EXPRESSIONS={0x24, 0x4, 0x0, 0x1, [{0x20, 0x1, 0x0, 0x1, @quota={{0xa}, @val={0x10, 0x2, 0x0, 0x1, [@NFTA_QUOTA_BYTES={0xc}]}}}]}, @NFTA_RULE_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_RULE_CHAIN={0x9, 0x2, 'syz2\x00'}]}, @NFT_MSG_DELRULE={0x14}], {0x14}}, 0x8c}}, 0x0) (async, rerun: 64) ioctl$F2FS_IOC_START_VOLATILE_WRITE(r1, 0xf503, 0x0) (async, rerun: 64) r2 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r2, r0, &(0x7f0000002080)=0x7a, 0x23b) 21:48:47 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) write$tun(r0, &(0x7f0000000040)={@void, @val={0x3, 0x1, 0x81, 0xfc6, 0x6, 0x6}, @llc={@snap={0xff, 0x1, '6P', "a502bb", 0x8809, "48966bee"}}}, 0x17) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) (async) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x1c, 0x0, 0x0, 0x0, 0x32, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x0, 0x8}}}}}}, 0x32) [ 1283.477817][T12454] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1283.485818][T12454] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 [ 1283.493783][T12454] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1283.501871][T12454] [ 1283.510069][T12454] hub 1-0:1.0: couldn't create port1 device. [ 1283.523037][T12454] hub 1-0:1.0: config failed, out of memory (err -12) 21:48:47 executing program 2: getpid() sched_setaffinity(0x0, 0xfffffffffffffedd, &(0x7f0000000240)) r0 = syz_open_dev$usbfs(&(0x7f0000000080), 0xb, 0x101301) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000200)) ioctl$USBDEVFS_IOCTL(r0, 0xc0105512, &(0x7f0000000040)=@usbdevfs_connect) (fail_nth: 25) 21:48:47 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random, @void, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x2, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @remote}, {0x1, 0x4e21, 0xc, 0x0, @opaque="0300aa84"}}}}}}, 0x32) 21:48:47 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r1 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r1, &(0x7f000000c2c0)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000340)=ANY=[@ANYBLOB="140000001000010000000000000000000000000a28000000000a0101000000005e2bffdf020000000900010073797a300000000008000240000000032c000000030a01030000e6ff00000000020004000900010073797a30000000000900030073797a320000000014000000110001"], 0x7c}}, 0x0) (async) sendmsg$NFT_BATCH(r1, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000440)={{0x14}, [@NFT_MSG_NEWRULE={0x50, 0x6, 0xa, 0x40b, 0x0, 0x0, {0x2}, [@NFTA_RULE_EXPRESSIONS={0x24, 0x4, 0x0, 0x1, [{0x20, 0x1, 0x0, 0x1, @quota={{0xa}, @val={0x10, 0x2, 0x0, 0x1, [@NFTA_QUOTA_BYTES={0xc}]}}}]}, @NFTA_RULE_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_RULE_CHAIN={0x9, 0x2, 'syz2\x00'}]}, @NFT_MSG_DELRULE={0x14}], {0x14}}, 0x8c}}, 0x0) (async) ioctl$F2FS_IOC_START_VOLATILE_WRITE(r1, 0xf503, 0x0) (async, rerun: 32) r2 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) (rerun: 32) sendfile(r2, r0, &(0x7f0000002080)=0x7a, 0x23b) [ 1283.626542][T12491] hub 1-0:1.0: USB hub found [ 1283.636718][T12491] hub 1-0:1.0: 1 port detected [ 1283.656006][T12491] FAULT_INJECTION: forcing a failure. [ 1283.656006][T12491] name failslab, interval 1, probability 0, space 0, times 0 21:48:47 executing program 1: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) r2 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r2, &(0x7f000000c2c0)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000340)=ANY=[@ANYBLOB="140000001000010000000000000000000000000a28000000000a0101000000005e2bffdf020000000900010073797a300000000008000240000000032c000000030a01030000e6ff00000000020004000900010073797a30000000000900030073797a320000000014000000110001"], 0x7c}}, 0x0) sendmsg$NFT_BATCH(r2, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000440)={{0x14}, [@NFT_MSG_NEWRULE={0x50, 0x6, 0xa, 0x40b, 0x0, 0x0, {0x2}, [@NFTA_RULE_EXPRESSIONS={0x24, 0x4, 0x0, 0x1, [{0x20, 0x1, 0x0, 0x1, @quota={{0xa}, @val={0x10, 0x2, 0x0, 0x1, [@NFTA_QUOTA_BYTES={0xc}]}}}]}, @NFTA_RULE_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_RULE_CHAIN={0x9, 0x2, 'syz2\x00'}]}, @NFT_MSG_DELRULE={0x14}], {0x14}}, 0x8c}}, 0x0) dup3(r1, r2, 0x0) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x1c, 0x0, 0x0, 0x0, 0x32, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x0, 0x8}}}}}}, 0x32) 21:48:47 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) (async) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) r2 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000680)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_JOIN_MESH(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000080)={0x28, r1, 0x5, 0x0, 0x0, {{}, {@val={0x8, 0x3, r3}, @void}}, [@NL80211_ATTR_MESH_CONFIG={0xc, 0x23, 0x0, 0x1, [@NL80211_MESHCONF_CONFIRM_TIMEOUT={0x6}]}]}, 0x28}}, 0x0) [ 1283.673191][T12491] CPU: 1 PID: 12491 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1283.681909][T12491] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1283.691984][T12491] Call Trace: [ 1283.695269][T12491] [ 1283.698200][T12491] dump_stack_lvl+0x125/0x1b0 [ 1283.702892][T12491] should_fail_ex+0x496/0x5b0 [ 1283.707598][T12491] should_failslab+0x9/0x20 [ 1283.712129][T12491] __kmem_cache_alloc_node+0x2fd/0x350 [ 1283.717607][T12491] ? kvasprintf_const+0x66/0x190 [ 1283.722578][T12491] ? kvasprintf_const+0x66/0x190 [ 1283.727550][T12491] __kmalloc_node_track_caller+0x50/0x100 [ 1283.733302][T12491] kvasprintf+0xbd/0x150 [ 1283.737564][T12491] ? bust_spinlocks+0xe0/0xe0 [ 1283.742262][T12491] kvasprintf_const+0x66/0x190 [ 1283.747054][T12491] kobject_set_name_vargs+0x5a/0x130 [ 1283.752447][T12491] dev_set_name+0xc8/0x100 [ 1283.756870][T12491] ? device_initialize+0x510/0x510 [ 1283.761990][T12491] usb_hub_create_port_device+0x399/0xe30 [ 1283.767721][T12491] hub_probe+0x1e66/0x3070 [ 1283.772139][T12491] ? lock_release+0x4bf/0x680 [ 1283.776822][T12491] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1283.783128][T12491] ? reacquire_held_locks+0x4b0/0x4b0 [ 1283.788530][T12491] ? trace_print_lat_context+0x5a0/0xa70 [ 1283.794161][T12491] ? read_tsc+0x9/0x20 [ 1283.798222][T12491] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1283.803773][T12491] ? rcu_is_watching+0x12/0xb0 [ 1283.808533][T12491] usb_probe_interface+0x307/0x930 [ 1283.813642][T12491] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1283.819009][T12491] really_probe+0x234/0xc90 [ 1283.823515][T12491] __driver_probe_device+0x1de/0x4b0 [ 1283.828803][T12491] driver_probe_device+0x4c/0x1a0 [ 1283.833831][T12491] __device_attach_driver+0x1d4/0x300 [ 1283.839207][T12491] ? driver_probe_device+0x1a0/0x1a0 [ 1283.844491][T12491] bus_for_each_drv+0x157/0x1d0 [ 1283.849339][T12491] ? bus_for_each_dev+0x1d0/0x1d0 [ 1283.854358][T12491] ? rcu_is_watching+0x12/0xb0 [ 1283.859114][T12491] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1283.865091][T12491] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1283.870902][T12491] __device_attach+0x1e8/0x4b0 [ 1283.875672][T12491] ? device_driver_attach+0x200/0x200 [ 1283.881044][T12491] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1283.885982][T12491] proc_ioctl+0x585/0x6a0 [ 1283.890320][T12491] usbdev_ioctl+0x1650/0x3ca0 [ 1283.895007][T12491] ? do_proc_control+0x1070/0x1070 [ 1283.900135][T12491] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1283.906138][T12491] ? do_vfs_ioctl+0x379/0x1920 [ 1283.910896][T12491] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1283.915922][T12491] ? reacquire_held_locks+0x4b0/0x4b0 [ 1283.921297][T12491] ? bit_wait_timeout+0x160/0x160 [ 1283.926421][T12491] ? __fget_files+0x279/0x410 [ 1283.931102][T12491] ? __fget_files+0x279/0x410 [ 1283.935786][T12491] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1283.940818][T12491] ? do_proc_control+0x1070/0x1070 [ 1283.945932][T12491] __x64_sys_ioctl+0x18f/0x210 [ 1283.950732][T12491] do_syscall_64+0x38/0xb0 [ 1283.955177][T12491] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1283.961073][T12491] RIP: 0033:0x7f08a827cae9 [ 1283.965571][T12491] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1283.985185][T12491] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1283.993599][T12491] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 [ 1284.001575][T12491] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1284.009542][T12491] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1284.017512][T12491] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 21:48:48 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f0000000000)='/proc/key-users\x00', 0x0, 0x0) openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) r1 = openat$pfkey(0xffffffffffffff9c, &(0x7f0000000100), 0x2000, 0x0) ioctl$KDGKBENT(r1, 0x4b46, &(0x7f0000000140)={0x90, 0xb1, 0x8100}) r2 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r2, &(0x7f000000c2c0)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000500)=ANY=[@ANYBLOB="1400001010ef0f0000000000000000000000000a28000000000a01010000d5010000ffdf02000030f900000008000240000000032c000000030a01030000e6ff0000000002000426016730000000000900030073797a320000000014000000110001000000000000000016c095585e41ff00000000000000739eb8cb095432628696b2d184166da8d0f5e6000000000000000000000000002f74a412dc0a2914a1a8a2f655cbf72ed537bf991e548b92020675997418a432d097653f63a0b0937f9e186cee54ba141a0262bf463e4012f970bda72c9675ea49437d69b64600b459e7302862c6afb259ecf0682cb83078ebc1495bdc2bf92154dabac9bf52657f5020d663f8330ad67d345dadd3ea699f8ee50a0b73020d5372b6c56f6b90b20910ce979c2cea346ebb15f97f4db7eef5a4db9bf2f5b109dd09"], 0x7c}}, 0x0) sendmsg$NFT_BATCH(r2, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000440)={{0x14}, [@NFT_MSG_NEWRULE={0x50, 0x6, 0xa, 0x40b, 0x0, 0x0, {0x2}, [@NFTA_RULE_EXPRESSIONS={0x24, 0x4, 0x0, 0x1, [{0x20, 0x1, 0x0, 0x1, @quota={{0xa}, @val={0x10, 0x2, 0x0, 0x1, [@NFTA_QUOTA_BYTES={0xc}]}}}]}, @NFTA_RULE_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_RULE_CHAIN={0x9, 0x2, 'syz2\x00'}]}, @NFT_MSG_DELRULE={0x14}], {0x14}}, 0x8c}}, 0x0) r3 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r4 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) socket(0x27, 0x2, 0x8) sendfile(r4, r3, &(0x7f00000003c0)=0x7a, 0x23d) r5 = socket$nl_crypto(0x10, 0x3, 0x15) connect$pppl2tp(r3, &(0x7f00000000c0)=@pppol2tpv3={0x18, 0x1, {0x0, r5, {0x2, 0x4e20, @multicast1}, 0x3, 0x4, 0x1}}, 0x2e) sendfile(r2, r0, &(0x7f0000002080)=0x5, 0x4) pipe2$watch_queue(&(0x7f00000002c0)={0xffffffffffffffff, 0xffffffffffffffff}, 0x80) connect$pppl2tp(r6, &(0x7f0000000300)=@pppol2tpin6={0x18, 0x1, {0x0, r3, 0x2, 0x3, 0x1, 0x3, {0xa, 0x4e21, 0x3, @local, 0x7}}}, 0x32) r7 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) r8 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) sendfile(r8, r7, &(0x7f0000002080)=0x7a, 0x23b) sendmsg$nl_crypto(r7, &(0x7f0000000280)={&(0x7f0000000180)={0x10, 0x0, 0x0, 0x8000000}, 0xc, &(0x7f0000000240)={&(0x7f0000000340)=ANY=[@ANYBLOB="1000ffffffff010027bd7000ffdbdf25cd25a19196c25a0c86a94c6c25081655567773966577c9bc448afb0e146619284bf3edf1a8"], 0x10}, 0x1, 0x0, 0x0, 0x40000}, 0x4048010) 21:48:48 executing program 3: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) (async, rerun: 64) r1 = socket$kcm(0x2, 0xa, 0x2) (rerun: 64) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) (async) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random, @void, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x2, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @remote}, {0x1, 0x4e21, 0xc, 0x0, @opaque="0300aa84"}}}}}}, 0x32) [ 1284.025482][T12491] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1284.033465][T12491] 21:48:48 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) r2 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000680)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_JOIN_MESH(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000080)={0x28, r1, 0x5, 0x0, 0x0, {{}, {@val={0x8, 0x3, r3}, @void}}, [@NL80211_ATTR_MESH_CONFIG={0xc, 0x23, 0x0, 0x1, [@NL80211_MESHCONF_CONFIRM_TIMEOUT={0x6}]}]}, 0x28}}, 0x0) [ 1284.091524][T12491] general protection fault, probably for non-canonical address 0xdffffc0000000000: 0000 [#1] PREEMPT SMP KASAN [ 1284.103287][T12491] KASAN: null-ptr-deref in range [0x0000000000000000-0x0000000000000007] [ 1284.111770][T12491] CPU: 0 PID: 12491 Comm: syz-executor.2 Not tainted 6.6.0-rc1-syzkaller #0 [ 1284.120463][T12491] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/04/2023 [ 1284.130528][T12491] RIP: 0010:strchr+0x1b/0xb0 [ 1284.135144][T12491] Code: 60 ac f7 48 8b 74 24 08 48 8b 14 24 eb 89 90 f3 0f 1e fa 48 b8 00 00 00 00 00 fc ff df 48 89 fa 55 48 c1 ea 03 53 48 83 ec 10 <0f> b6 04 02 48 89 fa 83 e2 07 38 d0 7f 04 84 c0 75 51 0f b6 07 89 [ 1284.154794][T12491] RSP: 0018:ffffc900049ff5b8 EFLAGS: 00010286 [ 1284.160888][T12491] RAX: dffffc0000000000 RBX: 0000000000000000 RCX: ffffc9000b699000 [ 1284.168867][T12491] RDX: 0000000000000000 RSI: 0000000000000025 RDI: 0000000000000000 [ 1284.176833][T12491] RBP: ffffc900049ff648 R08: 0000000000000001 R09: 0000000000000000 [ 1284.184814][T12491] R10: 0000000000000001 R11: 0000000000002068 R12: ffffc900049ff648 [ 1284.192792][T12491] R13: 0000000000000cc0 R14: ffff888142390078 R15: 0000000000000001 [ 1284.200768][T12491] FS: 00007f08a8f2d6c0(0000) GS:ffff8880b9800000(0000) knlGS:0000000000000000 [ 1284.209697][T12491] CS: 0010 DS: 0000 ES: 0000 CR0: 0000000080050033 [ 1284.216272][T12491] CR2: 000055555624d8f8 CR3: 0000000026944000 CR4: 0000000000350ef0 [ 1284.224236][T12491] Call Trace: [ 1284.227507][T12491] [ 1284.230431][T12491] ? show_regs+0x8f/0xa0 [ 1284.234674][T12491] ? die_addr+0x4f/0xd0 [ 1284.238826][T12491] ? exc_general_protection+0x154/0x230 [ 1284.244373][T12491] ? asm_exc_general_protection+0x26/0x30 [ 1284.250086][T12491] ? strchr+0x1b/0xb0 [ 1284.254061][T12491] kvasprintf_const+0x25/0x190 [ 1284.258818][T12491] kobject_set_name_vargs+0x5a/0x130 [ 1284.264109][T12491] kobject_add+0x12a/0x240 [ 1284.268515][T12491] ? kset_create_and_add+0x190/0x190 [ 1284.273793][T12491] ? lockdep_init_map_type+0x16d/0x7c0 [ 1284.279248][T12491] ? class_to_subsys+0x12d/0x160 [ 1284.284186][T12491] device_add+0x290/0x1ac0 [ 1284.288592][T12491] ? __fw_devlink_link_to_consumers.isra.0+0x270/0x270 [ 1284.295521][T12491] ? __init_waitqueue_head+0xca/0x150 [ 1284.300888][T12491] usb_hub_create_port_device+0x3bb/0xe30 [ 1284.306614][T12491] hub_probe+0x1e66/0x3070 [ 1284.311049][T12491] ? lock_release+0x4bf/0x680 [ 1284.315722][T12491] ? usb_hub_adjust_deviceremovable+0x730/0x730 [ 1284.321959][T12491] ? reacquire_held_locks+0x4b0/0x4b0 [ 1284.327333][T12491] ? trace_print_lat_context+0x5a0/0xa70 [ 1284.332958][T12491] ? read_tsc+0x9/0x20 [ 1284.337022][T12491] ? ktime_get_mono_fast_ns+0x182/0x200 [ 1284.342568][T12491] ? rcu_is_watching+0x12/0xb0 [ 1284.347329][T12491] usb_probe_interface+0x307/0x930 [ 1284.352435][T12491] ? usb_match_dynamic_id+0x1a0/0x1a0 [ 1284.357800][T12491] really_probe+0x234/0xc90 [ 1284.362304][T12491] __driver_probe_device+0x1de/0x4b0 [ 1284.367594][T12491] driver_probe_device+0x4c/0x1a0 [ 1284.372615][T12491] __device_attach_driver+0x1d4/0x300 [ 1284.377984][T12491] ? driver_probe_device+0x1a0/0x1a0 [ 1284.383264][T12491] bus_for_each_drv+0x157/0x1d0 [ 1284.388108][T12491] ? bus_for_each_dev+0x1d0/0x1d0 [ 1284.393126][T12491] ? rcu_is_watching+0x12/0xb0 [ 1284.397882][T12491] ? trace_irq_enable.constprop.0+0xd0/0x100 [ 1284.403872][T12491] ? _raw_spin_unlock_irqrestore+0x3b/0x70 [ 1284.409687][T12491] __device_attach+0x1e8/0x4b0 [ 1284.414455][T12491] ? device_driver_attach+0x200/0x200 [ 1284.419909][T12491] ? usb_ifnum_to_if+0x16f/0x1d0 [ 1284.424841][T12491] proc_ioctl+0x585/0x6a0 [ 1284.429168][T12491] usbdev_ioctl+0x1650/0x3ca0 [ 1284.433845][T12491] ? do_proc_control+0x1070/0x1070 [ 1284.438953][T12491] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1284.444845][T12491] ? do_vfs_ioctl+0x379/0x1920 [ 1284.449683][T12491] ? vfs_fileattr_set+0xbf0/0xbf0 [ 1284.454700][T12491] ? reacquire_held_locks+0x4b0/0x4b0 [ 1284.460106][T12491] ? bit_wait_timeout+0x160/0x160 [ 1284.465122][T12491] ? __fget_files+0x279/0x410 [ 1284.469794][T12491] ? __fget_files+0x279/0x410 [ 1284.474465][T12491] ? bpf_lsm_file_ioctl+0x9/0x10 [ 1284.479397][T12491] ? do_proc_control+0x1070/0x1070 [ 1284.484506][T12491] __x64_sys_ioctl+0x18f/0x210 [ 1284.489260][T12491] do_syscall_64+0x38/0xb0 [ 1284.493694][T12491] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1284.499583][T12491] RIP: 0033:0x7f08a827cae9 [ 1284.503989][T12491] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 e1 20 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b0 ff ff ff f7 d8 64 89 01 48 [ 1284.523594][T12491] RSP: 002b:00007f08a8f2d0c8 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 1284.532008][T12491] RAX: ffffffffffffffda RBX: 00007f08a839bf80 RCX: 00007f08a827cae9 [ 1284.539991][T12491] RDX: 0000000020000040 RSI: 00000000c0105512 RDI: 0000000000000003 [ 1284.547976][T12491] RBP: 00007f08a8f2d120 R08: 0000000000000000 R09: 0000000000000000 [ 1284.555944][T12491] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 [ 1284.563916][T12491] R13: 000000000000000b R14: 00007f08a839bf80 R15: 00007ffd3c2d9cc8 [ 1284.571896][T12491] [ 1284.574904][T12491] Modules linked in: 21:48:48 executing program 4: openat$null(0xffffffffffffff9c, &(0x7f0000000240), 0x0, 0x0) accept$alg(0xffffffffffffffff, 0x0, 0x0) r0 = syz_init_net_socket$bt_hci(0x1f, 0x3, 0x1) ioctl$HCIINQUIRY(r0, 0x800448f0, &(0x7f0000000a80)={0x3, 0x3f, "209604", 0x8, 0x3}) [ 1284.627365][T12491] ---[ end trace 0000000000000000 ]--- [ 1284.635581][T12491] RIP: 0010:strchr+0x1b/0xb0 [ 1284.640451][T12491] Code: 60 ac f7 48 8b 74 24 08 48 8b 14 24 eb 89 90 f3 0f 1e fa 48 b8 00 00 00 00 00 fc ff df 48 89 fa 55 48 c1 ea 03 53 48 83 ec 10 <0f> b6 04 02 48 89 fa 83 e2 07 38 d0 7f 04 84 c0 75 51 0f b6 07 89 [ 1284.661587][T12491] RSP: 0018:ffffc900049ff5b8 EFLAGS: 00010286 [ 1284.667781][T12491] RAX: dffffc0000000000 RBX: 0000000000000000 RCX: ffffc9000b699000 21:48:48 executing program 0: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x3, 0x0, 0x20, 0x0, 0x0, 0x0, 0x11, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x4e21, 0xc, 0x0, @opaque="04000000"}}}}}}, 0x36) 21:48:48 executing program 5: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f0000000000)='/proc/key-users\x00', 0x0, 0x0) openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) (async) r1 = openat$pfkey(0xffffffffffffff9c, &(0x7f0000000100), 0x2000, 0x0) ioctl$KDGKBENT(r1, 0x4b46, &(0x7f0000000140)={0x90, 0xb1, 0x8100}) r2 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r2, &(0x7f000000c2c0)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000500)=ANY=[@ANYBLOB="1400001010ef0f0000000000000000000000000a28000000000a01010000d5010000ffdf02000030f900000008000240000000032c000000030a01030000e6ff0000000002000426016730000000000900030073797a320000000014000000110001000000000000000016c095585e41ff00000000000000739eb8cb095432628696b2d184166da8d0f5e6000000000000000000000000002f74a412dc0a2914a1a8a2f655cbf72ed537bf991e548b92020675997418a432d097653f63a0b0937f9e186cee54ba141a0262bf463e4012f970bda72c9675ea49437d69b64600b459e7302862c6afb259ecf0682cb83078ebc1495bdc2bf92154dabac9bf52657f5020d663f8330ad67d345dadd3ea699f8ee50a0b73020d5372b6c56f6b90b20910ce979c2cea346ebb15f97f4db7eef5a4db9bf2f5b109dd09"], 0x7c}}, 0x0) sendmsg$NFT_BATCH(r2, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000440)={{0x14}, [@NFT_MSG_NEWRULE={0x50, 0x6, 0xa, 0x40b, 0x0, 0x0, {0x2}, [@NFTA_RULE_EXPRESSIONS={0x24, 0x4, 0x0, 0x1, [{0x20, 0x1, 0x0, 0x1, @quota={{0xa}, @val={0x10, 0x2, 0x0, 0x1, [@NFTA_QUOTA_BYTES={0xc}]}}}]}, @NFTA_RULE_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_RULE_CHAIN={0x9, 0x2, 'syz2\x00'}]}, @NFT_MSG_DELRULE={0x14}], {0x14}}, 0x8c}}, 0x0) (async) r3 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async, rerun: 32) r4 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) (rerun: 32) socket(0x27, 0x2, 0x8) (async) sendfile(r4, r3, &(0x7f00000003c0)=0x7a, 0x23d) (async) r5 = socket$nl_crypto(0x10, 0x3, 0x15) connect$pppl2tp(r3, &(0x7f00000000c0)=@pppol2tpv3={0x18, 0x1, {0x0, r5, {0x2, 0x4e20, @multicast1}, 0x3, 0x4, 0x1}}, 0x2e) (async, rerun: 32) sendfile(r2, r0, &(0x7f0000002080)=0x5, 0x4) (rerun: 32) pipe2$watch_queue(&(0x7f00000002c0)={0xffffffffffffffff, 0xffffffffffffffff}, 0x80) connect$pppl2tp(r6, &(0x7f0000000300)=@pppol2tpin6={0x18, 0x1, {0x0, r3, 0x2, 0x3, 0x1, 0x3, {0xa, 0x4e21, 0x3, @local, 0x7}}}, 0x32) (async) r7 = openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) (async, rerun: 32) r8 = openat$sysctl(0xffffffffffffff9c, &(0x7f0000000040)='/proc/sys/vm/drop_caches\x00', 0x1, 0x0) (rerun: 32) sendfile(r8, r7, &(0x7f0000002080)=0x7a, 0x23b) (async) sendmsg$nl_crypto(r7, &(0x7f0000000280)={&(0x7f0000000180)={0x10, 0x0, 0x0, 0x8000000}, 0xc, &(0x7f0000000240)={&(0x7f0000000340)=ANY=[@ANYBLOB="1000ffffffff010027bd7000ffdbdf25cd25a19196c25a0c86a94c6c25081655567773966577c9bc448afb0e146619284bf3edf1a8"], 0x10}, 0x1, 0x0, 0x0, 0x40000}, 0x4048010) 21:48:48 executing program 1: openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) (async) r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000140), 0x40841, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f00000000c0)={'syzkaller1\x00', 0x6bf1c2d5adba8c32}) r1 = socket$kcm(0x2, 0xa, 0x2) r2 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r2, &(0x7f000000c2c0)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000340)=ANY=[@ANYBLOB="140000001000010000000000000000000000000a28000000000a0101000000005e2bffdf020000000900010073797a300000000008000240000000032c000000030a01030000e6ff00000000020004000900010073797a30000000000900030073797a320000000014000000110001"], 0x7c}}, 0x0) sendmsg$NFT_BATCH(r2, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000440)={{0x14}, [@NFT_MSG_NEWRULE={0x50, 0x6, 0xa, 0x40b, 0x0, 0x0, {0x2}, [@NFTA_RULE_EXPRESSIONS={0x24, 0x4, 0x0, 0x1, [{0x20, 0x1, 0x0, 0x1, @quota={{0xa}, @val={0x10, 0x2, 0x0, 0x1, [@NFTA_QUOTA_BYTES={0xc}]}}}]}, @NFTA_RULE_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_RULE_CHAIN={0x9, 0x2, 'syz2\x00'}]}, @NFT_MSG_DELRULE={0x14}], {0x14}}, 0x8c}}, 0x0) (async) sendmsg$NFT_BATCH(r2, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000440)={{0x14}, [@NFT_MSG_NEWRULE={0x50, 0x6, 0xa, 0x40b, 0x0, 0x0, {0x2}, [@NFTA_RULE_EXPRESSIONS={0x24, 0x4, 0x0, 0x1, [{0x20, 0x1, 0x0, 0x1, @quota={{0xa}, @val={0x10, 0x2, 0x0, 0x1, [@NFTA_QUOTA_BYTES={0xc}]}}}]}, @NFTA_RULE_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_RULE_CHAIN={0x9, 0x2, 'syz2\x00'}]}, @NFT_MSG_DELRULE={0x14}], {0x14}}, 0x8c}}, 0x0) dup3(r1, r2, 0x0) (async) dup3(r1, r2, 0x0) ioctl$SIOCSIFHWADDR(r1, 0x8914, &(0x7f0000000180)={'syzkaller1\x00', @link_local}) write$tun(r0, &(0x7f0000000000)={@val, @void, @eth={@multicast, @random="df2a1770bfa0", @val={@void}, {@ipv4={0x800, @udp={{0x5, 0x4, 0x0, 0x0, 0x1c, 0x0, 0x0, 0x0, 0x32, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @local}, {0x0, 0x0, 0x8}}}}}}, 0x32) [ 1284.676609][T12491] RDX: 0000000000000000 RSI: 0000000000000025 RDI: 0000000000000000 [ 1284.693284][T12491] RBP: ffffc900049ff648 R08: 0000000000000001 R09: 0000000000000000 [ 1284.712347][T12491] R10: 0000000000000001 R11: 0000000000002068 R12: ffffc900049ff648 [ 1284.728762][T12491] R13: 0000000000000cc0 R14: ffff888142390078 R15: 0000000000000001 [ 1284.737318][T12491] FS: 00007f08a8f2d6c0(0000) GS:ffff8880b9800000(0000) knlGS:0000000000000000 [ 1284.750639][T12491] CS: 0010 DS: 0000 ES: 0000 CR0: 0000000080050033 [ 1284.757331][T12491] CR2: 0000000020002080 CR3: 0000000026944000 CR4: 0000000000350ef0 [ 1284.765999][T12491] Kernel panic - not syncing: Fatal exception [ 1284.772900][T12491] Kernel Offset: disabled [ 1284.777208][T12491] Rebooting in 86400 seconds..