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"]}, 0x1010}, {&(0x7f0000002540)={0x1358, 0x41, 0x300, 0x70bd29, 0x25dfdbfc, "", [@generic="da7795736cd8c07b5ec9c575873e6ed47503b6e924f1ed935fa37a25318ebc06297371dcf8a8ca15e638ccc9b2e8153db686d37845dd47c0849b334f5415aa754e29118a6416a9cef82360e542d2efeb945b430c8930a090732d9a0c48859a68db1ed9c8c264ff90dc986b47a121f98337c0769fa06739cbeeefa4ef4f9a85d907be8c8014adbb1c6f9fb04653046d", @nested={0x124, 0x45, 0x0, 0x1, [@generic="46cf4f98e9094ce9830aa1f965eed28672058ce6437b688e", @typed={0x51, 0x30, 0x0, 0x0, @binary="0ad50ae63005149bbc834fef46fe22f3406d2c9b8dde3661b829106c51f9cc5777e5f87dabd4490feba02f1b71ff514908cd3470fa23898e33b8b2ace46b0674f0f33decbc6b20d71d5109548b"}, @typed={0x5a, 0x1e, 0x0, 0x0, @binary="fea7a731825453abbc8d1a0a3dbb1c3903b8e5e07450440b1f4ce7575364bcc646da775b1a90c57806207e826831ecd5695095487cf53a7f0663891e9a72ce50b74e5dba7fd1a6aaee17013e9df97f6a81dc6b0df2cf"}, @typed={0xc, 0x16, 0x0, 0x0, @str='bridge0\x00'}, @generic="1c937c5fb07a4be921153e3bc282d60fdf71c0a6e2711bc7edf7f8ffcc5c3c4860fc2416745795a8e89de1328f8f04e1ca6df73ab4f471173fc7fdef93c7f8d16b4bd9ca2cf245324f4656f9"]}, @nested={0x1185, 0x8f, 0x0, 0x1, [@generic="3200037504a4520531b49d2106ed90e9c19e5b7454e4a5d4b57c71bcd223dce65db945491ee65dbf42730d083ea9464b745c171378a24792508b9a1e194bc03f37c219b87555ffdd54bca7550375ebfbe0a4b53058cbd8ad89fd7a7cc3bc6c852e1ac00866b0d04ad2e5ec9fd56b01a9fd247167a6169ae0483ad41b2ac8ffa725d8566dd0f2723c499af83b94c53f8dcb8ff5d55d941208efd1fd9df98fb36b28141b42818b8b30d06b4a91349ec32436aed36ada9991f9da3de44cae9a3d36bfb389ec936074f51b8f9f06d20109bfe6e493289f49d1909cb489a1f2a2f06d38490dad68cca32e6d", @generic="60b8b860c08127533e9871d285a5b81c973482e9cb2f0147425cf095abcdee780315ff1157077edb6dbae1448dc5c0b667d53d28d3caeb45f75e869e39a34ff82ed7b0c32ad921d392d6a30b08", @generic="d650ba93554a67fe437db6e34fd3db8964186db380a5eeb546548b60957dcd13a7fd424273c69c32dc2c14e8992a2d96eec30eb58efc4f310289395e", @typed={0x8, 0x6d, 0x0, 0x0, @pid}, @generic="f4ee6edabb131f", @generic="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"]}, @typed={0xc, 0xe, 0x0, 0x0, @u64=0x2}]}, 0x1358}], 0x3, &(0x7f0000003b80)=[@cred={{0x1c, 0x1, 0x2, {0x0, 0x0, 0xee01}}}, @cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff, 0xee01, 0xffffffffffffffff}}}, @rights={{0x20, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, r2, r2]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xffffffffffffffff, r4}}}, @cred={{0x1c, 0x1, 0x2, {r5, r6, r7}}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xee01, r8}}}, @rights={{0x14, 0x1, 0x1, [r9]}}], 0xd8, 0x4011}, 0x20000000) socketpair$unix(0x1, 0x5, 0x0, &(0x7f0000000180)={0xffffffffffffffff}) ioctl$sock_SIOCGIFINDEX(r11, 0x8933, &(0x7f0000000080)={'bridge0\x00', 0x0}) sendmsg$nl_route(r3, &(0x7f00000000c0)={0x0, 0x0, &(0x7f0000000000)={&(0x7f0000000240)=@bridge_delneigh={0x28, 0x1c, 0xf07, 0x0, 0x0, {0x7, 0x0, 0x0, r12, 0x40, 0x1f}, [@NDA_LLADDR={0xa, 0x2, @link_local={0x7}}]}, 0x28}}, 0x0) 03:14:15 executing program 2: r0 = syz_io_uring_complete(0x0) bpf$LINK_DETACH(0x22, &(0x7f00000001c0)=r0, 0x4) io_setup(0x7ff8, &(0x7f0000000040)=0x0) r2 = open(&(0x7f0000000080)='./bus\x00', 0x1eb142, 0x0) mmap(&(0x7f0000001000/0xa000)=nil, 0xa000, 0x0, 0x11, r2, 0x0) io_submit(r1, 0x1, &(0x7f0000000180)=[&(0x7f0000000140)={0x0, 0x0, 0x0, 0x6, 0x8, 0xffffffffffffffff, &(0x7f0000000080)="75e4c56358a391f045b9e73b5bbbf9c658e1c4ce6265534cf778a715972c7eddd8ac07ae65929ed216c38b6d49bdf30c7074d5d2b5946aeedd8617a15f921daf8e158760a7e26691ac5dcbe14932ef80590a90fd9ee312a7832460f6c5403bf127e5ec61aedd9f9c1dbfe371bb7f9d83470dd2199d923a47ac441cd8021952ff047196bf2c02c8e137727c48b51427a5805f779ba46b36a8020d0066", 0x9c, 0x9, 0x0, 0x1, r2}]) r3 = socket$inet6(0x10, 0x3, 0x0) socket$inet6(0xa, 0x4, 0x9) bind$inet6(r3, &(0x7f0000000000)={0xa, 0x4e24, 0x8, @rand_addr=' \x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x02', 0x3}, 0x1c) 03:14:15 executing program 3: prctl$PR_SET_SYSCALL_USER_DISPATCH_OFF(0x3b, 0x0) prctl$PR_SET_SYSCALL_USER_DISPATCH_OFF(0x3b, 0x0) r0 = syz_usb_connect$cdc_ncm(0x0, 0x87, &(0x7f0000000000)={{0x12, 0x1, 0x200, 0x2, 0x0, 0x0, 0x40, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x75, 0x2, 0x1, 0x9, 0x0, 0x1f, {{0x9, 0x4, 0x0, 0x0, 0x1, 0x2, 0xd, 0x0, 0x0, {{0x5}, {0x5, 0x24, 0x0, 0x78f}, {0xd, 0x24, 0xf, 0x1, 0x40, 0x800, 0x5, 0x80}, {0x6, 0x24, 0x1a, 0xf0c9, 0x3d}, [@mbim={0xc, 0x24, 0x1b, 0x4, 0x7, 0x40, 0x7, 0x200}, @call_mgmt={0x5, 0x24, 0x1, 0x2, 0x3}, @mbim_extended={0x8, 0x24, 0x1c, 0x2, 0x4}]}, {{0x9, 0x5, 0x81, 0x3, 0x3ff, 0x13, 0x7f, 0x2}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x2, 0x2, 0xd, 0x0, 0x0, "", {{{0x9, 0x5, 0x82, 0x2, 0x40, 0x7, 0x80}}, {{0x9, 0x5, 0x3, 0x2, 0x8, 0x7f, 0xff, 0xfc}}}}}}}]}}, &(0x7f00000004c0)={0xa, &(0x7f00000000c0)={0xa, 0x6, 0x301, 0xff, 0x8, 0x5, 0x20, 0x2}, 0x133, &(0x7f0000000100)={0x5, 0xf, 0x133, 0x5, [@ss_container_id={0x14, 0x10, 0x4, 0x6, "dd61c1702faa3c3b5abe7b00e550260b"}, @ptm_cap={0x3}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x8, 0x6, 0x40}, @generic={0xf9, 0x10, 0xb, "0adb8220452a7f11f5f50a3aa071499a7e6b2845767bcc8a2d472f28769ce245f9004ddf4b9c4ed9697d0a360755af31ae65cfc7be64fb7c76b53a8611d821a3b9a6f9894ba737b1bdc2453ec6ca6a37e0aa47d00931fcf95b8ffbbc1ad585a5575c60094b4419018afb8fa87a897a6fc9224d76572b9b5689362597b9c3c5d3cee78a102de55b7e4c2368a815e71b953517cbc38176c2e867a556b69fec9e318943c374d209aa975e5e86f843bdc440bb40198d775a2627697dd110ad0ad1a10ec50452cc092aaac23e2f1b2f1fd8c17bfb9ca4ea5550606889ffb619ed9066806a36a0532c9854a9f30fdea0c4b27c9c4c1a02e35a"}, @ss_container_id={0x14, 0x10, 0x4, 0x7, "d44875207c9954f5b3038fddcd44a9eb"}]}, 0x4, [{0xb0, &(0x7f0000000240)=@string={0xb0, 0x3, "eef4087ce26d436d91f6cc56a6b585d8e3231eddda2d08092887b3b7b6816682892bcc2469490dda91b146ba19ce9f59369d9e8090dde385e86996e8e1c0d123d8a2a051cbf31e11ad820c2eaeb29e11a64c2758df8786ab645553b238785c8fd53ecd0a65b61ceca969e593f4b3cd3d4527e4ab6a57b24b915d7864c853e4b1404d58a91b2aa1148b764d871b8b8689d99a265d1d874be1bb25ea887581111105f6cdee9198f817e4081f153e9c"}}, {0x4, &(0x7f0000000340)=@lang_id={0x4, 0x3, 0x184b}}, {0x6c, &(0x7f0000000380)=@string={0x6c, 0x3, "353b96b5d32284a3b614a045cd297067b97ede15613cf05b5526dff8ba512971ae5b429c996319172950e9a0a0366625b4bc59de08d341f990e1e0de494ea2fdd03623d32d1716e430141e7ddc59db12592f349e41470a19cab7ae0614f60562f970da77a11a92b72a72"}}, {0xb7, &(0x7f0000000400)=@string={0xb7, 0x3, "feaaf20827a0c363e1174d57b49546d52ebe83f61d8b99d7f7148c72d655a00fb0fc48469a4db38558a82c2417378770ed2442589b94f8c37a8ba141a35b61a4ddc323b300320bc1dc2f5878fe156b616968dff6ae2d31625c90bad69482ed184465b640cb42e4d58b5c561ef858ea204072a15e78e9378c12d4519672d3e332989d805d974c0420ed16a564f2bd1d7a38d1fd6595eff4b8303f31daa25031b3ac5021dac73e16e5f976c0be38a32bb069ab77421f"}}]}) syz_usb_control_io$cdc_ncm(r0, &(0x7f0000000580)={0x14, &(0x7f0000000300)={0x60, 0x22, 0x2f, {0x2f, 0x7, "565dda0f707865fd97db58f6ea234ab386d3e27527464d6d531e02e0722eb1377572c7d424b03d606011ddf193"}}, &(0x7f0000000540)={0x0, 0x3, 0x1a, {0x1a}}}, &(0x7f0000000880)={0x44, &(0x7f00000005c0)=ANY=[@ANYBLOB="000ddb000004cf8aa8e3a59db9f782c62e89abf64069e3d89dff97ac10b8f6dabc437b0f1e7efc7ce3559b6e777381c201614181a6e2b78c4e1e1ce4389dc9d5f276ed2823efca2a661e1e587a828e761a734b8dfec79a6b36a57c9e93d7be8776816c68b4a988fa366f17426a8b1f23e9154932629563a76b23b17d38c01028ea27624756607a36dfe06a2804a4a9043da9d6eb36c03e1cb662e5de1f73a64ec9df279754c6a8ca1cb3607c358852ca1130b56e6ba0126f463b4c1c9f213831d14a4313ed3c038cc95aa7738b3d0544d40786d1baa4461eec7199f037cc5106e2"], &(0x7f00000006c0)={0x0, 0xa, 0x1, 0x20}, &(0x7f0000000700)={0x0, 0x8, 0x1, 0x1}, &(0x7f0000000740)={0x20, 0x80, 0x1c, {0x101, 0x2ba, 0x10000, 0x2, 0x2, 0x4, 0xf780, 0x1cb8, 0xfff, 0x9, 0x1000, 0x6}}, &(0x7f0000000780)={0x20, 0x85, 0x4, 0x4}, &(0x7f00000007c0)={0x20, 0x83, 0x2}, &(0x7f0000000800)={0x20, 0x87, 0x2, 0x577}, &(0x7f0000000840)={0x20, 0x89, 0x2, 0x1}}) 03:14:15 executing program 5: r0 = socket$inet6_tcp(0xa, 0x1, 0x0) setsockopt$IP6T_SO_SET_REPLACE(r0, 0x29, 0x40, &(0x7f0000000000)=@raw={'raw\x00', 0x3c1, 0x3, 0x360, 0x160, 0x4c, 0x0, 0x160, 0x73, 0x290, 0x258, 0x258, 0x290, 0x258, 0x3, 0x0, {[{{@ipv6={@rand_addr=' \x01\x00', @local, [], [], 'wg2\x00', 'macvlan1\x00', {}, {}, 0x73}, 0x0, 0x118, 0x160, 0x0, {}, [@common=@inet=@l2tp={{0x30}, {0x0, 0x0, 0x3, 0x0, 0x5}}, @common=@unspec=@connlimit={{0x40}}]}, @unspec=@CT0={0x48, 'CT\x00', 0x0, {0x0, 0x0, 0x0, 0x0, 'syz0\x00'}}}, {{@ipv6={@local, @initdev={0xfe, 0x88, '\x00', 0x0, 0x0}, [], [], 'vxcan1\x00', 'team0\x00'}, 0x0, 0xf8, 0x130, 0x0, {0x4000000}, [@inet=@rpfilter={{0x28}}, @common=@icmp6={{0x28}, {0x0, "e1f6"}}]}, @common=@inet=@SET3={0x38}}], {{'\x00', 0x0, 0xa8, 0xd0}, {0x28}}}}, 0x3c0) socket$inet(0x2, 0x0, 0x0) r1 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000200), 0x0, 0x0) r2 = ioctl$KVM_CREATE_VM(r1, 0xae01, 0x0) r3 = socket(0x10, 0x8000000000000003, 0x0) dup2(r3, r2) setsockopt$inet6_tcp_TCP_MD5SIG(r3, 0x6, 0xe, &(0x7f00000003c0)={@in={{0x2, 0x4e20, @remote}}, 0x0, 0x0, 0x1f, 0x0, "d70743d72bc1fab69df5f350f552deb38678550ee9db3c85e963c04991bfb379a2fc93afce3581f4bbe69f3772536a88cd6c6246dabc53c6cd00f35779f0f90c7a82383e767a126c27cacf6650389997"}, 0xd8) [ 386.547614][ T7900] bridge: RTM_NEWNEIGH bridge0 with NTF_USE is not supported [ 386.615642][ T3553] usb 4-1: new high-speed USB device number 43 using dummy_hcd 03:14:15 executing program 4: r0 = openat(0xffffffffffffff9c, &(0x7f0000000000)='/proc/self/exe\x00', 0x0, 0x0) mmap(&(0x7f0000000000/0x800000)=nil, 0x800000, 0x1800003, 0x12, r0, 0x0) preadv(r0, &(0x7f0000000280), 0x18, 0xd9f, 0x0) r1 = open(&(0x7f0000000080)='./bus\x00', 0x1eb142, 0x0) mmap(&(0x7f0000001000/0xa000)=nil, 0xa000, 0x0, 0x11, r1, 0x0) (async) r2 = openat(r1, &(0x7f0000000040)='./file0\x00', 0x24001, 0xc) (async) r3 = socket$netlink(0x10, 0x3, 0x0) fstat(0xffffffffffffffff, &(0x7f0000003900)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) (async) fcntl$getownex(r0, 0x10, &(0x7f0000003980)={0x0, 0x0}) (async) newfstatat(0xffffffffffffff9c, &(0x7f00000039c0)='./file0\x00', &(0x7f0000003a00)={0x0, 0x0, 0x0, 0x0, 0x0}, 0x100) newfstatat(0xffffffffffffff9c, &(0x7f0000003a80)='./bus\x00', &(0x7f0000003ac0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}, 0x4000) (async) ioctl$AUTOFS_DEV_IOCTL_REQUESTER(r0, 0xc018937b, &(0x7f0000003b40)={{0x1, 0x1, 0x18, r0, {0xffffffffffffffff, 0x0}}, './file0\x00'}) (async) r9 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) r10 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r10, 0x1, r9, &(0x7f0000002280)) epoll_ctl$EPOLL_CTL_MOD(r10, 0x3, r9, &(0x7f0000000040)) sendmsg$netlink(0xffffffffffffffff, &(0x7f0000003c80)={&(0x7f0000000100)=@kern={0x10, 0x0, 0x0, 0x10}, 0xc, &(0x7f0000000200)=[{&(0x7f0000000280)={0x1274, 0x2d, 0x800, 0x70bd26, 0x25dfdbff, "", [@typed={0x8, 0x91, 0x0, 0x0, @uid=0xee01}, @nested={0x12e, 0x7e, 0x0, 0x1, [@generic="11228dc7530fa2f84472570d5127790636205c6b3773ed24b6594bea2d5f0f12975e596df6cbab9a0e53baf364da8a2aec60c707680a3800514a5c7dfa8d951a552c1101723a7009b248ee81cc79f7ce26dae48fb4a7410f422426359703a479dbf4a0d55378706796b293405c5ac71239106f934bb110834d0f28e7637f6250", @typed={0xc, 0xe, 0x0, 0x0, @u64=0x52}, @typed={0x8, 0x94, 0x0, 0x0, @ipv4=@empty}, @generic="e6e58b85620e469d92ab5c3615f3a519bf782a5f952f896f9583cb9591d631fceb9f9a10cf3a6eed3ec2968cb18ec611fefe2190bd6384c62f19ac823430273abbef3de22279236c9e053605d2e3ac442d68138c692a9841fc29a36c0935d0bb3d82d37e614d57516b26b82ecfb854e54f450a8a7b9f2ca5cacf00385e2786ff66085a69d022709f0db75f7940328fdb97cd21932121"]}, @typed={0xb, 0xa, 0x0, 0x0, @str='@-\\%{-\x00'}, @typed={0x14, 0x65, 0x0, 0x0, @ipv6=@remote}, @nested={0x1109, 0x5c, 0x0, 0x1, [@generic="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", @typed={0x8, 0x43, 0x0, 0x0, @pid}, @generic="a78639c4301460872b1bcb0f3b31ab44b364e0be06c8687c7e955efee71807ac067dc91cb8efea15f69b635a83f766d403811725ce217b17", @generic="799c982f49ac6ca9f26d98b46d9ec8acf8a02758f0f20f6625685f53e162463669288fad4a1945737827671d7f9b37757f02f3e42f7cba8d3dff4293dc6a9b1377b1cf7e0e7385b0514068cc2b2991b501e8854e51769481a84c086eb5dc5152673aa113f0d038cdc44abf55dd6d487c7c04bb7dc1b0b0de3d2b9c0ead042a3fad6bbd47c8e6b01e37aa071119177999fdb77a02e4e89dc32bc84a5e6037bf0b2b0fe0d94c4e068fe179a08a5ac540725ebe914310b8b8a385ff7bb906", @typed={0x8, 0x67, 0x0, 0x0, @fd=r1}]}]}, 0x1274}, {&(0x7f0000001500)={0x1010, 0x37, 0x1, 0x70bd29, 0x25dfdbff, "", [@generic="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"]}, 0x1010}, {&(0x7f0000002540)={0x1358, 0x41, 0x300, 0x70bd29, 0x25dfdbfc, "", [@generic="da7795736cd8c07b5ec9c575873e6ed47503b6e924f1ed935fa37a25318ebc06297371dcf8a8ca15e638ccc9b2e8153db686d37845dd47c0849b334f5415aa754e29118a6416a9cef82360e542d2efeb945b430c8930a090732d9a0c48859a68db1ed9c8c264ff90dc986b47a121f98337c0769fa06739cbeeefa4ef4f9a85d907be8c8014adbb1c6f9fb04653046d", @nested={0x124, 0x45, 0x0, 0x1, [@generic="46cf4f98e9094ce9830aa1f965eed28672058ce6437b688e", @typed={0x51, 0x30, 0x0, 0x0, @binary="0ad50ae63005149bbc834fef46fe22f3406d2c9b8dde3661b829106c51f9cc5777e5f87dabd4490feba02f1b71ff514908cd3470fa23898e33b8b2ace46b0674f0f33decbc6b20d71d5109548b"}, @typed={0x5a, 0x1e, 0x0, 0x0, @binary="fea7a731825453abbc8d1a0a3dbb1c3903b8e5e07450440b1f4ce7575364bcc646da775b1a90c57806207e826831ecd5695095487cf53a7f0663891e9a72ce50b74e5dba7fd1a6aaee17013e9df97f6a81dc6b0df2cf"}, @typed={0xc, 0x16, 0x0, 0x0, @str='bridge0\x00'}, @generic="1c937c5fb07a4be921153e3bc282d60fdf71c0a6e2711bc7edf7f8ffcc5c3c4860fc2416745795a8e89de1328f8f04e1ca6df73ab4f471173fc7fdef93c7f8d16b4bd9ca2cf245324f4656f9"]}, @nested={0x1185, 0x8f, 0x0, 0x1, [@generic="3200037504a4520531b49d2106ed90e9c19e5b7454e4a5d4b57c71bcd223dce65db945491ee65dbf42730d083ea9464b745c171378a24792508b9a1e194bc03f37c219b87555ffdd54bca7550375ebfbe0a4b53058cbd8ad89fd7a7cc3bc6c852e1ac00866b0d04ad2e5ec9fd56b01a9fd247167a6169ae0483ad41b2ac8ffa725d8566dd0f2723c499af83b94c53f8dcb8ff5d55d941208efd1fd9df98fb36b28141b42818b8b30d06b4a91349ec32436aed36ada9991f9da3de44cae9a3d36bfb389ec936074f51b8f9f06d20109bfe6e493289f49d1909cb489a1f2a2f06d38490dad68cca32e6d", @generic="60b8b860c08127533e9871d285a5b81c973482e9cb2f0147425cf095abcdee780315ff1157077edb6dbae1448dc5c0b667d53d28d3caeb45f75e869e39a34ff82ed7b0c32ad921d392d6a30b08", @generic="d650ba93554a67fe437db6e34fd3db8964186db380a5eeb546548b60957dcd13a7fd424273c69c32dc2c14e8992a2d96eec30eb58efc4f310289395e", @typed={0x8, 0x6d, 0x0, 0x0, @pid}, @generic="f4ee6edabb131f", @generic="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"]}, @typed={0xc, 0xe, 0x0, 0x0, @u64=0x2}]}, 0x1358}], 0x3, &(0x7f0000003b80)=[@cred={{0x1c, 0x1, 0x2, {0x0, 0x0, 0xee01}}}, @cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff, 0xee01, 0xffffffffffffffff}}}, @rights={{0x20, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, r2, r2]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xffffffffffffffff, r4}}}, @cred={{0x1c, 0x1, 0x2, {r5, r6, r7}}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xee01, r8}}}, @rights={{0x14, 0x1, 0x1, [r9]}}], 0xd8, 0x4011}, 0x20000000) (async) socketpair$unix(0x1, 0x5, 0x0, &(0x7f0000000180)={0xffffffffffffffff}) ioctl$sock_SIOCGIFINDEX(r11, 0x8933, &(0x7f0000000080)={'bridge0\x00', 0x0}) sendmsg$nl_route(r3, &(0x7f00000000c0)={0x0, 0x0, &(0x7f0000000000)={&(0x7f0000000240)=@bridge_delneigh={0x28, 0x1c, 0xf07, 0x0, 0x0, {0x7, 0x0, 0x0, r12, 0x40, 0x1f}, [@NDA_LLADDR={0xa, 0x2, @link_local={0x7}}]}, 0x28}}, 0x0) [ 386.731973][ T3559] usb 2-1: new high-speed USB device number 40 using dummy_hcd [ 386.872868][ T7912] xt_CT: No such helper "syz0" [ 387.012843][ T3553] usb 4-1: config 1 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 19, changing to 8 [ 387.026869][ T3553] usb 4-1: config 1 interface 1 altsetting 1 bulk endpoint 0x82 has invalid maxpacket 64 [ 387.037581][ T3553] usb 4-1: config 1 interface 1 altsetting 1 bulk endpoint 0x3 has invalid maxpacket 8 [ 387.069049][ T7921] bridge: RTM_NEWNEIGH bridge0 with NTF_USE is not supported [ 387.092201][ T3559] usb 2-1: config 0 has an invalid interface number: 190 but max is 1 [ 387.100531][ T3559] usb 2-1: config 0 has no interface number 1 [ 387.107151][ T3559] usb 2-1: config 0 interface 190 altsetting 121 endpoint 0x84 has an invalid bInterval 27, changing to 8 [ 387.118724][ T3559] usb 2-1: config 0 interface 190 altsetting 121 endpoint 0x84 has invalid maxpacket 58931, setting to 1024 [ 387.131353][ T3559] usb 2-1: config 0 interface 190 altsetting 121 has an invalid endpoint with address 0xA5, skipping [ 387.142768][ T3559] usb 2-1: config 0 interface 190 altsetting 121 has 2 endpoint descriptors, different from the interface descriptor's value: 21 [ 387.156358][ T3559] usb 2-1: config 0 interface 190 has no altsetting 0 03:14:16 executing program 2: r0 = syz_io_uring_complete(0x0) bpf$LINK_DETACH(0x22, &(0x7f00000001c0)=r0, 0x4) (async) io_setup(0x7ff8, &(0x7f0000000040)=0x0) (async) r2 = open(&(0x7f0000000080)='./bus\x00', 0x1eb142, 0x0) mmap(&(0x7f0000001000/0xa000)=nil, 0xa000, 0x0, 0x11, r2, 0x0) (async) io_submit(r1, 0x1, &(0x7f0000000180)=[&(0x7f0000000140)={0x0, 0x0, 0x0, 0x6, 0x8, 0xffffffffffffffff, &(0x7f0000000080)="75e4c56358a391f045b9e73b5bbbf9c658e1c4ce6265534cf778a715972c7eddd8ac07ae65929ed216c38b6d49bdf30c7074d5d2b5946aeedd8617a15f921daf8e158760a7e26691ac5dcbe14932ef80590a90fd9ee312a7832460f6c5403bf127e5ec61aedd9f9c1dbfe371bb7f9d83470dd2199d923a47ac441cd8021952ff047196bf2c02c8e137727c48b51427a5805f779ba46b36a8020d0066", 0x9c, 0x9, 0x0, 0x1, r2}]) (async) r3 = socket$inet6(0x10, 0x3, 0x0) socket$inet6(0xa, 0x4, 0x9) (async) bind$inet6(r3, &(0x7f0000000000)={0xa, 0x4e24, 0x8, @rand_addr=' \x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x02', 0x3}, 0x1c) 03:14:16 executing program 4: r0 = openat(0xffffffffffffff9c, &(0x7f0000000000)='/proc/self/exe\x00', 0x0, 0x0) mmap(&(0x7f0000000000/0x800000)=nil, 0x800000, 0x1800003, 0x12, r0, 0x0) preadv(r0, &(0x7f0000000280), 0x18, 0xd9f, 0x0) (async) r1 = open(&(0x7f0000000080)='./bus\x00', 0x1eb142, 0x0) mmap(&(0x7f0000001000/0xa000)=nil, 0xa000, 0x0, 0x11, r1, 0x0) (async) r2 = openat(r1, &(0x7f0000000040)='./file0\x00', 0x24001, 0xc) (async) r3 = socket$netlink(0x10, 0x3, 0x0) (async) fstat(0xffffffffffffffff, &(0x7f0000003900)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) (async) fcntl$getownex(r0, 0x10, &(0x7f0000003980)={0x0, 0x0}) newfstatat(0xffffffffffffff9c, &(0x7f00000039c0)='./file0\x00', &(0x7f0000003a00)={0x0, 0x0, 0x0, 0x0, 0x0}, 0x100) (async) newfstatat(0xffffffffffffff9c, &(0x7f0000003a80)='./bus\x00', &(0x7f0000003ac0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}, 0x4000) ioctl$AUTOFS_DEV_IOCTL_REQUESTER(r0, 0xc018937b, &(0x7f0000003b40)={{0x1, 0x1, 0x18, r0, {0xffffffffffffffff, 0x0}}, './file0\x00'}) (async) r9 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) (async) r10 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r10, 0x1, r9, &(0x7f0000002280)) (async) epoll_ctl$EPOLL_CTL_MOD(r10, 0x3, r9, &(0x7f0000000040)) sendmsg$netlink(0xffffffffffffffff, &(0x7f0000003c80)={&(0x7f0000000100)=@kern={0x10, 0x0, 0x0, 0x10}, 0xc, &(0x7f0000000200)=[{&(0x7f0000000280)={0x1274, 0x2d, 0x800, 0x70bd26, 0x25dfdbff, "", [@typed={0x8, 0x91, 0x0, 0x0, @uid=0xee01}, @nested={0x12e, 0x7e, 0x0, 0x1, [@generic="11228dc7530fa2f84472570d5127790636205c6b3773ed24b6594bea2d5f0f12975e596df6cbab9a0e53baf364da8a2aec60c707680a3800514a5c7dfa8d951a552c1101723a7009b248ee81cc79f7ce26dae48fb4a7410f422426359703a479dbf4a0d55378706796b293405c5ac71239106f934bb110834d0f28e7637f6250", @typed={0xc, 0xe, 0x0, 0x0, @u64=0x52}, @typed={0x8, 0x94, 0x0, 0x0, @ipv4=@empty}, @generic="e6e58b85620e469d92ab5c3615f3a519bf782a5f952f896f9583cb9591d631fceb9f9a10cf3a6eed3ec2968cb18ec611fefe2190bd6384c62f19ac823430273abbef3de22279236c9e053605d2e3ac442d68138c692a9841fc29a36c0935d0bb3d82d37e614d57516b26b82ecfb854e54f450a8a7b9f2ca5cacf00385e2786ff66085a69d022709f0db75f7940328fdb97cd21932121"]}, @typed={0xb, 0xa, 0x0, 0x0, @str='@-\\%{-\x00'}, @typed={0x14, 0x65, 0x0, 0x0, @ipv6=@remote}, @nested={0x1109, 0x5c, 0x0, 0x1, [@generic="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", @typed={0x8, 0x43, 0x0, 0x0, @pid}, @generic="a78639c4301460872b1bcb0f3b31ab44b364e0be06c8687c7e955efee71807ac067dc91cb8efea15f69b635a83f766d403811725ce217b17", @generic="799c982f49ac6ca9f26d98b46d9ec8acf8a02758f0f20f6625685f53e162463669288fad4a1945737827671d7f9b37757f02f3e42f7cba8d3dff4293dc6a9b1377b1cf7e0e7385b0514068cc2b2991b501e8854e51769481a84c086eb5dc5152673aa113f0d038cdc44abf55dd6d487c7c04bb7dc1b0b0de3d2b9c0ead042a3fad6bbd47c8e6b01e37aa071119177999fdb77a02e4e89dc32bc84a5e6037bf0b2b0fe0d94c4e068fe179a08a5ac540725ebe914310b8b8a385ff7bb906", @typed={0x8, 0x67, 0x0, 0x0, @fd=r1}]}]}, 0x1274}, {&(0x7f0000001500)={0x1010, 0x37, 0x1, 0x70bd29, 0x25dfdbff, "", [@generic="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"]}, 0x1010}, {&(0x7f0000002540)={0x1358, 0x41, 0x300, 0x70bd29, 0x25dfdbfc, "", [@generic="da7795736cd8c07b5ec9c575873e6ed47503b6e924f1ed935fa37a25318ebc06297371dcf8a8ca15e638ccc9b2e8153db686d37845dd47c0849b334f5415aa754e29118a6416a9cef82360e542d2efeb945b430c8930a090732d9a0c48859a68db1ed9c8c264ff90dc986b47a121f98337c0769fa06739cbeeefa4ef4f9a85d907be8c8014adbb1c6f9fb04653046d", @nested={0x124, 0x45, 0x0, 0x1, [@generic="46cf4f98e9094ce9830aa1f965eed28672058ce6437b688e", @typed={0x51, 0x30, 0x0, 0x0, @binary="0ad50ae63005149bbc834fef46fe22f3406d2c9b8dde3661b829106c51f9cc5777e5f87dabd4490feba02f1b71ff514908cd3470fa23898e33b8b2ace46b0674f0f33decbc6b20d71d5109548b"}, @typed={0x5a, 0x1e, 0x0, 0x0, @binary="fea7a731825453abbc8d1a0a3dbb1c3903b8e5e07450440b1f4ce7575364bcc646da775b1a90c57806207e826831ecd5695095487cf53a7f0663891e9a72ce50b74e5dba7fd1a6aaee17013e9df97f6a81dc6b0df2cf"}, @typed={0xc, 0x16, 0x0, 0x0, @str='bridge0\x00'}, @generic="1c937c5fb07a4be921153e3bc282d60fdf71c0a6e2711bc7edf7f8ffcc5c3c4860fc2416745795a8e89de1328f8f04e1ca6df73ab4f471173fc7fdef93c7f8d16b4bd9ca2cf245324f4656f9"]}, @nested={0x1185, 0x8f, 0x0, 0x1, [@generic="3200037504a4520531b49d2106ed90e9c19e5b7454e4a5d4b57c71bcd223dce65db945491ee65dbf42730d083ea9464b745c171378a24792508b9a1e194bc03f37c219b87555ffdd54bca7550375ebfbe0a4b53058cbd8ad89fd7a7cc3bc6c852e1ac00866b0d04ad2e5ec9fd56b01a9fd247167a6169ae0483ad41b2ac8ffa725d8566dd0f2723c499af83b94c53f8dcb8ff5d55d941208efd1fd9df98fb36b28141b42818b8b30d06b4a91349ec32436aed36ada9991f9da3de44cae9a3d36bfb389ec936074f51b8f9f06d20109bfe6e493289f49d1909cb489a1f2a2f06d38490dad68cca32e6d", @generic="60b8b860c08127533e9871d285a5b81c973482e9cb2f0147425cf095abcdee780315ff1157077edb6dbae1448dc5c0b667d53d28d3caeb45f75e869e39a34ff82ed7b0c32ad921d392d6a30b08", @generic="d650ba93554a67fe437db6e34fd3db8964186db380a5eeb546548b60957dcd13a7fd424273c69c32dc2c14e8992a2d96eec30eb58efc4f310289395e", @typed={0x8, 0x6d, 0x0, 0x0, @pid}, @generic="f4ee6edabb131f", @generic="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"]}, @typed={0xc, 0xe, 0x0, 0x0, @u64=0x2}]}, 0x1358}], 0x3, &(0x7f0000003b80)=[@cred={{0x1c, 0x1, 0x2, {0x0, 0x0, 0xee01}}}, @cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff, 0xee01, 0xffffffffffffffff}}}, @rights={{0x20, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, r2, r2]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xffffffffffffffff, r4}}}, @cred={{0x1c, 0x1, 0x2, {r5, r6, r7}}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xee01, r8}}}, @rights={{0x14, 0x1, 0x1, [r9]}}], 0xd8, 0x4011}, 0x20000000) (async) socketpair$unix(0x1, 0x5, 0x0, &(0x7f0000000180)={0xffffffffffffffff}) ioctl$sock_SIOCGIFINDEX(r11, 0x8933, &(0x7f0000000080)={'bridge0\x00', 0x0}) sendmsg$nl_route(r3, &(0x7f00000000c0)={0x0, 0x0, &(0x7f0000000000)={&(0x7f0000000240)=@bridge_delneigh={0x28, 0x1c, 0xf07, 0x0, 0x0, {0x7, 0x0, 0x0, r12, 0x40, 0x1f}, [@NDA_LLADDR={0xa, 0x2, @link_local={0x7}}]}, 0x28}}, 0x0) [ 387.163345][ T3559] usb 2-1: config 0 interface 0 has no altsetting 0 [ 387.242772][ T3553] usb 4-1: New USB device found, idVendor=0525, idProduct=a4a1, bcdDevice= 0.40 [ 387.252493][ T3553] usb 4-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 387.260641][ T3553] usb 4-1: Product: 㬵떖⋓ꎄᒶ䖠⧍杰纹ᗞ㱡寰♕冺焩宮鱂掙᜙倩ꃩ㚠╦벴팈論义ﶢ㛐팣ᜭᐰ紞姜ዛ⽙鸴䝁ᤊ럊ڮ戅烹矚᪡랒爪 [ 387.278080][ T3553] usb 4-1: Manufacturer: ᡋ [ 387.282893][ T3553] usb 4-1: SerialNumber: ꫾ࣲꀧ揃១坍閴핆븮謝힙ᓷ犌嗖ྠﲰ䙈䶚薳ꡘ␬㜗炇⓭塂钛쏸譺䆡宣ꑡ쏝댣㈀섋⿜硘ᗾ慫桩ⶮ戱遜횺芔ᣭ敄䂶䋋헤岋Ṗ壸⃪牀庡谷퐒陑퍲㋣鶘嶀䲗 ᛭撥뷲稝털旽룴㼰傢댱催㻇盹뻀ꌸ뀫ꭩ䉷 03:14:16 executing program 5: r0 = socket$inet6_tcp(0xa, 0x1, 0x0) setsockopt$IP6T_SO_SET_REPLACE(r0, 0x29, 0x40, &(0x7f0000000000)=@raw={'raw\x00', 0x3c1, 0x3, 0x360, 0x160, 0x4c, 0x0, 0x160, 0x73, 0x290, 0x258, 0x258, 0x290, 0x258, 0x3, 0x0, {[{{@ipv6={@rand_addr=' \x01\x00', @local, [], [], 'wg2\x00', 'macvlan1\x00', {}, {}, 0x73}, 0x0, 0x118, 0x160, 0x0, {}, [@common=@inet=@l2tp={{0x30}, {0x0, 0x0, 0x3, 0x0, 0x5}}, @common=@unspec=@connlimit={{0x40}}]}, @unspec=@CT0={0x48, 'CT\x00', 0x0, {0x0, 0x0, 0x0, 0x0, 'syz0\x00'}}}, {{@ipv6={@local, @initdev={0xfe, 0x88, '\x00', 0x0, 0x0}, [], [], 'vxcan1\x00', 'team0\x00'}, 0x0, 0xf8, 0x130, 0x0, {0x4000000}, [@inet=@rpfilter={{0x28}}, @common=@icmp6={{0x28}, {0x0, "e1f6"}}]}, @common=@inet=@SET3={0x38}}], {{'\x00', 0x0, 0xa8, 0xd0}, {0x28}}}}, 0x3c0) socket$inet(0x2, 0x0, 0x0) r1 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000200), 0x0, 0x0) r2 = ioctl$KVM_CREATE_VM(r1, 0xae01, 0x0) r3 = socket(0x10, 0x8000000000000003, 0x0) dup2(r3, r2) setsockopt$inet6_tcp_TCP_MD5SIG(r3, 0x6, 0xe, &(0x7f00000003c0)={@in={{0x2, 0x4e20, @remote}}, 0x0, 0x0, 0x1f, 0x0, "d70743d72bc1fab69df5f350f552deb38678550ee9db3c85e963c04991bfb379a2fc93afce3581f4bbe69f3772536a88cd6c6246dabc53c6cd00f35779f0f90c7a82383e767a126c27cacf6650389997"}, 0xd8) socket$inet6_tcp(0xa, 0x1, 0x0) (async) setsockopt$IP6T_SO_SET_REPLACE(r0, 0x29, 0x40, &(0x7f0000000000)=@raw={'raw\x00', 0x3c1, 0x3, 0x360, 0x160, 0x4c, 0x0, 0x160, 0x73, 0x290, 0x258, 0x258, 0x290, 0x258, 0x3, 0x0, {[{{@ipv6={@rand_addr=' \x01\x00', @local, [], [], 'wg2\x00', 'macvlan1\x00', {}, {}, 0x73}, 0x0, 0x118, 0x160, 0x0, {}, [@common=@inet=@l2tp={{0x30}, {0x0, 0x0, 0x3, 0x0, 0x5}}, @common=@unspec=@connlimit={{0x40}}]}, @unspec=@CT0={0x48, 'CT\x00', 0x0, {0x0, 0x0, 0x0, 0x0, 'syz0\x00'}}}, {{@ipv6={@local, @initdev={0xfe, 0x88, '\x00', 0x0, 0x0}, [], [], 'vxcan1\x00', 'team0\x00'}, 0x0, 0xf8, 0x130, 0x0, {0x4000000}, [@inet=@rpfilter={{0x28}}, @common=@icmp6={{0x28}, {0x0, "e1f6"}}]}, @common=@inet=@SET3={0x38}}], {{'\x00', 0x0, 0xa8, 0xd0}, {0x28}}}}, 0x3c0) (async) socket$inet(0x2, 0x0, 0x0) (async) openat$kvm(0xffffffffffffff9c, &(0x7f0000000200), 0x0, 0x0) (async) ioctl$KVM_CREATE_VM(r1, 0xae01, 0x0) (async) socket(0x10, 0x8000000000000003, 0x0) (async) dup2(r3, r2) (async) setsockopt$inet6_tcp_TCP_MD5SIG(r3, 0x6, 0xe, &(0x7f00000003c0)={@in={{0x2, 0x4e20, @remote}}, 0x0, 0x0, 0x1f, 0x0, "d70743d72bc1fab69df5f350f552deb38678550ee9db3c85e963c04991bfb379a2fc93afce3581f4bbe69f3772536a88cd6c6246dabc53c6cd00f35779f0f90c7a82383e767a126c27cacf6650389997"}, 0xd8) (async) [ 387.545469][ T7927] bridge: RTM_NEWNEIGH bridge0 with NTF_USE is not supported [ 387.604997][ T3559] usb 2-1: New USB device found, idVendor=06e1, idProduct=f332, bcdDevice=80.f6 [ 387.614332][ T3559] usb 2-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 387.622611][ T3559] usb 2-1: Product: syz [ 387.626901][ T3559] usb 2-1: Manufacturer: syz [ 387.631610][ T3559] usb 2-1: SerialNumber: syz [ 387.681476][ T3559] usb 2-1: config 0 descriptor?? [ 387.705718][ T7905] raw-gadget gadget: fail, usb_ep_enable returned -22 03:14:16 executing program 0: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f0000000040)='/proc/tty/drivers\x00', 0x0, 0x0) r1 = openat$sysfs(0xffffff9c, &(0x7f0000000000)='/sys/power/resume', 0x82082, 0x0) dup2(r0, r0) sendfile(r1, r0, 0x0, 0x5) [ 387.789460][ T7940] xt_CT: No such helper "syz0" [ 387.819439][ T7949] xt_CT: No such helper "syz0" [ 387.992433][ T3559] tm6000: alt 0, interface 190, class 248 [ 387.998384][ T3559] tm6000: New video device @ 480 Mbps (06e1:f332, ifnum 190) [ 388.006228][ T3559] tm6000: probing error: no IN ISOC endpoint! [ 388.012524][ T3559] tm6000: Error -19 while registering [ 388.117301][ T3553] cdc_ncm 4-1:1.0: bind() failure [ 388.157312][ T3553] cdc_ncm 4-1:1.1: CDC Union missing and no IAD found [ 388.164398][ T3553] cdc_ncm 4-1:1.1: bind() failure [ 388.212482][ T3559] tm6000: New video device @ 480 Mbps (06e1:f332, ifnum 0) [ 388.219832][ T3559] tm6000: probing error: no IN ISOC endpoint! [ 388.226666][ T3559] tm6000: Error -19 while registering [ 388.238078][ T3553] usb 4-1: USB disconnect, device number 43 03:14:18 executing program 1: r0 = syz_usb_connect(0x0, 0x3f, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000a9358a40e10632f3f6800102030109022d0002000000000904be7915f8c60a00090584cb33e61b00000905a50000bc00000009040001"], 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) (async, rerun: 32) syz_usb_control_io(r0, &(0x7f0000000240)={0x2c, &(0x7f0000000040)={0x0, 0xc, 0xf1, {0xf1, 0xc, "4fbb834815f560a956d2216689b4b0352e987197a82c03ab9cca2f5fd73b633ae62e47d74b50e141e7f90c746ba0bc1ea98c2bee8de49da3d00c2ddc44d6551101926a7c8b6943c33ae6c7f6df8161140a84f99d9535ea5ec9f31bce043f12bdca2680680a3a84cbba6670f6651083d1b0cf06dd95d468d70a75549c34005bbd95a3a75d196597c73b0dd24f50600b0493cbefb08ad6b94bc0794e6ed361bbbd3b1953b28050a3f8774e3dfc0ffc570e840d16789b5ae3c833d3341c78d576a5702353492c22cc31b6cd52210036814781af4e5947365abaf0cd0bc9e28489937c7a8c995bded9df6e4e4434765ca1"}}, &(0x7f0000000140)={0x0, 0x3, 0x1a, @string={0x1a, 0x3, "29b1975c0188eb15fa0f6387cfc2e50baa12bc0b589d2d1b"}}, &(0x7f0000000180)={0x0, 0xf, 0x5, {0x5, 0xf, 0x5}}, &(0x7f00000001c0)={0x20, 0x29, 0xf, {0xf, 0x29, 0x88, 0x60, 0x3, 0x1, "13616ca9", "fb64bc3c"}}, &(0x7f0000000200)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x0, 0x1291f61df74e3159, 0x1, 0x8, 0xb3, 0xfff, 0xff}}}, &(0x7f0000000740)={0x84, &(0x7f0000000280)={0x20, 0x8, 0xf4, "5c254ff23b23c01627888c8d9358a597dced827fae2986afbbd9d28c69e91ce8538b4a122062a49a056a714468b788268c420e49cfea80c4f68620b9e132f6eb9218a3c08637258f429a55228e67e58e9e2d8c07e0eed4224424ed3d5fed46dbe90a3022c170d66cb6be54169e47bdf27cd3869f6f16661c3de947f9edd4267e302054f14700e24a9f27801ae3abb070ea62a9827d4de9bc6aeec465fcadc414d377251ef09a2163433ab2c5187851ba0094f1213a408939f0f346a8c90f41d4c4e234812e7e47a9ecd115ff237e7fa4c00e84774842f95c6963bce6a6c6097dff355ee6c95504fbc74554597aeb758bc6bbd19a"}, &(0x7f0000000380)={0x0, 0xa, 0x1, 0xf4}, &(0x7f00000003c0)={0x0, 0x8, 0x1, 0x29}, &(0x7f0000000400)={0x20, 0x0, 0x4, {0x3, 0x2}}, &(0x7f0000000440)={0x20, 0x0, 0x4, {0x140}}, &(0x7f0000000480)={0x40, 0x7, 0x2, 0x4}, &(0x7f00000004c0)={0x40, 0x9, 0x1, 0x80}, &(0x7f0000000500)={0x40, 0xb, 0x2, "4d8e"}, &(0x7f0000000540)={0x40, 0xf, 0x2, 0x2}, &(0x7f0000000580)={0x40, 0x13, 0x6, @local}, &(0x7f00000005c0)={0x40, 0x17, 0x6, @local}, &(0x7f0000000600)={0x40, 0x19, 0x2, "10d3"}, &(0x7f0000000640)={0x40, 0x1a, 0x2, 0x8}, &(0x7f0000000680)={0x40, 0x1c, 0x1, 0x8}, &(0x7f00000006c0)={0x40, 0x1e, 0x1, 0x5}, &(0x7f0000000700)={0x40, 0x21, 0x1, 0xf8}}) (rerun: 32) syz_usb_control_io(r0, &(0x7f00000009c0)={0x2c, &(0x7f0000000800)={0x40, 0x0, 0x94, {0x94, 0x0, "087930b872883cd81fc403236bb34c7bf59c26b879eb1b7481bada8d6ed109e6246d557fbb10286b899ba5042db6bd53f10ddb5bf02ac8f04bbe902d0c198e3b1f4c5373ce569f939ff80b3d1bed5e57b6913d90dfbf5ddf45eb91b328e6f642cbddef10c154b8e149ae5b9771ce8da68d7c7ea545d5df67f24ce7e13e9332eeee57a6329b8af377f765b8ba52f165b50a81"}}, &(0x7f00000008c0)={0x0, 0x3, 0x4, @lang_id={0x4, 0x3, 0x82b}}, &(0x7f0000000900)={0x0, 0xf, 0x5, {0x5, 0xf, 0x5}}, &(0x7f0000000940)={0x20, 0x29, 0xf, {0xf, 0x29, 0x5, 0x2, 0x1, 0x4, "9215b68c", "291a43ed"}}, &(0x7f0000000980)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x1, 0x18, 0x81, 0x8, 0x3, 0x4c1c, 0x8}}}, &(0x7f0000000e40)={0x84, &(0x7f0000000a00)={0x40, 0x8, 0x55, "65eb957a2f27685c5a77a65ddf0809e86f1abf8c482c84f36d322fd27b7d456198bccb0e9516a3bead6231af72933b4f17e14ba6b64a09ba5ca3e5be919279bd902314d4d1af6f287b18798acd26d4b90e4bc5a2ea"}, &(0x7f0000000a80)={0x0, 0xa, 0x1, 0x81}, &(0x7f0000000ac0)={0x0, 0x8, 0x1, 0x8}, &(0x7f0000000b00)={0x20, 0x0, 0x4, {0x3, 0x1}}, &(0x7f0000000b40)={0x20, 0x0, 0x4, {0x3ca4, 0x29}}, &(0x7f0000000b80)={0x40, 0x7, 0x2, 0x7}, &(0x7f0000000bc0)={0x40, 0x9, 0x1, 0x3f}, &(0x7f0000000c00)={0x40, 0xb, 0x2, "a1ef"}, &(0x7f0000000c40)={0x40, 0xf, 0x2, 0x6}, &(0x7f0000000c80)={0x40, 0x13, 0x6, @broadcast}, &(0x7f0000000cc0)={0x40, 0x17, 0x6, @multicast}, &(0x7f0000000d00)={0x40, 0x19, 0x2, "1b30"}, &(0x7f0000000d40)={0x40, 0x1a, 0x2}, &(0x7f0000000d80)={0x40, 0x1c, 0x1, 0x40}, &(0x7f0000000dc0)={0x40, 0x1e, 0x1, 0x3}, &(0x7f0000000e00)={0x40, 0x21, 0x1, 0x4}}) 03:14:18 executing program 4: bpf$ITER_CREATE(0x21, &(0x7f0000000000), 0x8) r0 = socket$inet6(0xa, 0x3, 0x1) setsockopt$inet6_IPV6_XFRM_POLICY(r0, 0x29, 0x23, &(0x7f0000000100)={{{@in6=@dev, @in=@local, 0x4e23, 0x0, 0x0, 0xffff, 0x0, 0x0, 0x0, 0x0, 0x0, 0xee01}, {}, {0xfffffffffffffe01}, 0x0, 0x0, 0x1, 0x0, 0x0, 0x2}, {{@in6=@rand_addr=' \x01\x00', 0x0, 0x33}, 0x0, @in=@rand_addr=0x64010102}}, 0xe8) connect$inet6(r0, &(0x7f00000000c0)={0xa, 0x4e02, 0x0, @dev, 0x1}, 0x1c) 03:14:18 executing program 5: r0 = socket$inet6_tcp(0xa, 0x1, 0x0) setsockopt$IP6T_SO_SET_REPLACE(r0, 0x29, 0x40, &(0x7f0000000000)=@raw={'raw\x00', 0x3c1, 0x3, 0x360, 0x160, 0x4c, 0x0, 0x160, 0x73, 0x290, 0x258, 0x258, 0x290, 0x258, 0x3, 0x0, {[{{@ipv6={@rand_addr=' \x01\x00', @local, [], [], 'wg2\x00', 'macvlan1\x00', {}, {}, 0x73}, 0x0, 0x118, 0x160, 0x0, {}, [@common=@inet=@l2tp={{0x30}, {0x0, 0x0, 0x3, 0x0, 0x5}}, @common=@unspec=@connlimit={{0x40}}]}, @unspec=@CT0={0x48, 'CT\x00', 0x0, {0x0, 0x0, 0x0, 0x0, 'syz0\x00'}}}, {{@ipv6={@local, @initdev={0xfe, 0x88, '\x00', 0x0, 0x0}, [], [], 'vxcan1\x00', 'team0\x00'}, 0x0, 0xf8, 0x130, 0x0, {0x4000000}, [@inet=@rpfilter={{0x28}}, @common=@icmp6={{0x28}, {0x0, "e1f6"}}]}, @common=@inet=@SET3={0x38}}], {{'\x00', 0x0, 0xa8, 0xd0}, {0x28}}}}, 0x3c0) socket$inet(0x2, 0x0, 0x0) r1 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000200), 0x0, 0x0) r2 = ioctl$KVM_CREATE_VM(r1, 0xae01, 0x0) r3 = socket(0x10, 0x8000000000000003, 0x0) dup2(r3, r2) setsockopt$inet6_tcp_TCP_MD5SIG(r3, 0x6, 0xe, &(0x7f00000003c0)={@in={{0x2, 0x4e20, @remote}}, 0x0, 0x0, 0x1f, 0x0, "d70743d72bc1fab69df5f350f552deb38678550ee9db3c85e963c04991bfb379a2fc93afce3581f4bbe69f3772536a88cd6c6246dabc53c6cd00f35779f0f90c7a82383e767a126c27cacf6650389997"}, 0xd8) socket$inet6_tcp(0xa, 0x1, 0x0) (async) setsockopt$IP6T_SO_SET_REPLACE(r0, 0x29, 0x40, &(0x7f0000000000)=@raw={'raw\x00', 0x3c1, 0x3, 0x360, 0x160, 0x4c, 0x0, 0x160, 0x73, 0x290, 0x258, 0x258, 0x290, 0x258, 0x3, 0x0, {[{{@ipv6={@rand_addr=' \x01\x00', @local, [], [], 'wg2\x00', 'macvlan1\x00', {}, {}, 0x73}, 0x0, 0x118, 0x160, 0x0, {}, [@common=@inet=@l2tp={{0x30}, {0x0, 0x0, 0x3, 0x0, 0x5}}, @common=@unspec=@connlimit={{0x40}}]}, @unspec=@CT0={0x48, 'CT\x00', 0x0, {0x0, 0x0, 0x0, 0x0, 'syz0\x00'}}}, {{@ipv6={@local, @initdev={0xfe, 0x88, '\x00', 0x0, 0x0}, [], [], 'vxcan1\x00', 'team0\x00'}, 0x0, 0xf8, 0x130, 0x0, {0x4000000}, [@inet=@rpfilter={{0x28}}, @common=@icmp6={{0x28}, {0x0, "e1f6"}}]}, @common=@inet=@SET3={0x38}}], {{'\x00', 0x0, 0xa8, 0xd0}, {0x28}}}}, 0x3c0) (async) socket$inet(0x2, 0x0, 0x0) (async) openat$kvm(0xffffffffffffff9c, &(0x7f0000000200), 0x0, 0x0) (async) ioctl$KVM_CREATE_VM(r1, 0xae01, 0x0) (async) socket(0x10, 0x8000000000000003, 0x0) (async) dup2(r3, r2) (async) setsockopt$inet6_tcp_TCP_MD5SIG(r3, 0x6, 0xe, &(0x7f00000003c0)={@in={{0x2, 0x4e20, @remote}}, 0x0, 0x0, 0x1f, 0x0, "d70743d72bc1fab69df5f350f552deb38678550ee9db3c85e963c04991bfb379a2fc93afce3581f4bbe69f3772536a88cd6c6246dabc53c6cd00f35779f0f90c7a82383e767a126c27cacf6650389997"}, 0xd8) (async) 03:14:18 executing program 2: r0 = syz_io_uring_complete(0x0) bpf$LINK_DETACH(0x22, &(0x7f00000001c0)=r0, 0x4) (async) io_setup(0x7ff8, &(0x7f0000000040)=0x0) (async) r2 = open(&(0x7f0000000080)='./bus\x00', 0x1eb142, 0x0) mmap(&(0x7f0000001000/0xa000)=nil, 0xa000, 0x0, 0x11, r2, 0x0) (async) io_submit(r1, 0x1, &(0x7f0000000180)=[&(0x7f0000000140)={0x0, 0x0, 0x0, 0x6, 0x8, 0xffffffffffffffff, &(0x7f0000000080)="75e4c56358a391f045b9e73b5bbbf9c658e1c4ce6265534cf778a715972c7eddd8ac07ae65929ed216c38b6d49bdf30c7074d5d2b5946aeedd8617a15f921daf8e158760a7e26691ac5dcbe14932ef80590a90fd9ee312a7832460f6c5403bf127e5ec61aedd9f9c1dbfe371bb7f9d83470dd2199d923a47ac441cd8021952ff047196bf2c02c8e137727c48b51427a5805f779ba46b36a8020d0066", 0x9c, 0x9, 0x0, 0x1, r2}]) r3 = socket$inet6(0x10, 0x3, 0x0) socket$inet6(0xa, 0x4, 0x9) (async) bind$inet6(r3, &(0x7f0000000000)={0xa, 0x4e24, 0x8, @rand_addr=' \x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x02', 0x3}, 0x1c) 03:14:18 executing program 0: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f0000000040)='/proc/tty/drivers\x00', 0x0, 0x0) (async) r1 = openat$sysfs(0xffffff9c, &(0x7f0000000000)='/sys/power/resume', 0x82082, 0x0) dup2(r0, r0) (async) sendfile(r1, r0, 0x0, 0x5) 03:14:18 executing program 3: prctl$PR_SET_SYSCALL_USER_DISPATCH_OFF(0x3b, 0x0) prctl$PR_SET_SYSCALL_USER_DISPATCH_OFF(0x3b, 0x0) r0 = syz_usb_connect$cdc_ncm(0x0, 0x87, &(0x7f0000000000)={{0x12, 0x1, 0x200, 0x2, 0x0, 0x0, 0x40, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x75, 0x2, 0x1, 0x9, 0x0, 0x1f, {{0x9, 0x4, 0x0, 0x0, 0x1, 0x2, 0xd, 0x0, 0x0, {{0x5}, {0x5, 0x24, 0x0, 0x78f}, {0xd, 0x24, 0xf, 0x1, 0x40, 0x800, 0x5, 0x80}, {0x6, 0x24, 0x1a, 0xf0c9, 0x3d}, [@mbim={0xc, 0x24, 0x1b, 0x4, 0x7, 0x40, 0x7, 0x200}, @call_mgmt={0x5, 0x24, 0x1, 0x2, 0x3}, @mbim_extended={0x8, 0x24, 0x1c, 0x2, 0x4}]}, {{0x9, 0x5, 0x81, 0x3, 0x3ff, 0x13, 0x7f, 0x2}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x2, 0x2, 0xd, 0x0, 0x0, "", {{{0x9, 0x5, 0x82, 0x2, 0x40, 0x7, 0x80}}, {{0x9, 0x5, 0x3, 0x2, 0x8, 0x7f, 0xff, 0xfc}}}}}}}]}}, &(0x7f00000004c0)={0xa, &(0x7f00000000c0)={0xa, 0x6, 0x301, 0xff, 0x8, 0x5, 0x20, 0x2}, 0x133, &(0x7f0000000100)={0x5, 0xf, 0x133, 0x5, [@ss_container_id={0x14, 0x10, 0x4, 0x6, "dd61c1702faa3c3b5abe7b00e550260b"}, @ptm_cap={0x3}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x8, 0x6, 0x40}, @generic={0xf9, 0x10, 0xb, "0adb8220452a7f11f5f50a3aa071499a7e6b2845767bcc8a2d472f28769ce245f9004ddf4b9c4ed9697d0a360755af31ae65cfc7be64fb7c76b53a8611d821a3b9a6f9894ba737b1bdc2453ec6ca6a37e0aa47d00931fcf95b8ffbbc1ad585a5575c60094b4419018afb8fa87a897a6fc9224d76572b9b5689362597b9c3c5d3cee78a102de55b7e4c2368a815e71b953517cbc38176c2e867a556b69fec9e318943c374d209aa975e5e86f843bdc440bb40198d775a2627697dd110ad0ad1a10ec50452cc092aaac23e2f1b2f1fd8c17bfb9ca4ea5550606889ffb619ed9066806a36a0532c9854a9f30fdea0c4b27c9c4c1a02e35a"}, @ss_container_id={0x14, 0x10, 0x4, 0x7, "d44875207c9954f5b3038fddcd44a9eb"}]}, 0x4, [{0xb0, &(0x7f0000000240)=@string={0xb0, 0x3, "eef4087ce26d436d91f6cc56a6b585d8e3231eddda2d08092887b3b7b6816682892bcc2469490dda91b146ba19ce9f59369d9e8090dde385e86996e8e1c0d123d8a2a051cbf31e11ad820c2eaeb29e11a64c2758df8786ab645553b238785c8fd53ecd0a65b61ceca969e593f4b3cd3d4527e4ab6a57b24b915d7864c853e4b1404d58a91b2aa1148b764d871b8b8689d99a265d1d874be1bb25ea887581111105f6cdee9198f817e4081f153e9c"}}, {0x4, &(0x7f0000000340)=@lang_id={0x4, 0x3, 0x184b}}, {0x6c, &(0x7f0000000380)=@string={0x6c, 0x3, "353b96b5d32284a3b614a045cd297067b97ede15613cf05b5526dff8ba512971ae5b429c996319172950e9a0a0366625b4bc59de08d341f990e1e0de494ea2fdd03623d32d1716e430141e7ddc59db12592f349e41470a19cab7ae0614f60562f970da77a11a92b72a72"}}, {0xb7, &(0x7f0000000400)=@string={0xb7, 0x3, "feaaf20827a0c363e1174d57b49546d52ebe83f61d8b99d7f7148c72d655a00fb0fc48469a4db38558a82c2417378770ed2442589b94f8c37a8ba141a35b61a4ddc323b300320bc1dc2f5878fe156b616968dff6ae2d31625c90bad69482ed184465b640cb42e4d58b5c561ef858ea204072a15e78e9378c12d4519672d3e332989d805d974c0420ed16a564f2bd1d7a38d1fd6595eff4b8303f31daa25031b3ac5021dac73e16e5f976c0be38a32bb069ab77421f"}}]}) syz_usb_control_io$cdc_ncm(r0, &(0x7f0000000580)={0x14, &(0x7f0000000300)={0x60, 0x22, 0x2f, {0x2f, 0x7, "565dda0f707865fd97db58f6ea234ab386d3e27527464d6d531e02e0722eb1377572c7d424b03d606011ddf193"}}, &(0x7f0000000540)={0x0, 0x3, 0x1a, {0x1a}}}, &(0x7f0000000880)={0x44, &(0x7f00000005c0)=ANY=[@ANYBLOB="000ddb000004cf8aa8e3a59db9f782c62e89abf64069e3d89dff97ac10b8f6dabc437b0f1e7efc7ce3559b6e777381c201614181a6e2b78c4e1e1ce4389dc9d5f276ed2823efca2a661e1e587a828e761a734b8dfec79a6b36a57c9e93d7be8776816c68b4a988fa366f17426a8b1f23e9154932629563a76b23b17d38c01028ea27624756607a36dfe06a2804a4a9043da9d6eb36c03e1cb662e5de1f73a64ec9df279754c6a8ca1cb3607c358852ca1130b56e6ba0126f463b4c1c9f213831d14a4313ed3c038cc95aa7738b3d0544d40786d1baa4461eec7199f037cc5106e2"], &(0x7f00000006c0)={0x0, 0xa, 0x1, 0x20}, &(0x7f0000000700)={0x0, 0x8, 0x1, 0x1}, &(0x7f0000000740)={0x20, 0x80, 0x1c, {0x101, 0x2ba, 0x10000, 0x2, 0x2, 0x4, 0xf780, 0x1cb8, 0xfff, 0x9, 0x1000, 0x6}}, &(0x7f0000000780)={0x20, 0x85, 0x4, 0x4}, &(0x7f00000007c0)={0x20, 0x83, 0x2}, &(0x7f0000000800)={0x20, 0x87, 0x2, 0x577}, &(0x7f0000000840)={0x20, 0x89, 0x2, 0x1}}) prctl$PR_SET_SYSCALL_USER_DISPATCH_OFF(0x3b, 0x0) (async) prctl$PR_SET_SYSCALL_USER_DISPATCH_OFF(0x3b, 0x0) (async) syz_usb_connect$cdc_ncm(0x0, 0x87, &(0x7f0000000000)={{0x12, 0x1, 0x200, 0x2, 0x0, 0x0, 0x40, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x75, 0x2, 0x1, 0x9, 0x0, 0x1f, {{0x9, 0x4, 0x0, 0x0, 0x1, 0x2, 0xd, 0x0, 0x0, {{0x5}, {0x5, 0x24, 0x0, 0x78f}, {0xd, 0x24, 0xf, 0x1, 0x40, 0x800, 0x5, 0x80}, {0x6, 0x24, 0x1a, 0xf0c9, 0x3d}, [@mbim={0xc, 0x24, 0x1b, 0x4, 0x7, 0x40, 0x7, 0x200}, @call_mgmt={0x5, 0x24, 0x1, 0x2, 0x3}, @mbim_extended={0x8, 0x24, 0x1c, 0x2, 0x4}]}, {{0x9, 0x5, 0x81, 0x3, 0x3ff, 0x13, 0x7f, 0x2}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x2, 0x2, 0xd, 0x0, 0x0, "", {{{0x9, 0x5, 0x82, 0x2, 0x40, 0x7, 0x80}}, {{0x9, 0x5, 0x3, 0x2, 0x8, 0x7f, 0xff, 0xfc}}}}}}}]}}, &(0x7f00000004c0)={0xa, &(0x7f00000000c0)={0xa, 0x6, 0x301, 0xff, 0x8, 0x5, 0x20, 0x2}, 0x133, &(0x7f0000000100)={0x5, 0xf, 0x133, 0x5, [@ss_container_id={0x14, 0x10, 0x4, 0x6, "dd61c1702faa3c3b5abe7b00e550260b"}, @ptm_cap={0x3}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x8, 0x6, 0x40}, @generic={0xf9, 0x10, 0xb, "0adb8220452a7f11f5f50a3aa071499a7e6b2845767bcc8a2d472f28769ce245f9004ddf4b9c4ed9697d0a360755af31ae65cfc7be64fb7c76b53a8611d821a3b9a6f9894ba737b1bdc2453ec6ca6a37e0aa47d00931fcf95b8ffbbc1ad585a5575c60094b4419018afb8fa87a897a6fc9224d76572b9b5689362597b9c3c5d3cee78a102de55b7e4c2368a815e71b953517cbc38176c2e867a556b69fec9e318943c374d209aa975e5e86f843bdc440bb40198d775a2627697dd110ad0ad1a10ec50452cc092aaac23e2f1b2f1fd8c17bfb9ca4ea5550606889ffb619ed9066806a36a0532c9854a9f30fdea0c4b27c9c4c1a02e35a"}, @ss_container_id={0x14, 0x10, 0x4, 0x7, "d44875207c9954f5b3038fddcd44a9eb"}]}, 0x4, [{0xb0, &(0x7f0000000240)=@string={0xb0, 0x3, "eef4087ce26d436d91f6cc56a6b585d8e3231eddda2d08092887b3b7b6816682892bcc2469490dda91b146ba19ce9f59369d9e8090dde385e86996e8e1c0d123d8a2a051cbf31e11ad820c2eaeb29e11a64c2758df8786ab645553b238785c8fd53ecd0a65b61ceca969e593f4b3cd3d4527e4ab6a57b24b915d7864c853e4b1404d58a91b2aa1148b764d871b8b8689d99a265d1d874be1bb25ea887581111105f6cdee9198f817e4081f153e9c"}}, {0x4, &(0x7f0000000340)=@lang_id={0x4, 0x3, 0x184b}}, {0x6c, &(0x7f0000000380)=@string={0x6c, 0x3, "353b96b5d32284a3b614a045cd297067b97ede15613cf05b5526dff8ba512971ae5b429c996319172950e9a0a0366625b4bc59de08d341f990e1e0de494ea2fdd03623d32d1716e430141e7ddc59db12592f349e41470a19cab7ae0614f60562f970da77a11a92b72a72"}}, {0xb7, &(0x7f0000000400)=@string={0xb7, 0x3, "feaaf20827a0c363e1174d57b49546d52ebe83f61d8b99d7f7148c72d655a00fb0fc48469a4db38558a82c2417378770ed2442589b94f8c37a8ba141a35b61a4ddc323b300320bc1dc2f5878fe156b616968dff6ae2d31625c90bad69482ed184465b640cb42e4d58b5c561ef858ea204072a15e78e9378c12d4519672d3e332989d805d974c0420ed16a564f2bd1d7a38d1fd6595eff4b8303f31daa25031b3ac5021dac73e16e5f976c0be38a32bb069ab77421f"}}]}) (async) syz_usb_control_io$cdc_ncm(r0, &(0x7f0000000580)={0x14, &(0x7f0000000300)={0x60, 0x22, 0x2f, {0x2f, 0x7, "565dda0f707865fd97db58f6ea234ab386d3e27527464d6d531e02e0722eb1377572c7d424b03d606011ddf193"}}, &(0x7f0000000540)={0x0, 0x3, 0x1a, {0x1a}}}, &(0x7f0000000880)={0x44, &(0x7f00000005c0)=ANY=[@ANYBLOB="000ddb000004cf8aa8e3a59db9f782c62e89abf64069e3d89dff97ac10b8f6dabc437b0f1e7efc7ce3559b6e777381c201614181a6e2b78c4e1e1ce4389dc9d5f276ed2823efca2a661e1e587a828e761a734b8dfec79a6b36a57c9e93d7be8776816c68b4a988fa366f17426a8b1f23e9154932629563a76b23b17d38c01028ea27624756607a36dfe06a2804a4a9043da9d6eb36c03e1cb662e5de1f73a64ec9df279754c6a8ca1cb3607c358852ca1130b56e6ba0126f463b4c1c9f213831d14a4313ed3c038cc95aa7738b3d0544d40786d1baa4461eec7199f037cc5106e2"], &(0x7f00000006c0)={0x0, 0xa, 0x1, 0x20}, &(0x7f0000000700)={0x0, 0x8, 0x1, 0x1}, &(0x7f0000000740)={0x20, 0x80, 0x1c, {0x101, 0x2ba, 0x10000, 0x2, 0x2, 0x4, 0xf780, 0x1cb8, 0xfff, 0x9, 0x1000, 0x6}}, &(0x7f0000000780)={0x20, 0x85, 0x4, 0x4}, &(0x7f00000007c0)={0x20, 0x83, 0x2}, &(0x7f0000000800)={0x20, 0x87, 0x2, 0x577}, &(0x7f0000000840)={0x20, 0x89, 0x2, 0x1}}) (async) [ 389.456345][ T3545] usb 2-1: USB disconnect, device number 40 03:14:18 executing program 0: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f0000000040)='/proc/tty/drivers\x00', 0x0, 0x0) r1 = openat$sysfs(0xffffff9c, &(0x7f0000000000)='/sys/power/resume', 0x82082, 0x0) dup2(r0, r0) sendfile(r1, r0, 0x0, 0x5) openat$procfs(0xffffffffffffff9c, &(0x7f0000000040)='/proc/tty/drivers\x00', 0x0, 0x0) (async) openat$sysfs(0xffffff9c, &(0x7f0000000000)='/sys/power/resume', 0x82082, 0x0) (async) dup2(r0, r0) (async) sendfile(r1, r0, 0x0, 0x5) (async) 03:14:18 executing program 4: bpf$ITER_CREATE(0x21, &(0x7f0000000000), 0x8) r0 = socket$inet6(0xa, 0x3, 0x1) setsockopt$inet6_IPV6_XFRM_POLICY(r0, 0x29, 0x23, &(0x7f0000000100)={{{@in6=@dev, @in=@local, 0x4e23, 0x0, 0x0, 0xffff, 0x0, 0x0, 0x0, 0x0, 0x0, 0xee01}, {}, {0xfffffffffffffe01}, 0x0, 0x0, 0x1, 0x0, 0x0, 0x2}, {{@in6=@rand_addr=' \x01\x00', 0x0, 0x33}, 0x0, @in=@rand_addr=0x64010102}}, 0xe8) connect$inet6(r0, &(0x7f00000000c0)={0xa, 0x4e02, 0x0, @dev, 0x1}, 0x1c) bpf$ITER_CREATE(0x21, &(0x7f0000000000), 0x8) (async) socket$inet6(0xa, 0x3, 0x1) (async) setsockopt$inet6_IPV6_XFRM_POLICY(r0, 0x29, 0x23, &(0x7f0000000100)={{{@in6=@dev, @in=@local, 0x4e23, 0x0, 0x0, 0xffff, 0x0, 0x0, 0x0, 0x0, 0x0, 0xee01}, {}, {0xfffffffffffffe01}, 0x0, 0x0, 0x1, 0x0, 0x0, 0x2}, {{@in6=@rand_addr=' \x01\x00', 0x0, 0x33}, 0x0, @in=@rand_addr=0x64010102}}, 0xe8) (async) connect$inet6(r0, &(0x7f00000000c0)={0xa, 0x4e02, 0x0, @dev, 0x1}, 0x1c) (async) [ 389.781914][ T3559] usb 4-1: new high-speed USB device number 44 using dummy_hcd [ 389.863208][ T7972] xt_CT: No such helper "syz0" [ 389.965569][ T7989] xt_CT: No such helper "syz0" [ 390.052035][ T3545] usb 2-1: new high-speed USB device number 41 using dummy_hcd [ 390.146993][ T3559] usb 4-1: config 1 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 19, changing to 8 [ 390.158407][ T3559] usb 4-1: config 1 interface 1 altsetting 1 bulk endpoint 0x82 has invalid maxpacket 64 [ 390.168602][ T3559] usb 4-1: config 1 interface 1 altsetting 1 bulk endpoint 0x3 has invalid maxpacket 8 03:14:19 executing program 4: bpf$ITER_CREATE(0x21, &(0x7f0000000000), 0x8) (async) r0 = socket$inet6(0xa, 0x3, 0x1) setsockopt$inet6_IPV6_XFRM_POLICY(r0, 0x29, 0x23, &(0x7f0000000100)={{{@in6=@dev, @in=@local, 0x4e23, 0x0, 0x0, 0xffff, 0x0, 0x0, 0x0, 0x0, 0x0, 0xee01}, {}, {0xfffffffffffffe01}, 0x0, 0x0, 0x1, 0x0, 0x0, 0x2}, {{@in6=@rand_addr=' \x01\x00', 0x0, 0x33}, 0x0, @in=@rand_addr=0x64010102}}, 0xe8) (async) connect$inet6(r0, &(0x7f00000000c0)={0xa, 0x4e02, 0x0, @dev, 0x1}, 0x1c) 03:14:19 executing program 0: r0 = socket$inet6(0xa, 0x1, 0x0) setsockopt$IP6T_SO_SET_REPLACE(r0, 0x29, 0x40, &(0x7f0000000500)=@raw={'raw\x00', 0x3c1, 0x3, 0x2f0, 0x0, 0xc8, 0x0, 0x0, 0x5803, 0x220, 0x2e8, 0x2e8, 0x220, 0x2e8, 0x3, 0x0, {[{{@ipv6={@private2, @rand_addr=' \x01\x00', [], [], 'bridge0\x00', 'geneve1\x00'}, 0x0, 0x108, 0x148, 0x0, {0x0, 0x2000000000000}, [@common=@unspec=@devgroup={{0x38}, {0x4}}, @common=@inet=@socket1={{0x28}}]}, @common=@unspec=@RATEEST={0x40, 'RATEEST\x00', 0x0, {'syz0\x00'}}}, {{@ipv6={@ipv4={'\x00', '\xff\xff', @remote}, @loopback, [], [], 'veth0_to_team\x00', 'netdevsim0\x00'}, 0x0, 0xa8, 0xd8}, @common=@inet=@SET2={0x30}}], {{'\x00', 0x0, 0xa8, 0xd0}, {0x28}}}}, 0x350) sendto$inet6(r0, &(0x7f0000000000)="1a2b56a9f5a9693feaa12df49672d8b18e64c9c61cc0928f197e4cfb7d8fd046289d69765fec7db77f9451af97c023e4182ce7f12da5043b5e480a054efca46304273102a7701a2c5a3884f803924aef4c0f6e7172599d7ed1d36a31ea622383010cdba64200b2f6c154ff765a9e89bdfefeca", 0x73, 0xc5, &(0x7f0000000080)={0xa, 0x4e22, 0x6, @empty, 0xfff}, 0x1c) ioctl$AUTOFS_DEV_IOCTL_EXPIRE(0xffffffffffffffff, 0xc018937c, &(0x7f00000000c0)={{0x1, 0x1, 0x18, r0, {0x7}}, './file0\x00'}) sendto$inet6(r1, &(0x7f0000000100)="674136fecd53706c46d74a300bccb62ca4c59dfd6dc81dc46e91de9d24731e8d2980419489b4f36f0589d64341d4950397d49d414e2b38845edf77065501", 0x3e, 0x44014, &(0x7f0000000140)={0xa, 0x4e21, 0x81, @private1, 0xfffffffa}, 0x1c) 03:14:19 executing program 2: r0 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$ifreq_SIOCGIFINDEX_batadv_mesh(r0, 0x8933, &(0x7f0000000000)={'batadv0\x00', 0x0}) syz_genetlink_get_family_id$batadv(&(0x7f0000000040), r0) r2 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route(r2, &(0x7f0000000240)={0xffffffffffffffff, 0x0, &(0x7f0000000200)={&(0x7f0000000500)=@ipv6_newnexthop={0x4c, 0x68, 0x1, 0x0, 0x0, {}, [@NHA_OIF={0x8, 0x5, r1}, @NHA_ENCAP={0x10, 0x8, 0x0, 0x1, @LWTUNNEL_IP_ID={0xc}}, @NHA_ENCAP_TYPE={0x6}, @NHA_GATEWAY={0x14, 0x6, @ip4=@initdev={0xac, 0x1e, 0x0, 0x0}}]}, 0x4c}, 0x1, 0xfe07}, 0x0) [ 390.409815][ T3559] usb 4-1: New USB device found, idVendor=0525, idProduct=a4a1, bcdDevice= 0.40 [ 390.419664][ T3559] usb 4-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 390.428073][ T3559] usb 4-1: Product: 㬵떖⋓ꎄᒶ䖠⧍杰纹ᗞ㱡寰♕冺焩宮鱂掙᜙倩ꃩ㚠╦벴팈論义ﶢ㛐팣ᜭᐰ紞姜ዛ⽙鸴䝁ᤊ럊ڮ戅烹矚᪡랒爪 [ 390.445535][ T3559] usb 4-1: Manufacturer: ᡋ [ 390.450262][ T3559] usb 4-1: SerialNumber: ꫾ࣲꀧ揃១坍閴핆븮謝힙ᓷ犌嗖ྠﲰ䙈䶚薳ꡘ␬㜗炇⓭塂钛쏸譺䆡宣ꑡ쏝댣㈀섋⿜硘ᗾ慫桩ⶮ戱遜횺芔ᣭ敄䂶䋋헤岋Ṗ壸⃪牀庡谷퐒陑퍲㋣鶘嶀䲗 ᛭撥뷲稝털旽룴㼰傢댱催㻇盹뻀ꌸ뀫ꭩ䉷 [ 390.480169][ T3545] usb 2-1: config 0 has an invalid interface number: 190 but max is 1 [ 390.488719][ T3545] usb 2-1: config 0 has no interface number 1 [ 390.495049][ T3545] usb 2-1: config 0 interface 190 altsetting 121 endpoint 0x84 has an invalid bInterval 27, changing to 8 [ 390.507433][ T3545] usb 2-1: config 0 interface 190 altsetting 121 endpoint 0x84 has invalid maxpacket 58931, setting to 1024 [ 390.519409][ T3545] usb 2-1: config 0 interface 190 altsetting 121 has an invalid endpoint with address 0xA5, skipping [ 390.530503][ T3545] usb 2-1: config 0 interface 190 altsetting 121 has 2 endpoint descriptors, different from the interface descriptor's value: 21 [ 390.544114][ T3545] usb 2-1: config 0 interface 190 has no altsetting 0 [ 390.551012][ T3545] usb 2-1: config 0 interface 0 has no altsetting 0 03:14:19 executing program 4: r0 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route_sched(r0, &(0x7f0000000300)={0x0, 0x0, &(0x7f0000000040)={&(0x7f00000000c0)=ANY=[@ANYBLOB="30020000110001002abd7000dbdbd7250000000024"], 0x230}}, 0x0) [ 390.705600][ T8017] netlink: 528 bytes leftover after parsing attributes in process `syz-executor.4'. [ 390.892680][ T3545] usb 2-1: New USB device found, idVendor=06e1, idProduct=f332, bcdDevice=80.f6 [ 390.902178][ T3545] usb 2-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 390.910349][ T3545] usb 2-1: Product: syz [ 390.916187][ T3545] usb 2-1: Manufacturer: syz [ 390.920915][ T3545] usb 2-1: SerialNumber: syz [ 390.974452][ T3545] usb 2-1: config 0 descriptor?? [ 390.992723][ T7983] raw-gadget gadget: fail, usb_ep_enable returned -22 [ 391.041446][ T8017] team0: Port device team_slave_1 removed [ 391.226125][ T8020] raw-gadget gadget: fail, usb_ep_enable returned -22 [ 391.234370][ T8020] raw-gadget gadget: fail, usb_ep_enable returned -22 [ 391.235066][ T8019] UDC core: couldn't find an available UDC or it's busy: -16 [ 391.249497][ T8019] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 391.262732][ T3545] tm6000: alt 0, interface 190, class 248 [ 391.268571][ T3545] tm6000: New video device @ 480 Mbps (06e1:f332, ifnum 190) [ 391.276198][ T3545] tm6000: probing error: no IN ISOC endpoint! [ 391.282570][ T3545] tm6000: Error -19 while registering [ 391.472675][ T3545] tm6000: New video device @ 480 Mbps (06e1:f332, ifnum 0) [ 391.480023][ T3545] tm6000: probing error: no IN ISOC endpoint! [ 391.486556][ T3545] tm6000: Error -19 while registering [ 391.512652][ T3559] cdc_ncm 4-1:1.0: bind() failure [ 391.542848][ T3559] cdc_ncm: probe of 4-1:1.1 failed with error -71 [ 391.562295][ T3559] cdc_mbim: probe of 4-1:1.1 failed with error -71 [ 391.582055][ T3559] usbtest: probe of 4-1:1.1 failed with error -71 [ 391.594727][ T3559] usb 4-1: USB disconnect, device number 44 [ 392.086557][ T1196] ieee802154 phy0 wpan0: encryption failed: -22 [ 392.093586][ T1196] ieee802154 phy1 wpan1: encryption failed: -22 03:14:21 executing program 1: r0 = syz_usb_connect(0x0, 0x3f, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000a9358a40e10632f3f6800102030109022d0002000000000904be7915f8c60a00090584cb33e61b00000905a50000bc00000009040001"], 0x0) syz_usb_control_io(r0, 0x0, 0x0) (async) syz_usb_control_io(r0, 0x0, 0x0) (async, rerun: 64) syz_usb_control_io(r0, &(0x7f0000000240)={0x2c, &(0x7f0000000040)={0x0, 0xc, 0xf1, {0xf1, 0xc, "4fbb834815f560a956d2216689b4b0352e987197a82c03ab9cca2f5fd73b633ae62e47d74b50e141e7f90c746ba0bc1ea98c2bee8de49da3d00c2ddc44d6551101926a7c8b6943c33ae6c7f6df8161140a84f99d9535ea5ec9f31bce043f12bdca2680680a3a84cbba6670f6651083d1b0cf06dd95d468d70a75549c34005bbd95a3a75d196597c73b0dd24f50600b0493cbefb08ad6b94bc0794e6ed361bbbd3b1953b28050a3f8774e3dfc0ffc570e840d16789b5ae3c833d3341c78d576a5702353492c22cc31b6cd52210036814781af4e5947365abaf0cd0bc9e28489937c7a8c995bded9df6e4e4434765ca1"}}, &(0x7f0000000140)={0x0, 0x3, 0x1a, @string={0x1a, 0x3, "29b1975c0188eb15fa0f6387cfc2e50baa12bc0b589d2d1b"}}, &(0x7f0000000180)={0x0, 0xf, 0x5, {0x5, 0xf, 0x5}}, &(0x7f00000001c0)={0x20, 0x29, 0xf, {0xf, 0x29, 0x88, 0x60, 0x3, 0x1, "13616ca9", "fb64bc3c"}}, &(0x7f0000000200)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x0, 0x1291f61df74e3159, 0x1, 0x8, 0xb3, 0xfff, 0xff}}}, &(0x7f0000000740)={0x84, &(0x7f0000000280)={0x20, 0x8, 0xf4, "5c254ff23b23c01627888c8d9358a597dced827fae2986afbbd9d28c69e91ce8538b4a122062a49a056a714468b788268c420e49cfea80c4f68620b9e132f6eb9218a3c08637258f429a55228e67e58e9e2d8c07e0eed4224424ed3d5fed46dbe90a3022c170d66cb6be54169e47bdf27cd3869f6f16661c3de947f9edd4267e302054f14700e24a9f27801ae3abb070ea62a9827d4de9bc6aeec465fcadc414d377251ef09a2163433ab2c5187851ba0094f1213a408939f0f346a8c90f41d4c4e234812e7e47a9ecd115ff237e7fa4c00e84774842f95c6963bce6a6c6097dff355ee6c95504fbc74554597aeb758bc6bbd19a"}, &(0x7f0000000380)={0x0, 0xa, 0x1, 0xf4}, &(0x7f00000003c0)={0x0, 0x8, 0x1, 0x29}, &(0x7f0000000400)={0x20, 0x0, 0x4, {0x3, 0x2}}, &(0x7f0000000440)={0x20, 0x0, 0x4, {0x140}}, &(0x7f0000000480)={0x40, 0x7, 0x2, 0x4}, &(0x7f00000004c0)={0x40, 0x9, 0x1, 0x80}, &(0x7f0000000500)={0x40, 0xb, 0x2, "4d8e"}, &(0x7f0000000540)={0x40, 0xf, 0x2, 0x2}, &(0x7f0000000580)={0x40, 0x13, 0x6, @local}, &(0x7f00000005c0)={0x40, 0x17, 0x6, @local}, &(0x7f0000000600)={0x40, 0x19, 0x2, "10d3"}, &(0x7f0000000640)={0x40, 0x1a, 0x2, 0x8}, &(0x7f0000000680)={0x40, 0x1c, 0x1, 0x8}, &(0x7f00000006c0)={0x40, 0x1e, 0x1, 0x5}, &(0x7f0000000700)={0x40, 0x21, 0x1, 0xf8}}) (async, rerun: 64) syz_usb_control_io(r0, &(0x7f00000009c0)={0x2c, &(0x7f0000000800)={0x40, 0x0, 0x94, {0x94, 0x0, "087930b872883cd81fc403236bb34c7bf59c26b879eb1b7481bada8d6ed109e6246d557fbb10286b899ba5042db6bd53f10ddb5bf02ac8f04bbe902d0c198e3b1f4c5373ce569f939ff80b3d1bed5e57b6913d90dfbf5ddf45eb91b328e6f642cbddef10c154b8e149ae5b9771ce8da68d7c7ea545d5df67f24ce7e13e9332eeee57a6329b8af377f765b8ba52f165b50a81"}}, &(0x7f00000008c0)={0x0, 0x3, 0x4, @lang_id={0x4, 0x3, 0x82b}}, &(0x7f0000000900)={0x0, 0xf, 0x5, {0x5, 0xf, 0x5}}, &(0x7f0000000940)={0x20, 0x29, 0xf, {0xf, 0x29, 0x5, 0x2, 0x1, 0x4, "9215b68c", "291a43ed"}}, &(0x7f0000000980)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x1, 0x18, 0x81, 0x8, 0x3, 0x4c1c, 0x8}}}, &(0x7f0000000e40)={0x84, &(0x7f0000000a00)={0x40, 0x8, 0x55, "65eb957a2f27685c5a77a65ddf0809e86f1abf8c482c84f36d322fd27b7d456198bccb0e9516a3bead6231af72933b4f17e14ba6b64a09ba5ca3e5be919279bd902314d4d1af6f287b18798acd26d4b90e4bc5a2ea"}, &(0x7f0000000a80)={0x0, 0xa, 0x1, 0x81}, &(0x7f0000000ac0)={0x0, 0x8, 0x1, 0x8}, &(0x7f0000000b00)={0x20, 0x0, 0x4, {0x3, 0x1}}, &(0x7f0000000b40)={0x20, 0x0, 0x4, {0x3ca4, 0x29}}, &(0x7f0000000b80)={0x40, 0x7, 0x2, 0x7}, &(0x7f0000000bc0)={0x40, 0x9, 0x1, 0x3f}, &(0x7f0000000c00)={0x40, 0xb, 0x2, "a1ef"}, &(0x7f0000000c40)={0x40, 0xf, 0x2, 0x6}, &(0x7f0000000c80)={0x40, 0x13, 0x6, @broadcast}, &(0x7f0000000cc0)={0x40, 0x17, 0x6, @multicast}, &(0x7f0000000d00)={0x40, 0x19, 0x2, "1b30"}, &(0x7f0000000d40)={0x40, 0x1a, 0x2}, &(0x7f0000000d80)={0x40, 0x1c, 0x1, 0x40}, &(0x7f0000000dc0)={0x40, 0x1e, 0x1, 0x3}, &(0x7f0000000e00)={0x40, 0x21, 0x1, 0x4}}) 03:14:21 executing program 0: r0 = socket$inet6(0xa, 0x1, 0x0) setsockopt$IP6T_SO_SET_REPLACE(r0, 0x29, 0x40, &(0x7f0000000500)=@raw={'raw\x00', 0x3c1, 0x3, 0x2f0, 0x0, 0xc8, 0x0, 0x0, 0x5803, 0x220, 0x2e8, 0x2e8, 0x220, 0x2e8, 0x3, 0x0, {[{{@ipv6={@private2, @rand_addr=' \x01\x00', [], [], 'bridge0\x00', 'geneve1\x00'}, 0x0, 0x108, 0x148, 0x0, {0x0, 0x2000000000000}, [@common=@unspec=@devgroup={{0x38}, {0x4}}, @common=@inet=@socket1={{0x28}}]}, @common=@unspec=@RATEEST={0x40, 'RATEEST\x00', 0x0, {'syz0\x00'}}}, {{@ipv6={@ipv4={'\x00', '\xff\xff', @remote}, @loopback, [], [], 'veth0_to_team\x00', 'netdevsim0\x00'}, 0x0, 0xa8, 0xd8}, @common=@inet=@SET2={0x30}}], {{'\x00', 0x0, 0xa8, 0xd0}, {0x28}}}}, 0x350) sendto$inet6(r0, &(0x7f0000000000)="1a2b56a9f5a9693feaa12df49672d8b18e64c9c61cc0928f197e4cfb7d8fd046289d69765fec7db77f9451af97c023e4182ce7f12da5043b5e480a054efca46304273102a7701a2c5a3884f803924aef4c0f6e7172599d7ed1d36a31ea622383010cdba64200b2f6c154ff765a9e89bdfefeca", 0x73, 0xc5, &(0x7f0000000080)={0xa, 0x4e22, 0x6, @empty, 0xfff}, 0x1c) ioctl$AUTOFS_DEV_IOCTL_EXPIRE(0xffffffffffffffff, 0xc018937c, &(0x7f00000000c0)={{0x1, 0x1, 0x18, r0, {0x7}}, './file0\x00'}) sendto$inet6(r1, &(0x7f0000000100)="674136fecd53706c46d74a300bccb62ca4c59dfd6dc81dc46e91de9d24731e8d2980419489b4f36f0589d64341d4950397d49d414e2b38845edf77065501", 0x3e, 0x44014, &(0x7f0000000140)={0xa, 0x4e21, 0x81, @private1, 0xfffffffa}, 0x1c) socket$inet6(0xa, 0x1, 0x0) (async) setsockopt$IP6T_SO_SET_REPLACE(r0, 0x29, 0x40, &(0x7f0000000500)=@raw={'raw\x00', 0x3c1, 0x3, 0x2f0, 0x0, 0xc8, 0x0, 0x0, 0x5803, 0x220, 0x2e8, 0x2e8, 0x220, 0x2e8, 0x3, 0x0, {[{{@ipv6={@private2, @rand_addr=' \x01\x00', [], [], 'bridge0\x00', 'geneve1\x00'}, 0x0, 0x108, 0x148, 0x0, {0x0, 0x2000000000000}, [@common=@unspec=@devgroup={{0x38}, {0x4}}, @common=@inet=@socket1={{0x28}}]}, @common=@unspec=@RATEEST={0x40, 'RATEEST\x00', 0x0, {'syz0\x00'}}}, {{@ipv6={@ipv4={'\x00', '\xff\xff', @remote}, @loopback, [], [], 'veth0_to_team\x00', 'netdevsim0\x00'}, 0x0, 0xa8, 0xd8}, @common=@inet=@SET2={0x30}}], {{'\x00', 0x0, 0xa8, 0xd0}, {0x28}}}}, 0x350) (async) sendto$inet6(r0, &(0x7f0000000000)="1a2b56a9f5a9693feaa12df49672d8b18e64c9c61cc0928f197e4cfb7d8fd046289d69765fec7db77f9451af97c023e4182ce7f12da5043b5e480a054efca46304273102a7701a2c5a3884f803924aef4c0f6e7172599d7ed1d36a31ea622383010cdba64200b2f6c154ff765a9e89bdfefeca", 0x73, 0xc5, &(0x7f0000000080)={0xa, 0x4e22, 0x6, @empty, 0xfff}, 0x1c) (async) ioctl$AUTOFS_DEV_IOCTL_EXPIRE(0xffffffffffffffff, 0xc018937c, &(0x7f00000000c0)={{0x1, 0x1, 0x18, r0, {0x7}}, './file0\x00'}) (async) sendto$inet6(r1, &(0x7f0000000100)="674136fecd53706c46d74a300bccb62ca4c59dfd6dc81dc46e91de9d24731e8d2980419489b4f36f0589d64341d4950397d49d414e2b38845edf77065501", 0x3e, 0x44014, &(0x7f0000000140)={0xa, 0x4e21, 0x81, @private1, 0xfffffffa}, 0x1c) (async) 03:14:21 executing program 2: r0 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$ifreq_SIOCGIFINDEX_batadv_mesh(r0, 0x8933, &(0x7f0000000000)={'batadv0\x00', 0x0}) syz_genetlink_get_family_id$batadv(&(0x7f0000000040), r0) r2 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route(r2, &(0x7f0000000240)={0xffffffffffffffff, 0x0, &(0x7f0000000200)={&(0x7f0000000500)=@ipv6_newnexthop={0x4c, 0x68, 0x1, 0x0, 0x0, {}, [@NHA_OIF={0x8, 0x5, r1}, @NHA_ENCAP={0x10, 0x8, 0x0, 0x1, @LWTUNNEL_IP_ID={0xc}}, @NHA_ENCAP_TYPE={0x6}, @NHA_GATEWAY={0x14, 0x6, @ip4=@initdev={0xac, 0x1e, 0x0, 0x0}}]}, 0x4c}, 0x1, 0xfe07}, 0x0) socket$nl_generic(0x10, 0x3, 0x10) (async) ioctl$ifreq_SIOCGIFINDEX_batadv_mesh(r0, 0x8933, &(0x7f0000000000)) (async) syz_genetlink_get_family_id$batadv(&(0x7f0000000040), r0) (async) socket$nl_route(0x10, 0x3, 0x0) (async) sendmsg$nl_route(r2, &(0x7f0000000240)={0xffffffffffffffff, 0x0, &(0x7f0000000200)={&(0x7f0000000500)=@ipv6_newnexthop={0x4c, 0x68, 0x1, 0x0, 0x0, {}, [@NHA_OIF={0x8, 0x5, r1}, @NHA_ENCAP={0x10, 0x8, 0x0, 0x1, @LWTUNNEL_IP_ID={0xc}}, @NHA_ENCAP_TYPE={0x6}, @NHA_GATEWAY={0x14, 0x6, @ip4=@initdev={0xac, 0x1e, 0x0, 0x0}}]}, 0x4c}, 0x1, 0xfe07}, 0x0) (async) 03:14:21 executing program 4: r0 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route_sched(r0, &(0x7f0000000300)={0x0, 0x0, &(0x7f0000000040)={&(0x7f00000000c0)=ANY=[@ANYBLOB="30020000110001002abd7000dbdbd7250000000024"], 0x230}}, 0x0) socket$nl_route(0x10, 0x3, 0x0) (async) sendmsg$nl_route_sched(r0, &(0x7f0000000300)={0x0, 0x0, &(0x7f0000000040)={&(0x7f00000000c0)=ANY=[@ANYBLOB="30020000110001002abd7000dbdbd7250000000024"], 0x230}}, 0x0) (async) 03:14:21 executing program 5: socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000180)={0xffffffffffffffff, 0xffffffffffffffff}) epoll_ctl$EPOLL_CTL_MOD(0xffffffffffffffff, 0x3, 0xffffffffffffffff, &(0x7f0000000040)) r2 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) r3 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r3, 0x1, r2, &(0x7f0000002280)) epoll_ctl$EPOLL_CTL_MOD(r3, 0x3, r2, &(0x7f0000000040)) r4 = openat$cgroup_ro(0xffffffffffffffff, &(0x7f0000004480)='pids.current\x00', 0x0, 0x0) ioctl$AUTOFS_DEV_IOCTL_SETPIPEFD(0xffffffffffffffff, 0xc0189378, &(0x7f0000000600)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32=r1, @ANYRES32=r1, @ANYBLOB="000000d7dc8776ea38002e2f66696c65300000000000"]) r6 = socket$nl_route(0x10, 0x3, 0x0) r7 = openat$dir(0xffffffffffffff9c, &(0x7f0000004500)='./file0\x00', 0x280900, 0xe2) r8 = syz_open_dev$audion(&(0x7f0000004540), 0xffffffff, 0x100) r9 = getpgid(0xffffffffffffffff) r10 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) r11 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r11, 0x1, r10, &(0x7f0000002280)) epoll_ctl$EPOLL_CTL_MOD(r11, 0x3, r10, &(0x7f0000000040)) r12 = openat$udambuf(0xffffffffffffff9c, &(0x7f0000004580), 0x2) sendmmsg$unix(r0, &(0x7f0000004700)=[{{&(0x7f0000000040)=@abs={0x0, 0x0, 0x4e22}, 0x6e, &(0x7f0000000580)=[{&(0x7f00000001c0)="2c0006c7ef138e23471caebe726ac38ff2d7ccfb6b57733cd27d40610414c768094959bf5644204bb7ac8d89066e0734dd9d3469fb9e42033c542f694050f3b70dfc60fced3c10a2757b15c0d9931581d869f9eeff179738b948e7c8f36cd52144e1706ea6e3058ee417dd51ca190516f00249cb6ab4fa52c0f414377a6c6e93998ca8e1edfe20bb3a25ac4198e072e3dcca0c1ac0a2dc7a3f20ff07031febfd73ec99f63b917e0185cb15f04134f4a1202e8eaa5e553f510c6db047e3a65d220b416a48e3b3734c25e7a130530d14f0839559fbf4695e0e6b6ff5509d97a05923a563188cb30fc37246c2ea0270e45c8f", 0xf1}, {&(0x7f00000000c0)="f7d8f04552c721079561f2c080f33daf6c884035baa036fd0bef4faea362519faea9bf3335d1387161e4d56f5e72fa276549ebea3c4b183197072bc139d5d8d17b096d20c19c0666bebe0b7a18cdb029203c2002292e4f261fc0d3e05b9c8ddee08694e012b48539a160cac5a96b25c7d1ae5665843da5e473c99222db20e126e01d47d423933926f31a169bfdb59f2ead6f583f681f55bb12197cf6beb8ba6f790b07ff535b1bef4a1973dab3e65de726e3caa36704d2a55409d8ab1277febc", 0xc0}, {&(0x7f00000002c0)="02a3a7d11a58316d707e097dc9ebde8b09e71b07486c39a9", 0x18}, {&(0x7f0000000300)="7f97adf6b7d77e457c26a7211f68598b6431d1230236d2c820a5d4749ee3314e665ece29a803136898a09e88e8396b793e9445e13bb18ee487d481375d85704f28bfa4276fe06c2cf3a086d95cb2f47e1fa566", 0x53}, {&(0x7f0000000380)="8baec1317edb670eaed5ed0d938d13bb518d83b1c9eb3e777916b2d7c27d3daa2f29affc165b0b793a1d9fbd4035c7ae0adb5d2c0495b1ffe161637cf26eaadcfdc172f8a364efbd9c7567911e45ea29e3c59ea9f83b319c6b34e0386a0069ff80b11d3f94fb4ff438321e9accf07394949788950b06d189f21eb4a7ab8aae7d7b681bf583609a4b43acd55dfebd1c84ec4eccc6122a2a1c8c83e5a5a02206fc1981f89c335d475e72c8d4e77de6314cfdfc", 0xb2}, {&(0x7f0000000440)="dbcd43f3e4346d96cdb2f2fc2b8614071a04fc3366a625d3ad9d4157d2cf23c4bf7111d0ca44a2ef4d2b2ece2958dad4932a0868f9249de98432481e3e2c6a7adf5a68c6db980c72177394c80d5c47ae9acae0474e7a130ec48a152281fe5ec91e358ab312ed79c0a350850113788522ae48cedad1d6a9afb3c290725031445d081c8b6c78cc0c45806a824f40d9691c5444556232001125b2a2dfad3532d4dd79d44e30979cccda0a302774fbf636b023fc6a72", 0xb4}, {&(0x7f0000000500)="41d7212a9c8717dbfea07667c1e95c3fd6ecf39cc3e3bea856dee72c2680fd942d3f6bc13100a2bd554e2544b76974a70c5523a1c19132408fa54c063d6da9c5c2e8f62a9053a7ecb64af8451411f78256d6259a6b52b7659c31d2", 0x5b}], 0x7, &(0x7f0000000640)=[@rights={{0x14, 0x1, 0x1, [0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0x0, 0xffffffffffffffff}}}], 0x38, 0x4000000}}, {{&(0x7f0000000680)=@file={0x0, './file0\x00'}, 0x6e, &(0x7f00000009c0)=[{&(0x7f0000000700)="df4942b0b141481b1af00691e2af80d8251563b5a4158be57342cf034841f34ae10dafc10a8ed175451c8440e970010148a7b308db21df71bad3ac651b43036890fe01e6fc61160c8fe22afc8fa192ca826a129ac34c3d818ed682d6a67163f3b550e663a8aa1c3ffe7ebf6cac53854551f36a1c", 0x74}, {&(0x7f0000000780)="bdd460fffd005f682ad6b4ad81d2c72f6316d7376a134e8edbe94b9851895e29b521e9f54d39f0eb03f12d355107ddee2146e5c56b292a6e32bf9958395f65686e4f17a3e5c5e4e20d46854d4315fc1dd0c02cc939592f56df693edcad1127fb805af83678ceed8b674e7617ddca046d9ba63ecdd9c7c324d59d274c709ed7d6be3e486b3356e6847fe8fc509e6ece146c15472903c90d8de3d96bde0015fcdb093ab2fe4be175370b694d6692ef27b1886a66e9dda7597c19489563ac03b2e33992b3ad6c26933a29406423f19e2a99be8590c9ab6130291dbcc92acb4d003a6dca3fe07852e0b1", 0xe8}, {&(0x7f0000000880)="c07e2c", 0x3}, {&(0x7f00000008c0)="55ac0fe3596bdff59f95e369351b09b0b539b59eadafebcc474666b789ad31acab7a4b5a917058ae4931fd087234857ac7a30557f7d9574d34e69394222d8408cbe2e5079fe40e94a49aedbbd9e218feb08c97fac49d66a187ca5c4ca2fb05329ea33a3669134d35b7fc59c1f370ab3e5a48287c994494", 0x77}, {&(0x7f0000000940)="cb14e541450d56e6b4021b29e9a225211f88a318fc54e27302c63602a905d0fcd3926d75de8c66175feeec0c73b572f119572c7c37b82fbb59d6137e445110fd85163d40ba02b74ac733b583920faeddd3984e3159fb8f2d310007ee68baef4c6dedd2f4f0da3a5d153ecda62544e3a2f3a9f8", 0x73}], 0x5, &(0x7f0000000b80)=[@rights={{0x28, 0x1, 0x1, [0xffffffffffffffff, r0, r1, 0xffffffffffffffff, r0, r0]}}, @cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff, 0xee01}}}, @cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff, 0xffffffffffffffff}}}], 0x68, 0x4044000}}, {{&(0x7f0000000c00)=@abs={0x0, 0x0, 0x4e22}, 0x6e, &(0x7f0000000e00)=[{&(0x7f0000000c80)="4e9b499c6aa7fdf0d61ba03ebf7031316f6eb8f377bfab986ebbd70ca9b0b31b7694df7c02b32a1e84e4c00306683925eb0d66ac91aa1064a94a332987a45780c4f05f65f8ef0b8987eb8fa2fd55530ec281a496a6694425b4250298a6e4722faa462b162a7efee342e8557fe979a6e11bbdcddf01cc2832aa562448e3eeebdae3029a2b3b91fcf001034e5aa1de5004e6c3111eba4c88466de43ee155167b6dc845856d9a2ec7363e9b8127224ea20a0b6d42c233ac96ebfe60990f7eaba716fbecd8c72ebb33a1d0365431d91d183b85a140ba684024", 0xd7}, {&(0x7f0000000d80)="2e6d935ad5853ea68c94adc69bb2ca9c84fe71a909ee6d1fd0be6478b50b28aa34d512f5960af8855089effcde690ec62f1410ab7b1d0d5625d2700ff5c0529156ed82aa", 0x44}], 0x2, 0x0, 0x0, 0x4008000}}, {{&(0x7f0000000e40)=@abs={0x1, 0x0, 0x4e23}, 0x6e, &(0x7f0000003300)=[{&(0x7f0000000ec0)="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", 0x1000}, {&(0x7f0000001ec0)="0c2291fd547b32ee672bb37dadb6439bb13f57b518f80e7fa59eaafa461f1bcfbb908a734355c4a7591127eeaafb5707a7c3620e9af3375a2af2586625d8b0125df4713db3", 0x45}, {&(0x7f0000001f40)="a7b2e3beb73f2f754336b5757b518048c69f605f7b3fbe48d58cf5164bc2ec9c457fcc53afe54704c1e3cea26f7a7f9dbd54fcae8f82a426b666e96ac32a2a5c768e1a5cc83c31de9f8a9d3545e9d279b476c0b08d52867954ce5d46c0c4dadc69b03c55be788cecb8307ba75cc24fdf2dd978283618", 0x76}, {&(0x7f0000001fc0)="ad6e638efd51c999a1b7dfb3f4b37e8b128c43d305f20b3ef16d708efc33d53e2d96ecaf6a6606225b6acb499fe54e5be23465b97f7baace5c744af39c3356033c75482f71065832444d2e9a28ddab96dd8476761fbe135ce9bb9f68f4d8356441933052a7f53d0730c6c6af2551d03d54b8cd4514f2e6e18a4f19923b86202604c2b103cb802308d58ee812590d6837b2d55b1106c5491a6d8f006a053f1c606c", 0xa1}, {&(0x7f0000002080)="5a0577e8fdb989faecf64627d7ff6521c68ee23170b230f70275237a1413e2ab30a6c178f297094ddfa4c88be1c3773dff3a99aaa7378fb411c4b4aa45769da6cd5b562ddbdcc4bacd01933bf7f6363b42c33c144e4552a7e779d4547be58b8d7d37e0f862dd4e000e01e289ba87b31921c8685d2a56921fa5734b203ff208e65c3832aa288fd4dabf3bd7f7d294a467d1b9e42264e067119485616496e8ff5a11e0da4cb400f267ffa2f2e2dabaeb24626b289907b84ee840770c5501ecac8433204a4b9efbd55dbbb45d048079e5918daa795af997ec7e38398e4df038908c33130016ddf0e55500ef53", 0xeb}, {&(0x7f0000002180)="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", 0x1000}, {&(0x7f0000003180)="34b6c4964479a9d78e3ad3582261f7d98b5191e80aa08becd1996281e8876a43228cf414b5410f919b0d156d495fec22cf17c1fcaf62698f6715c4b5065817f61548ddc083e301f38a346c04f9c5001c4941ad8e2cbc89e136c8fecb009fcce77cb319ddc98be527e4a5ca144fbb585b4e247536e3c491594e747a48c2102c74d238422667905c09c2413ed72454362548f6f4a59915dacd757d95afb931d88080", 0xa1}, {&(0x7f0000003240)="ca1e10672ab8fb5906c4d869e2e994cfec80c101ada2b35680862fccc30855de4813774f6dcdb0a762be067e5ee74296e3b5043742fe990a92eb3fb8bd81e6804cefceeaa4c7c568e197b1c535b7737e91f306f7d6d2a41bcc5af988219a86a5a53a92b399418865f4f8c7023e5231e4299547439cec7fa18a3352685e5153e17a8f2d711b8b92a59e30c4858682a05ba2", 0x91}], 0x8, 0x0, 0x0, 0x40}}, {{&(0x7f0000003380)=@abs={0x1, 0x0, 0x4e23}, 0x6e, &(0x7f0000003ac0)=[{&(0x7f0000003400)="7723d94937243d8104c0992e7ed12fb59cb61ef9fa7200edff1256a8ac3b70936e3097a77c6c7d98a8c771560f1325c961744a821491c398e83d7abfcd4354b981eb9811e57de466a3b854e7b0498eee13d320ed367fcc443b55833639375248b69d209f9347268e1eb8997c6c296cef1d81aebaa39c59e5123353d98c1e544e3dea31f72fa9b6f736fbd032e595d354c9e1869608475e8908179c3cd82bb04ee5b5b35dc096253b530652d94713811dd8d00564b8026c4a2f4499ec0468eeea8884c836811aff5dc2364bcf6764a204145906f1d83243f7e31b6957c5d6f33839424ca8e32927ebf6d84f5585a67226212d5ce4", 0xf4}, {&(0x7f0000003500)="7ef7c2162d43aa", 0x7}, {&(0x7f0000003540)="86a61604e3a6c35649c4312bb2bfe5b80e6853f20fb277545de3fb25585d804684c1bf84e6449a4f80fadd12f05efd8883e5d9b60e088bad1510d04331e33c8a5e23aceca3f8d85d9d43d2d6c4599d97416f7b12d80718d2a7b11ae7cc92cf9ca200dc7617b694420656230321b305629366ac84bb2ccb58320755d4c77791645464734cfcebcd5f5af6630501f18d906451c253396bf7350e1f24680bddc9496e7b9b71d6bddc1eb7d1ce1458ac5ceb7680d16d28c1dd36ac0d17b1b0f66bb1a7bc8526baaf0ffd86c5201bd12cf73f67ccdbadd087cd0d6a980a", 0xdb}, {&(0x7f0000003640)="8947ab3dbbf510754290eead54967d94751eb98f3c77ceceefb15fb9e7064adb2a999fbf4fea8db4da23138f7127cccc32ed812ce1a9b87ce74cbc3dbdf82df111169d38fd9a36ac9ff7f6e8174e8db6afd427fe50ecf601cf2cf41f2ba29776e09de326d41bf695d9a1ee2af43fd0a32fecc1c84254de278dac16cc545c05b8d0c0708aa6a0505a0bd96cd530eb17812e85bb9bfb4e7705b5a799af035f73b42236f93ae3180253d492ca891668fa90d905afd679041095d30bd91ac4a759ba7279", 0xc2}, {&(0x7f0000003740)="3f379f0eaf8af5cf6ef6faf19d695cb11b3d1af832a2ee0b0566a162fa0c16131894b6e095cf2979401e9f14ec60626021809fc143505f", 0x37}, {&(0x7f0000003780)="206ae1715efc8564c8bec8de9c7da0a83a8b6bcd41450354997d592d2a858047cc792ad21750d29c0921f5033901fa8868b4fa9f04785157d7e41ebcff94d916b755b6b5b8adfca8153f1e988ba5219b32066b1c8e1130de2d8fbb604daded41c6f0f221d0e27a14e1f8a87c4aa5d1", 0x6f}, {&(0x7f0000003800)="0ef9c8bbb123758c9bb71b6d9fd7377fb593d8cd25fba27dd67047f7071cea71df28c47db552c7af69bd68fb884998c7b3467b1fe193115436a277c1f3bd703da51bd2f1f1218d01eaa39acc484c371b37295cc21cfde8f3714fabf08800f651b4e8a48810475072b661a4dd9b00c596949e46d2c9d1d25dff88c5f12cb86c04f560b89bcf445f0f139d25fd41b8af046851d3ae5d0d4dfe", 0x98}, {&(0x7f00000038c0)="645330fdb0ce3333b135f05bfecde80304887e7283fae669b21bc131b8352afb3ede2ca4b7f0aba38578947a3fcd021bebb1f0f31a5bb6242a320167d56ae4dc1b65060ef7bc8391667d847523a9b6a3618be42579b1a8d88421a620be7e68b5e42b81b6e5aa815d47b048039358616351f0ad3f5737cd49b34866fd98a02a29433a6b092400e1748286a2e3ee39142d4025f82d000e17265eb362f2bfa551d4b6893ff86cefb2976716e3623e4955cd19596a9d", 0xb4}, {&(0x7f0000003980)="f0ede886fa2eef1497da9deb7b23dc006cb1a1438a95093da308f541d1b7c23e8f45d96a5329de8c9190f41d2f4b522f999549ce867af6ae8b2565e1dba2fd3044d19d2319f2d99cb38ef7d291b5b33ecad8bef2e159d836fe5cbe0ea376d9446a73e9d4ff0b88c2b871fb827010ed569884f035ba635a243501a4808f9ec306bebb192e74100ff67c5b095105e07abe1646aad1c3ab26ca361b8b8670ef691b7f16ca45423e024533430ac56f9047f3eddcd9bb2479eca8ee352cf7fbe1b7c2d230e4b969f99691625eda", 0xcb}, {&(0x7f0000003a80)="f63c459a0a204a1c54a0bf0259f2c1c0dab98f702a9ce20186c5b94fdc57fe991d9d28573ce1f2ad1ff3fec2f75030ea8dc094127bf8dc0abb", 0x39}], 0xa, &(0x7f0000003d40)=[@rights={{0x20, 0x1, 0x1, [r1, 0xffffffffffffffff, r0, 0xffffffffffffffff]}}, @rights={{0x2c, 0x1, 0x1, [0xffffffffffffffff, r0, r0, 0xffffffffffffffff, 0xffffffffffffffff, r1, r1]}}, @rights={{0x2c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, r0]}}, @cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff}}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0x0, 0xee01}}}], 0xc0, 0x80}}, {{&(0x7f0000003e00)=@file={0x0, './file0\x00'}, 0x6e, &(0x7f0000004080)=[{&(0x7f0000003e80)="8b522ff9f428ec541030237801681debfe1fa3830afa9348d8877bbd670a2fd7f76e60138373c893e590752945c4c87321178f2c3c61d19b82511679dc5c983081b0a96cfb338954f9b586f0839a009033310507ce7c57e6b122c75b9169d6d9c15bdfbde148b420e769475b03fdab8e4e14", 0x72}, {&(0x7f0000003f00)="095f83b8b44800499f594d9f529b6468e7b03d90f3c5dfdcd2341e4840ae3c31ce6d848815aac1b60805b53b787b3b1e2eee9ae4d82b48a1ea34c64a24e9a6dfdf52579403630c02d657dc4f713ad9d94a62c2ec588bcf9f4e5d1066c660734f4918737bc7f944f487f190565ae5ce1b13f16b702c8b084c85938a5301", 0x7d}, {&(0x7f0000003f80)="fc176fea3177a3816b059e8bbbf5c00268669222f276c07d8bb766ac8a62120c43866b382c6897695fca047c18cad7e90a8b61ec6e22b283fb3bcd65a12cb9bbd178a194911becf7cea7b8fd418a6511a01eca50c9efb39f6673f0c0d7e94f72f347c22680c4aef205d0336fa1c5cdbc866cb995adc59cec7b955cde5ae897ec039545ed94c044b540fe0293865f3fb31ff8316dd2439a3c5deb96b1fa03bca308765017a5bd7d4378a199405b765b354d54a1f9d7f22ae3d6ee934d2f8addc191090f38d2c8916d33922f9944", 0xcd}], 0x3, &(0x7f00000045c0)=[@cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff}}}, @rights={{0x30, 0x1, 0x1, [0xffffffffffffffff, r1, 0xffffffffffffffff, r1, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @rights={{0x24, 0x1, 0x1, [0xffffffffffffffff, r0, r0, 0xffffffffffffffff, r0]}}, @rights={{0x34, 0x1, 0x1, [r0, r0, r2, r0, r4, r5, r0, r0, r0]}}, @rights={{0x20, 0x1, 0x1, [r6, r7, r1, r8]}}, @cred={{0x1c, 0x1, 0x2, {r9, 0xee00, 0xffffffffffffffff}}}, @rights={{0x18, 0x1, 0x1, [r10, r12]}}], 0x108, 0x400c0}}], 0x6, 0x0) setsockopt$SO_ATTACH_FILTER(r1, 0x1, 0x1a, &(0x7f0000ab9ff0)={0x3, &(0x7f0000000000)=[{0x20, 0x0, 0x0, 0xfffff010}, {0x35, 0x0, 0x0, 0xf65d}, {0x6}]}, 0x10) writev(r0, &(0x7f0000007a40)=[{&(0x7f0000007840)="0400ff37", 0x4}], 0x1) [ 392.619634][ T3553] usb 2-1: USB disconnect, device number 41 03:14:21 executing program 3: prctl$PR_SET_SYSCALL_USER_DISPATCH_OFF(0x3b, 0x0) prctl$PR_SET_SYSCALL_USER_DISPATCH_OFF(0x3b, 0x0) (async) r0 = syz_usb_connect$cdc_ncm(0x0, 0x87, &(0x7f0000000000)={{0x12, 0x1, 0x200, 0x2, 0x0, 0x0, 0x40, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x75, 0x2, 0x1, 0x9, 0x0, 0x1f, {{0x9, 0x4, 0x0, 0x0, 0x1, 0x2, 0xd, 0x0, 0x0, {{0x5}, {0x5, 0x24, 0x0, 0x78f}, {0xd, 0x24, 0xf, 0x1, 0x40, 0x800, 0x5, 0x80}, {0x6, 0x24, 0x1a, 0xf0c9, 0x3d}, [@mbim={0xc, 0x24, 0x1b, 0x4, 0x7, 0x40, 0x7, 0x200}, @call_mgmt={0x5, 0x24, 0x1, 0x2, 0x3}, @mbim_extended={0x8, 0x24, 0x1c, 0x2, 0x4}]}, {{0x9, 0x5, 0x81, 0x3, 0x3ff, 0x13, 0x7f, 0x2}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x2, 0x2, 0xd, 0x0, 0x0, "", {{{0x9, 0x5, 0x82, 0x2, 0x40, 0x7, 0x80}}, {{0x9, 0x5, 0x3, 0x2, 0x8, 0x7f, 0xff, 0xfc}}}}}}}]}}, &(0x7f00000004c0)={0xa, &(0x7f00000000c0)={0xa, 0x6, 0x301, 0xff, 0x8, 0x5, 0x20, 0x2}, 0x133, &(0x7f0000000100)={0x5, 0xf, 0x133, 0x5, [@ss_container_id={0x14, 0x10, 0x4, 0x6, "dd61c1702faa3c3b5abe7b00e550260b"}, @ptm_cap={0x3}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x8, 0x6, 0x40}, @generic={0xf9, 0x10, 0xb, "0adb8220452a7f11f5f50a3aa071499a7e6b2845767bcc8a2d472f28769ce245f9004ddf4b9c4ed9697d0a360755af31ae65cfc7be64fb7c76b53a8611d821a3b9a6f9894ba737b1bdc2453ec6ca6a37e0aa47d00931fcf95b8ffbbc1ad585a5575c60094b4419018afb8fa87a897a6fc9224d76572b9b5689362597b9c3c5d3cee78a102de55b7e4c2368a815e71b953517cbc38176c2e867a556b69fec9e318943c374d209aa975e5e86f843bdc440bb40198d775a2627697dd110ad0ad1a10ec50452cc092aaac23e2f1b2f1fd8c17bfb9ca4ea5550606889ffb619ed9066806a36a0532c9854a9f30fdea0c4b27c9c4c1a02e35a"}, @ss_container_id={0x14, 0x10, 0x4, 0x7, "d44875207c9954f5b3038fddcd44a9eb"}]}, 0x4, [{0xb0, &(0x7f0000000240)=@string={0xb0, 0x3, "eef4087ce26d436d91f6cc56a6b585d8e3231eddda2d08092887b3b7b6816682892bcc2469490dda91b146ba19ce9f59369d9e8090dde385e86996e8e1c0d123d8a2a051cbf31e11ad820c2eaeb29e11a64c2758df8786ab645553b238785c8fd53ecd0a65b61ceca969e593f4b3cd3d4527e4ab6a57b24b915d7864c853e4b1404d58a91b2aa1148b764d871b8b8689d99a265d1d874be1bb25ea887581111105f6cdee9198f817e4081f153e9c"}}, {0x4, &(0x7f0000000340)=@lang_id={0x4, 0x3, 0x184b}}, {0x6c, &(0x7f0000000380)=@string={0x6c, 0x3, "353b96b5d32284a3b614a045cd297067b97ede15613cf05b5526dff8ba512971ae5b429c996319172950e9a0a0366625b4bc59de08d341f990e1e0de494ea2fdd03623d32d1716e430141e7ddc59db12592f349e41470a19cab7ae0614f60562f970da77a11a92b72a72"}}, {0xb7, &(0x7f0000000400)=@string={0xb7, 0x3, "feaaf20827a0c363e1174d57b49546d52ebe83f61d8b99d7f7148c72d655a00fb0fc48469a4db38558a82c2417378770ed2442589b94f8c37a8ba141a35b61a4ddc323b300320bc1dc2f5878fe156b616968dff6ae2d31625c90bad69482ed184465b640cb42e4d58b5c561ef858ea204072a15e78e9378c12d4519672d3e332989d805d974c0420ed16a564f2bd1d7a38d1fd6595eff4b8303f31daa25031b3ac5021dac73e16e5f976c0be38a32bb069ab77421f"}}]}) syz_usb_control_io$cdc_ncm(r0, &(0x7f0000000580)={0x14, &(0x7f0000000300)={0x60, 0x22, 0x2f, {0x2f, 0x7, "565dda0f707865fd97db58f6ea234ab386d3e27527464d6d531e02e0722eb1377572c7d424b03d606011ddf193"}}, &(0x7f0000000540)={0x0, 0x3, 0x1a, {0x1a}}}, &(0x7f0000000880)={0x44, &(0x7f00000005c0)=ANY=[@ANYBLOB="000ddb000004cf8aa8e3a59db9f782c62e89abf64069e3d89dff97ac10b8f6dabc437b0f1e7efc7ce3559b6e777381c201614181a6e2b78c4e1e1ce4389dc9d5f276ed2823efca2a661e1e587a828e761a734b8dfec79a6b36a57c9e93d7be8776816c68b4a988fa366f17426a8b1f23e9154932629563a76b23b17d38c01028ea27624756607a36dfe06a2804a4a9043da9d6eb36c03e1cb662e5de1f73a64ec9df279754c6a8ca1cb3607c358852ca1130b56e6ba0126f463b4c1c9f213831d14a4313ed3c038cc95aa7738b3d0544d40786d1baa4461eec7199f037cc5106e2"], &(0x7f00000006c0)={0x0, 0xa, 0x1, 0x20}, &(0x7f0000000700)={0x0, 0x8, 0x1, 0x1}, &(0x7f0000000740)={0x20, 0x80, 0x1c, {0x101, 0x2ba, 0x10000, 0x2, 0x2, 0x4, 0xf780, 0x1cb8, 0xfff, 0x9, 0x1000, 0x6}}, &(0x7f0000000780)={0x20, 0x85, 0x4, 0x4}, &(0x7f00000007c0)={0x20, 0x83, 0x2}, &(0x7f0000000800)={0x20, 0x87, 0x2, 0x577}, &(0x7f0000000840)={0x20, 0x89, 0x2, 0x1}}) [ 392.727099][ T8036] netlink: 528 bytes leftover after parsing attributes in process `syz-executor.4'. 03:14:21 executing program 0: r0 = socket$inet6(0xa, 0x1, 0x0) setsockopt$IP6T_SO_SET_REPLACE(r0, 0x29, 0x40, &(0x7f0000000500)=@raw={'raw\x00', 0x3c1, 0x3, 0x2f0, 0x0, 0xc8, 0x0, 0x0, 0x5803, 0x220, 0x2e8, 0x2e8, 0x220, 0x2e8, 0x3, 0x0, {[{{@ipv6={@private2, @rand_addr=' \x01\x00', [], [], 'bridge0\x00', 'geneve1\x00'}, 0x0, 0x108, 0x148, 0x0, {0x0, 0x2000000000000}, [@common=@unspec=@devgroup={{0x38}, {0x4}}, @common=@inet=@socket1={{0x28}}]}, @common=@unspec=@RATEEST={0x40, 'RATEEST\x00', 0x0, {'syz0\x00'}}}, {{@ipv6={@ipv4={'\x00', '\xff\xff', @remote}, @loopback, [], [], 'veth0_to_team\x00', 'netdevsim0\x00'}, 0x0, 0xa8, 0xd8}, @common=@inet=@SET2={0x30}}], {{'\x00', 0x0, 0xa8, 0xd0}, {0x28}}}}, 0x350) (async, rerun: 64) sendto$inet6(r0, &(0x7f0000000000)="1a2b56a9f5a9693feaa12df49672d8b18e64c9c61cc0928f197e4cfb7d8fd046289d69765fec7db77f9451af97c023e4182ce7f12da5043b5e480a054efca46304273102a7701a2c5a3884f803924aef4c0f6e7172599d7ed1d36a31ea622383010cdba64200b2f6c154ff765a9e89bdfefeca", 0x73, 0xc5, &(0x7f0000000080)={0xa, 0x4e22, 0x6, @empty, 0xfff}, 0x1c) (async, rerun: 64) ioctl$AUTOFS_DEV_IOCTL_EXPIRE(0xffffffffffffffff, 0xc018937c, &(0x7f00000000c0)={{0x1, 0x1, 0x18, r0, {0x7}}, './file0\x00'}) sendto$inet6(r1, &(0x7f0000000100)="674136fecd53706c46d74a300bccb62ca4c59dfd6dc81dc46e91de9d24731e8d2980419489b4f36f0589d64341d4950397d49d414e2b38845edf77065501", 0x3e, 0x44014, &(0x7f0000000140)={0xa, 0x4e21, 0x81, @private1, 0xfffffffa}, 0x1c) [ 392.769877][ T8037] netlink: 528 bytes leftover after parsing attributes in process `syz-executor.4'. 03:14:21 executing program 2: r0 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$ifreq_SIOCGIFINDEX_batadv_mesh(r0, 0x8933, &(0x7f0000000000)={'batadv0\x00', 0x0}) (async) syz_genetlink_get_family_id$batadv(&(0x7f0000000040), r0) r2 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route(r2, &(0x7f0000000240)={0xffffffffffffffff, 0x0, &(0x7f0000000200)={&(0x7f0000000500)=@ipv6_newnexthop={0x4c, 0x68, 0x1, 0x0, 0x0, {}, [@NHA_OIF={0x8, 0x5, r1}, @NHA_ENCAP={0x10, 0x8, 0x0, 0x1, @LWTUNNEL_IP_ID={0xc}}, @NHA_ENCAP_TYPE={0x6}, @NHA_GATEWAY={0x14, 0x6, @ip4=@initdev={0xac, 0x1e, 0x0, 0x0}}]}, 0x4c}, 0x1, 0xfe07}, 0x0) 03:14:21 executing program 5: socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000180)={0xffffffffffffffff, 0xffffffffffffffff}) epoll_ctl$EPOLL_CTL_MOD(0xffffffffffffffff, 0x3, 0xffffffffffffffff, &(0x7f0000000040)) (async) r2 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) (async) r3 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r3, 0x1, r2, &(0x7f0000002280)) (async) epoll_ctl$EPOLL_CTL_MOD(r3, 0x3, r2, &(0x7f0000000040)) r4 = openat$cgroup_ro(0xffffffffffffffff, &(0x7f0000004480)='pids.current\x00', 0x0, 0x0) ioctl$AUTOFS_DEV_IOCTL_SETPIPEFD(0xffffffffffffffff, 0xc0189378, &(0x7f0000000600)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32=r1, @ANYRES32=r1, @ANYBLOB="000000d7dc8776ea38002e2f66696c65300000000000"]) r6 = socket$nl_route(0x10, 0x3, 0x0) (async) r7 = openat$dir(0xffffffffffffff9c, &(0x7f0000004500)='./file0\x00', 0x280900, 0xe2) (async) r8 = syz_open_dev$audion(&(0x7f0000004540), 0xffffffff, 0x100) (async) r9 = getpgid(0xffffffffffffffff) r10 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) (async) r11 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r11, 0x1, r10, &(0x7f0000002280)) (async) epoll_ctl$EPOLL_CTL_MOD(r11, 0x3, r10, &(0x7f0000000040)) (async) r12 = openat$udambuf(0xffffffffffffff9c, &(0x7f0000004580), 0x2) sendmmsg$unix(r0, &(0x7f0000004700)=[{{&(0x7f0000000040)=@abs={0x0, 0x0, 0x4e22}, 0x6e, &(0x7f0000000580)=[{&(0x7f00000001c0)="2c0006c7ef138e23471caebe726ac38ff2d7ccfb6b57733cd27d40610414c768094959bf5644204bb7ac8d89066e0734dd9d3469fb9e42033c542f694050f3b70dfc60fced3c10a2757b15c0d9931581d869f9eeff179738b948e7c8f36cd52144e1706ea6e3058ee417dd51ca190516f00249cb6ab4fa52c0f414377a6c6e93998ca8e1edfe20bb3a25ac4198e072e3dcca0c1ac0a2dc7a3f20ff07031febfd73ec99f63b917e0185cb15f04134f4a1202e8eaa5e553f510c6db047e3a65d220b416a48e3b3734c25e7a130530d14f0839559fbf4695e0e6b6ff5509d97a05923a563188cb30fc37246c2ea0270e45c8f", 0xf1}, {&(0x7f00000000c0)="f7d8f04552c721079561f2c080f33daf6c884035baa036fd0bef4faea362519faea9bf3335d1387161e4d56f5e72fa276549ebea3c4b183197072bc139d5d8d17b096d20c19c0666bebe0b7a18cdb029203c2002292e4f261fc0d3e05b9c8ddee08694e012b48539a160cac5a96b25c7d1ae5665843da5e473c99222db20e126e01d47d423933926f31a169bfdb59f2ead6f583f681f55bb12197cf6beb8ba6f790b07ff535b1bef4a1973dab3e65de726e3caa36704d2a55409d8ab1277febc", 0xc0}, {&(0x7f00000002c0)="02a3a7d11a58316d707e097dc9ebde8b09e71b07486c39a9", 0x18}, {&(0x7f0000000300)="7f97adf6b7d77e457c26a7211f68598b6431d1230236d2c820a5d4749ee3314e665ece29a803136898a09e88e8396b793e9445e13bb18ee487d481375d85704f28bfa4276fe06c2cf3a086d95cb2f47e1fa566", 0x53}, {&(0x7f0000000380)="8baec1317edb670eaed5ed0d938d13bb518d83b1c9eb3e777916b2d7c27d3daa2f29affc165b0b793a1d9fbd4035c7ae0adb5d2c0495b1ffe161637cf26eaadcfdc172f8a364efbd9c7567911e45ea29e3c59ea9f83b319c6b34e0386a0069ff80b11d3f94fb4ff438321e9accf07394949788950b06d189f21eb4a7ab8aae7d7b681bf583609a4b43acd55dfebd1c84ec4eccc6122a2a1c8c83e5a5a02206fc1981f89c335d475e72c8d4e77de6314cfdfc", 0xb2}, {&(0x7f0000000440)="dbcd43f3e4346d96cdb2f2fc2b8614071a04fc3366a625d3ad9d4157d2cf23c4bf7111d0ca44a2ef4d2b2ece2958dad4932a0868f9249de98432481e3e2c6a7adf5a68c6db980c72177394c80d5c47ae9acae0474e7a130ec48a152281fe5ec91e358ab312ed79c0a350850113788522ae48cedad1d6a9afb3c290725031445d081c8b6c78cc0c45806a824f40d9691c5444556232001125b2a2dfad3532d4dd79d44e30979cccda0a302774fbf636b023fc6a72", 0xb4}, {&(0x7f0000000500)="41d7212a9c8717dbfea07667c1e95c3fd6ecf39cc3e3bea856dee72c2680fd942d3f6bc13100a2bd554e2544b76974a70c5523a1c19132408fa54c063d6da9c5c2e8f62a9053a7ecb64af8451411f78256d6259a6b52b7659c31d2", 0x5b}], 0x7, &(0x7f0000000640)=[@rights={{0x14, 0x1, 0x1, [0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0x0, 0xffffffffffffffff}}}], 0x38, 0x4000000}}, {{&(0x7f0000000680)=@file={0x0, './file0\x00'}, 0x6e, &(0x7f00000009c0)=[{&(0x7f0000000700)="df4942b0b141481b1af00691e2af80d8251563b5a4158be57342cf034841f34ae10dafc10a8ed175451c8440e970010148a7b308db21df71bad3ac651b43036890fe01e6fc61160c8fe22afc8fa192ca826a129ac34c3d818ed682d6a67163f3b550e663a8aa1c3ffe7ebf6cac53854551f36a1c", 0x74}, {&(0x7f0000000780)="bdd460fffd005f682ad6b4ad81d2c72f6316d7376a134e8edbe94b9851895e29b521e9f54d39f0eb03f12d355107ddee2146e5c56b292a6e32bf9958395f65686e4f17a3e5c5e4e20d46854d4315fc1dd0c02cc939592f56df693edcad1127fb805af83678ceed8b674e7617ddca046d9ba63ecdd9c7c324d59d274c709ed7d6be3e486b3356e6847fe8fc509e6ece146c15472903c90d8de3d96bde0015fcdb093ab2fe4be175370b694d6692ef27b1886a66e9dda7597c19489563ac03b2e33992b3ad6c26933a29406423f19e2a99be8590c9ab6130291dbcc92acb4d003a6dca3fe07852e0b1", 0xe8}, {&(0x7f0000000880)="c07e2c", 0x3}, {&(0x7f00000008c0)="55ac0fe3596bdff59f95e369351b09b0b539b59eadafebcc474666b789ad31acab7a4b5a917058ae4931fd087234857ac7a30557f7d9574d34e69394222d8408cbe2e5079fe40e94a49aedbbd9e218feb08c97fac49d66a187ca5c4ca2fb05329ea33a3669134d35b7fc59c1f370ab3e5a48287c994494", 0x77}, {&(0x7f0000000940)="cb14e541450d56e6b4021b29e9a225211f88a318fc54e27302c63602a905d0fcd3926d75de8c66175feeec0c73b572f119572c7c37b82fbb59d6137e445110fd85163d40ba02b74ac733b583920faeddd3984e3159fb8f2d310007ee68baef4c6dedd2f4f0da3a5d153ecda62544e3a2f3a9f8", 0x73}], 0x5, &(0x7f0000000b80)=[@rights={{0x28, 0x1, 0x1, [0xffffffffffffffff, r0, r1, 0xffffffffffffffff, r0, r0]}}, @cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff, 0xee01}}}, @cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff, 0xffffffffffffffff}}}], 0x68, 0x4044000}}, {{&(0x7f0000000c00)=@abs={0x0, 0x0, 0x4e22}, 0x6e, &(0x7f0000000e00)=[{&(0x7f0000000c80)="4e9b499c6aa7fdf0d61ba03ebf7031316f6eb8f377bfab986ebbd70ca9b0b31b7694df7c02b32a1e84e4c00306683925eb0d66ac91aa1064a94a332987a45780c4f05f65f8ef0b8987eb8fa2fd55530ec281a496a6694425b4250298a6e4722faa462b162a7efee342e8557fe979a6e11bbdcddf01cc2832aa562448e3eeebdae3029a2b3b91fcf001034e5aa1de5004e6c3111eba4c88466de43ee155167b6dc845856d9a2ec7363e9b8127224ea20a0b6d42c233ac96ebfe60990f7eaba716fbecd8c72ebb33a1d0365431d91d183b85a140ba684024", 0xd7}, {&(0x7f0000000d80)="2e6d935ad5853ea68c94adc69bb2ca9c84fe71a909ee6d1fd0be6478b50b28aa34d512f5960af8855089effcde690ec62f1410ab7b1d0d5625d2700ff5c0529156ed82aa", 0x44}], 0x2, 0x0, 0x0, 0x4008000}}, {{&(0x7f0000000e40)=@abs={0x1, 0x0, 0x4e23}, 0x6e, &(0x7f0000003300)=[{&(0x7f0000000ec0)="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", 0x1000}, {&(0x7f0000001ec0)="0c2291fd547b32ee672bb37dadb6439bb13f57b518f80e7fa59eaafa461f1bcfbb908a734355c4a7591127eeaafb5707a7c3620e9af3375a2af2586625d8b0125df4713db3", 0x45}, {&(0x7f0000001f40)="a7b2e3beb73f2f754336b5757b518048c69f605f7b3fbe48d58cf5164bc2ec9c457fcc53afe54704c1e3cea26f7a7f9dbd54fcae8f82a426b666e96ac32a2a5c768e1a5cc83c31de9f8a9d3545e9d279b476c0b08d52867954ce5d46c0c4dadc69b03c55be788cecb8307ba75cc24fdf2dd978283618", 0x76}, {&(0x7f0000001fc0)="ad6e638efd51c999a1b7dfb3f4b37e8b128c43d305f20b3ef16d708efc33d53e2d96ecaf6a6606225b6acb499fe54e5be23465b97f7baace5c744af39c3356033c75482f71065832444d2e9a28ddab96dd8476761fbe135ce9bb9f68f4d8356441933052a7f53d0730c6c6af2551d03d54b8cd4514f2e6e18a4f19923b86202604c2b103cb802308d58ee812590d6837b2d55b1106c5491a6d8f006a053f1c606c", 0xa1}, {&(0x7f0000002080)="5a0577e8fdb989faecf64627d7ff6521c68ee23170b230f70275237a1413e2ab30a6c178f297094ddfa4c88be1c3773dff3a99aaa7378fb411c4b4aa45769da6cd5b562ddbdcc4bacd01933bf7f6363b42c33c144e4552a7e779d4547be58b8d7d37e0f862dd4e000e01e289ba87b31921c8685d2a56921fa5734b203ff208e65c3832aa288fd4dabf3bd7f7d294a467d1b9e42264e067119485616496e8ff5a11e0da4cb400f267ffa2f2e2dabaeb24626b289907b84ee840770c5501ecac8433204a4b9efbd55dbbb45d048079e5918daa795af997ec7e38398e4df038908c33130016ddf0e55500ef53", 0xeb}, {&(0x7f0000002180)="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", 0x1000}, {&(0x7f0000003180)="34b6c4964479a9d78e3ad3582261f7d98b5191e80aa08becd1996281e8876a43228cf414b5410f919b0d156d495fec22cf17c1fcaf62698f6715c4b5065817f61548ddc083e301f38a346c04f9c5001c4941ad8e2cbc89e136c8fecb009fcce77cb319ddc98be527e4a5ca144fbb585b4e247536e3c491594e747a48c2102c74d238422667905c09c2413ed72454362548f6f4a59915dacd757d95afb931d88080", 0xa1}, {&(0x7f0000003240)="ca1e10672ab8fb5906c4d869e2e994cfec80c101ada2b35680862fccc30855de4813774f6dcdb0a762be067e5ee74296e3b5043742fe990a92eb3fb8bd81e6804cefceeaa4c7c568e197b1c535b7737e91f306f7d6d2a41bcc5af988219a86a5a53a92b399418865f4f8c7023e5231e4299547439cec7fa18a3352685e5153e17a8f2d711b8b92a59e30c4858682a05ba2", 0x91}], 0x8, 0x0, 0x0, 0x40}}, {{&(0x7f0000003380)=@abs={0x1, 0x0, 0x4e23}, 0x6e, &(0x7f0000003ac0)=[{&(0x7f0000003400)="7723d94937243d8104c0992e7ed12fb59cb61ef9fa7200edff1256a8ac3b70936e3097a77c6c7d98a8c771560f1325c961744a821491c398e83d7abfcd4354b981eb9811e57de466a3b854e7b0498eee13d320ed367fcc443b55833639375248b69d209f9347268e1eb8997c6c296cef1d81aebaa39c59e5123353d98c1e544e3dea31f72fa9b6f736fbd032e595d354c9e1869608475e8908179c3cd82bb04ee5b5b35dc096253b530652d94713811dd8d00564b8026c4a2f4499ec0468eeea8884c836811aff5dc2364bcf6764a204145906f1d83243f7e31b6957c5d6f33839424ca8e32927ebf6d84f5585a67226212d5ce4", 0xf4}, {&(0x7f0000003500)="7ef7c2162d43aa", 0x7}, {&(0x7f0000003540)="86a61604e3a6c35649c4312bb2bfe5b80e6853f20fb277545de3fb25585d804684c1bf84e6449a4f80fadd12f05efd8883e5d9b60e088bad1510d04331e33c8a5e23aceca3f8d85d9d43d2d6c4599d97416f7b12d80718d2a7b11ae7cc92cf9ca200dc7617b694420656230321b305629366ac84bb2ccb58320755d4c77791645464734cfcebcd5f5af6630501f18d906451c253396bf7350e1f24680bddc9496e7b9b71d6bddc1eb7d1ce1458ac5ceb7680d16d28c1dd36ac0d17b1b0f66bb1a7bc8526baaf0ffd86c5201bd12cf73f67ccdbadd087cd0d6a980a", 0xdb}, {&(0x7f0000003640)="8947ab3dbbf510754290eead54967d94751eb98f3c77ceceefb15fb9e7064adb2a999fbf4fea8db4da23138f7127cccc32ed812ce1a9b87ce74cbc3dbdf82df111169d38fd9a36ac9ff7f6e8174e8db6afd427fe50ecf601cf2cf41f2ba29776e09de326d41bf695d9a1ee2af43fd0a32fecc1c84254de278dac16cc545c05b8d0c0708aa6a0505a0bd96cd530eb17812e85bb9bfb4e7705b5a799af035f73b42236f93ae3180253d492ca891668fa90d905afd679041095d30bd91ac4a759ba7279", 0xc2}, {&(0x7f0000003740)="3f379f0eaf8af5cf6ef6faf19d695cb11b3d1af832a2ee0b0566a162fa0c16131894b6e095cf2979401e9f14ec60626021809fc143505f", 0x37}, {&(0x7f0000003780)="206ae1715efc8564c8bec8de9c7da0a83a8b6bcd41450354997d592d2a858047cc792ad21750d29c0921f5033901fa8868b4fa9f04785157d7e41ebcff94d916b755b6b5b8adfca8153f1e988ba5219b32066b1c8e1130de2d8fbb604daded41c6f0f221d0e27a14e1f8a87c4aa5d1", 0x6f}, {&(0x7f0000003800)="0ef9c8bbb123758c9bb71b6d9fd7377fb593d8cd25fba27dd67047f7071cea71df28c47db552c7af69bd68fb884998c7b3467b1fe193115436a277c1f3bd703da51bd2f1f1218d01eaa39acc484c371b37295cc21cfde8f3714fabf08800f651b4e8a48810475072b661a4dd9b00c596949e46d2c9d1d25dff88c5f12cb86c04f560b89bcf445f0f139d25fd41b8af046851d3ae5d0d4dfe", 0x98}, {&(0x7f00000038c0)="645330fdb0ce3333b135f05bfecde80304887e7283fae669b21bc131b8352afb3ede2ca4b7f0aba38578947a3fcd021bebb1f0f31a5bb6242a320167d56ae4dc1b65060ef7bc8391667d847523a9b6a3618be42579b1a8d88421a620be7e68b5e42b81b6e5aa815d47b048039358616351f0ad3f5737cd49b34866fd98a02a29433a6b092400e1748286a2e3ee39142d4025f82d000e17265eb362f2bfa551d4b6893ff86cefb2976716e3623e4955cd19596a9d", 0xb4}, {&(0x7f0000003980)="f0ede886fa2eef1497da9deb7b23dc006cb1a1438a95093da308f541d1b7c23e8f45d96a5329de8c9190f41d2f4b522f999549ce867af6ae8b2565e1dba2fd3044d19d2319f2d99cb38ef7d291b5b33ecad8bef2e159d836fe5cbe0ea376d9446a73e9d4ff0b88c2b871fb827010ed569884f035ba635a243501a4808f9ec306bebb192e74100ff67c5b095105e07abe1646aad1c3ab26ca361b8b8670ef691b7f16ca45423e024533430ac56f9047f3eddcd9bb2479eca8ee352cf7fbe1b7c2d230e4b969f99691625eda", 0xcb}, {&(0x7f0000003a80)="f63c459a0a204a1c54a0bf0259f2c1c0dab98f702a9ce20186c5b94fdc57fe991d9d28573ce1f2ad1ff3fec2f75030ea8dc094127bf8dc0abb", 0x39}], 0xa, &(0x7f0000003d40)=[@rights={{0x20, 0x1, 0x1, [r1, 0xffffffffffffffff, r0, 0xffffffffffffffff]}}, @rights={{0x2c, 0x1, 0x1, [0xffffffffffffffff, r0, r0, 0xffffffffffffffff, 0xffffffffffffffff, r1, r1]}}, @rights={{0x2c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, r0]}}, @cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff}}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0x0, 0xee01}}}], 0xc0, 0x80}}, {{&(0x7f0000003e00)=@file={0x0, './file0\x00'}, 0x6e, &(0x7f0000004080)=[{&(0x7f0000003e80)="8b522ff9f428ec541030237801681debfe1fa3830afa9348d8877bbd670a2fd7f76e60138373c893e590752945c4c87321178f2c3c61d19b82511679dc5c983081b0a96cfb338954f9b586f0839a009033310507ce7c57e6b122c75b9169d6d9c15bdfbde148b420e769475b03fdab8e4e14", 0x72}, {&(0x7f0000003f00)="095f83b8b44800499f594d9f529b6468e7b03d90f3c5dfdcd2341e4840ae3c31ce6d848815aac1b60805b53b787b3b1e2eee9ae4d82b48a1ea34c64a24e9a6dfdf52579403630c02d657dc4f713ad9d94a62c2ec588bcf9f4e5d1066c660734f4918737bc7f944f487f190565ae5ce1b13f16b702c8b084c85938a5301", 0x7d}, {&(0x7f0000003f80)="fc176fea3177a3816b059e8bbbf5c00268669222f276c07d8bb766ac8a62120c43866b382c6897695fca047c18cad7e90a8b61ec6e22b283fb3bcd65a12cb9bbd178a194911becf7cea7b8fd418a6511a01eca50c9efb39f6673f0c0d7e94f72f347c22680c4aef205d0336fa1c5cdbc866cb995adc59cec7b955cde5ae897ec039545ed94c044b540fe0293865f3fb31ff8316dd2439a3c5deb96b1fa03bca308765017a5bd7d4378a199405b765b354d54a1f9d7f22ae3d6ee934d2f8addc191090f38d2c8916d33922f9944", 0xcd}], 0x3, &(0x7f00000045c0)=[@cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff}}}, @rights={{0x30, 0x1, 0x1, [0xffffffffffffffff, r1, 0xffffffffffffffff, r1, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @rights={{0x24, 0x1, 0x1, [0xffffffffffffffff, r0, r0, 0xffffffffffffffff, r0]}}, @rights={{0x34, 0x1, 0x1, [r0, r0, r2, r0, r4, r5, r0, r0, r0]}}, @rights={{0x20, 0x1, 0x1, [r6, r7, r1, r8]}}, @cred={{0x1c, 0x1, 0x2, {r9, 0xee00, 0xffffffffffffffff}}}, @rights={{0x18, 0x1, 0x1, [r10, r12]}}], 0x108, 0x400c0}}], 0x6, 0x0) (async) setsockopt$SO_ATTACH_FILTER(r1, 0x1, 0x1a, &(0x7f0000ab9ff0)={0x3, &(0x7f0000000000)=[{0x20, 0x0, 0x0, 0xfffff010}, {0x35, 0x0, 0x0, 0xf65d}, {0x6}]}, 0x10) (async) writev(r0, &(0x7f0000007a40)=[{&(0x7f0000007840)="0400ff37", 0x4}], 0x1) 03:14:22 executing program 4: r0 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route_sched(r0, &(0x7f0000000300)={0x0, 0x0, &(0x7f0000000040)={&(0x7f00000000c0)=ANY=[@ANYBLOB="30020000110001002abd7000dbdbd7250000000024"], 0x230}}, 0x0) socket$nl_route(0x10, 0x3, 0x0) (async) sendmsg$nl_route_sched(r0, &(0x7f0000000300)={0x0, 0x0, &(0x7f0000000040)={&(0x7f00000000c0)=ANY=[@ANYBLOB="30020000110001002abd7000dbdbd7250000000024"], 0x230}}, 0x0) (async) [ 392.962727][ T3559] usb 4-1: new high-speed USB device number 45 using dummy_hcd [ 393.058521][ T8052] netlink: 528 bytes leftover after parsing attributes in process `syz-executor.4'. 03:14:22 executing program 2: r0 = socket$netlink(0x10, 0x3, 0x0) r1 = socket(0x10, 0x803, 0x0) sendmsg$nl_route_sched(r1, &(0x7f0000000a00)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000880)=@deltfilter={0x24}, 0x24}}, 0x0) getsockname$packet(r1, &(0x7f0000000200)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000440)=0x14) sendmsg$nl_route(r0, &(0x7f0000000040)={0x0, 0x0, &(0x7f0000000000)={&(0x7f0000000080)=ANY=[@ANYBLOB="48000000100005070000004e75ec7492112c0000", @ANYRES32=r2, @ANYBLOB="0000000000000000280012000900010076657468"], 0x48}}, 0x0) recvmsg(0xffffffffffffffff, &(0x7f0000000300)={0x0, 0x0, &(0x7f0000000140)=[{&(0x7f0000000480)=""/161, 0xa1}], 0x1}, 0x0) sendmsg$nl_route_sched(0xffffffffffffffff, &(0x7f00000002c0)={0x0, 0x0, &(0x7f0000000280)={&(0x7f0000000240)=@newqdisc={0x2c, 0x24, 0xf0b, 0x0, 0x0, {0x0, 0x0, 0x0, r2, {}, {0xffff, 0xffff}}, [@qdisc_kind_options=@q_atm={0x7}]}, 0x2c}}, 0x0) sendmsg$nl_route_sched(0xffffffffffffffff, &(0x7f0000000340)={0x0, 0x0, &(0x7f0000000180)={&(0x7f0000000380)=@newtfilter={0x4c, 0x2c, 0xd27, 0x0, 0x0, {0x0, 0x0, 0x0, r2, {}, {}, {0x4}}, [@filter_kind_options=@f_u32={{0x8}, {0x20, 0x2, [@TCA_U32_CLASSID={0x8}, @TCA_U32_SEL={0x14}]}}]}, 0x4c}}, 0x0) r3 = socket$nl_route(0x10, 0x3, 0x0) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(0xffffffffffffffff, 0x8933, &(0x7f0000000040)={'batadv_slave_1\x00', 0x0}) r5 = accept$packet(0xffffffffffffffff, &(0x7f0000000340)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @local}, &(0x7f0000000380)=0x14) r7 = socket$inet6_tcp(0xa, 0x1, 0x0) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r7, 0x8933, &(0x7f0000000080)={'batadv_slave_1\x00', 0x0}) ioctl$sock_inet6_SIOCADDRT(r7, 0x890b, &(0x7f00000002c0)={@mcast1={0xff, 0x5}, @private0, @private1={0xfc, 0x1, '\x00', 0x1}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x100043, r8}) r9 = socket$inet6_tcp(0xa, 0x1, 0x0) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r9, 0x8933, &(0x7f0000000080)={'batadv_slave_1\x00'}) sendmsg$nl_route(r3, &(0x7f00000000c0)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x4000000}, 0xc, &(0x7f0000000180)={&(0x7f00000008c0)=ANY=[@ANYBLOB="58010000550000082cbd7000fedbdf2507000000", @ANYRES32=0x0, @ANYBLOB="20000100", @ANYRES32=r5, @ANYRESDEC=r8, @ANYRES32=r6, @ANYBLOB="01030000ac14140d0000002000010000000000000000000000000000e5b83bfc6973b68f9ce3c2a4cc15c985d5137fa35da0c000d8465a4c763092220c90203635115bceca52435df03be544f8f5623ef4a1b2d4fedfe85aee0327fe45d4f0fd168c26effe253f876bc1375975a3674f99e9bff7f712d04b27a8dcadcbe6e196083146c6855651847b2385a2069523caab552de14521cc908d075ced9171f2bc831ec69ab1db09000416a94eed1ef77ef4703d59dd254efdd22cbbe596f03775cdb7c740c9c14196c16c0776c196f3df8a589e4f6e9d5717154c09f0b67072881a02eb337b32d33c12898d19e4c63774fd98a416944950e5de64dfb1b4c9325266bab17feb8dfb2b33b71610611fd31a8b8ff46dd9e8c391f71bf01238f726409654997bac7afa3bdf7fdd8a482fb1ff67c6fbf864072d577b3993222475f35e938fe2e911fa6cfff9089e99f6ab5943159c13d8f83536f06ffeaa9fa1f3215511c0f2d42ec20dc91f494dfa0407b8f56d1cc65cca6b4e40c24a", @ANYRES32, @ANYBLOB="01030300e00000010000000000000000000000000800000020000100", @ANYRES32=0x0, @ANYBLOB="59a171ab4e8da395ae1354743bce69bcbe5fe9cabf5834056c55e5f1416a91406bc4ab32ffcb159a6d68509ff9091bfe0224b71594b7aae81ce3b6fa92ab5c63b514898ac45c0f8cc94b", @ANYRES32, @ANYRES32=r5, @ANYRES32=r4, @ANYRES16, @ANYRES32=r8, @ANYBLOB="3b56c4a9e887b8722317a1e70020000100", @ANYRES16=r6, @ANYBLOB="00030200ac1414aa00000000000000000000000086dd000020000100", @ANYRES32=r4, @ANYRESHEX=r9, @ANYRESOCT, @ANYBLOB="00020300200100000000000000000000000186dd0000"], 0x158}, 0x1, 0x0, 0x0, 0x10}, 0x4040004) sendmsg$nl_route_sched(r0, &(0x7f0000000540)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x10}, 0xc, &(0x7f0000000400)={&(0x7f00000001c0)=@newtfilter={0x24, 0x2c, 0x400, 0x70bd2a, 0x25dfdbfc, {0x0, 0x0, 0x0, r4, {0xe, 0x2}, {0xa, 0xc}, {0xfff1, 0x3}}}, 0x24}}, 0x4008000) r10 = socket$netlink(0x10, 0x3, 0x0) sendmmsg(r10, &(0x7f00000002c0), 0x40000000000009f, 0x0) 03:14:22 executing program 0: socket$nl_route(0x10, 0x3, 0x0) openat$dlm_plock(0xffffffffffffff9c, &(0x7f0000000000), 0x200800, 0x0) r0 = socket(0x0, 0x800000003, 0x0) r1 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r1, &(0x7f00000000c0)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f00000002c0)=ANY=[@ANYBLOB="1400007c7100010000000000000000000000000a20000000000a03000000000000000000000000000900010073797ab00000000068000000090a010407000000000000000000000008000a40000000000900020073797a3101f800753a09871bc0845ace3a61e8c6000900010073797a300000000008000540000000022c00118008000100636d7000200002075894afd410cd800c00038005000100ef00f2f9b39ddb162e0b00000800024000000000140000001000010000000000000000000000000a0969830a21588b1537b343f3daefc99586d49c3177f900f27f89b7b3d194a4a33fce5d427f0ccd13ca15c461b0f84206ce7adb0fdab0b2b959b7cb84cf53f751452ce2353406653ffbbd821689cadaa9abd811adfe0f0b922a778231f77b0fe580800779d4e1714a5137b1410e0308677a1e69a50fee2da0431cf5efcb82360db15166b20b7ea286b25e2c6a59a1ce67f5a69193aaa356d6431c6db55bfa0f13ccbb6466a0dfcea16611770dbddffeb2"], 0xb0}}, 0x0) r2 = accept4$phonet_pipe(r0, &(0x7f0000000040), &(0x7f0000000080)=0x10, 0x0) ioctl$ifreq_SIOCGIFINDEX_team(r2, 0x8933, 0x0) r3 = socket$netlink(0x10, 0x3, 0x0) sendmsg$DEVLINK_CMD_PORT_SPLIT(r3, &(0x7f0000000600)={&(0x7f0000000540)={0x10, 0x0, 0x0, 0x44000080}, 0xc, &(0x7f00000005c0)={&(0x7f0000000580)={0x14, 0x0, 0x4, 0x70bd2b, 0x25dfdbfb}, 0x14}, 0x1, 0x0, 0x0, 0x22000040}, 0x20000040) r4 = socket(0x2, 0x1, 0x0) setsockopt$EBT_SO_SET_ENTRIES(r4, 0xa00000000000000, 0x80, &(0x7f00000000c0)=@broute={'broute\x00', 0x20, 0x1, 0x990, [0x0, 0x0, 0x0, 0x0, 0x0, 0x20000e00], 0x0, 0x0, &(0x7f00000018c0)=ANY=[@ANYBLOB="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"]}, 0xb28) sendmsg$nl_route_sched(0xffffffffffffffff, 0x0, 0x0) sendmsg$nl_route(0xffffffffffffffff, 0x0, 0x0) r5 = socket$inet_dccp(0x2, 0x6, 0x0) getsockopt$inet_int(r5, 0x10d, 0xf3, &(0x7f0000000080), &(0x7f0000000000)=0x4) ioctl$sock_inet_SIOCADDRT(r5, 0x890b, &(0x7f0000000240)={0x0, {0x2, 0x4e24, @remote}, {0x2, 0x4e20, @multicast2}, {0x2, 0x4e21, @local}, 0x2, 0x0, 0x0, 0x0, 0x1f, &(0x7f0000000200)='dummy0\x00', 0x5, 0xf935, 0x46c1}) openat(0xffffffffffffff9c, 0x0, 0x0, 0x0) openat$procfs(0xffffffffffffff9c, &(0x7f0000000140)='/proc/cpuinfo\x00', 0x0, 0x0) r6 = openat$proc_capi20ncci(0xffffffffffffff9c, &(0x7f0000000640), 0x80000, 0x0) sendmsg$IPCTNL_MSG_CT_NEW(r6, &(0x7f0000000500)={&(0x7f0000000180)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f00000004c0)={&(0x7f0000000440)={0x58, 0x0, 0x1, 0x203, 0x0, 0x0, {0x5, 0x0, 0x5}, [@CTA_ZONE={0x6, 0x12, 0x1, 0x0, 0x1}, @CTA_STATUS_MASK={0x8, 0x1a, 0x1, 0x0, 0x6}, @CTA_TUPLE_ORIG={0x28, 0x1, 0x0, 0x1, [@CTA_TUPLE_PROTO={0xfffffffffffffda2, 0x2, 0x0, 0x1, {0x5, 0x1, 0x21}}, @CTA_TUPLE_ZONE={0x6, 0x3, 0x1, 0x0, 0x2}, @CTA_TUPLE_ZONE={0x6}, @CTA_TUPLE_ZONE={0x6, 0x3, 0x1, 0x0, 0x1}]}, @CTA_LABELS_MASK={0xc, 0x17, [0x2, 0x9]}]}, 0x58}, 0x1, 0x0, 0x0, 0x80c4}, 0x4) [ 393.124356][ T8055] netlink: 528 bytes leftover after parsing attributes in process `syz-executor.4'. [ 393.252354][ T3553] usb 2-1: new high-speed USB device number 42 using dummy_hcd [ 393.322726][ T3559] usb 4-1: config 1 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 19, changing to 8 [ 393.334200][ T3559] usb 4-1: config 1 interface 1 altsetting 1 bulk endpoint 0x82 has invalid maxpacket 64 [ 393.344824][ T3559] usb 4-1: config 1 interface 1 altsetting 1 bulk endpoint 0x3 has invalid maxpacket 8 [ 393.352869][ T8060] netlink: 24 bytes leftover after parsing attributes in process `syz-executor.2'. [ 393.602601][ T3559] usb 4-1: New USB device found, idVendor=0525, idProduct=a4a1, bcdDevice= 0.40 [ 393.612235][ T3559] usb 4-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 393.620367][ T3559] usb 4-1: Product: 㬵떖⋓ꎄᒶ䖠⧍杰纹ᗞ㱡寰♕冺焩宮鱂掙᜙倩ꃩ㚠╦벴팈論义ﶢ㛐팣ᜭᐰ紞姜ዛ⽙鸴䝁ᤊ럊ڮ戅烹矚᪡랒爪 [ 393.637883][ T3559] usb 4-1: Manufacturer: ᡋ [ 393.642438][ T3553] usb 2-1: config 0 has an invalid interface number: 190 but max is 1 [ 393.642679][ T3559] usb 4-1: SerialNumber: ꫾ࣲꀧ揃១坍閴핆븮謝힙ᓷ犌嗖ྠﲰ䙈䶚薳ꡘ␬㜗炇⓭塂钛쏸譺䆡宣ꑡ쏝댣㈀섋⿜硘ᗾ慫桩ⶮ戱遜횺芔ᣭ敄䂶䋋헤岋Ṗ壸⃪牀庡谷퐒陑퍲㋣鶘嶀䲗 ᛭撥뷲稝털旽룴㼰傢댱催㻇盹뻀ꌸ뀫ꭩ䉷 [ 393.650772][ T3553] usb 2-1: config 0 has no interface number 1 [ 393.687824][ T3553] usb 2-1: config 0 interface 190 altsetting 121 endpoint 0x84 has an invalid bInterval 27, changing to 8 [ 393.699378][ T3553] usb 2-1: config 0 interface 190 altsetting 121 endpoint 0x84 has invalid maxpacket 58931, setting to 1024 [ 393.711137][ T3553] usb 2-1: config 0 interface 190 altsetting 121 has an invalid endpoint with address 0xA5, skipping [ 393.722217][ T3553] usb 2-1: config 0 interface 190 altsetting 121 has 2 endpoint descriptors, different from the interface descriptor's value: 21 [ 393.735828][ T3553] usb 2-1: config 0 interface 190 has no altsetting 0 [ 393.742822][ T3553] usb 2-1: config 0 interface 0 has no altsetting 0 [ 393.932371][ T3553] usb 2-1: New USB device found, idVendor=06e1, idProduct=f332, bcdDevice=80.f6 [ 393.941612][ T3553] usb 2-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 393.949998][ T3553] usb 2-1: Product: syz [ 393.954480][ T3553] usb 2-1: Manufacturer: syz [ 393.959197][ T3553] usb 2-1: SerialNumber: syz [ 393.969182][ T3553] usb 2-1: config 0 descriptor?? [ 393.992863][ T8039] raw-gadget gadget: fail, usb_ep_enable returned -22 [ 394.202303][ T3559] cdc_ncm 4-1:1.0: bind() failure [ 394.216113][ T3559] cdc_ncm 4-1:1.1: CDC Union missing and no IAD found [ 394.223160][ T3559] cdc_ncm 4-1:1.1: bind() failure [ 394.252614][ T3553] tm6000: alt 0, interface 190, class 248 [ 394.257163][ T3559] usb 4-1: USB disconnect, device number 45 [ 394.258445][ T3553] tm6000: New video device @ 480 Mbps (06e1:f332, ifnum 190) [ 394.272335][ T3553] tm6000: probing error: no IN ISOC endpoint! [ 394.279303][ T3553] tm6000: Error -19 while registering [ 394.343334][ T3553] tm6000: New video device @ 480 Mbps (06e1:f332, ifnum 0) [ 394.350744][ T3553] tm6000: probing error: no IN ISOC endpoint! [ 394.357175][ T3553] tm6000: Error -19 while registering 03:14:24 executing program 1: r0 = syz_usb_connect$printer(0x0, 0x36, &(0x7f0000000300)=ANY=[@ANYBLOB="1201000009000008250592d2250000000101090224fffffa0074980904e4ff11070103000905010200ffe000000905820224"], 0x0) syz_usb_control_io$printer(r0, 0x0, &(0x7f0000000180)={0x34, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000040)={0x20, 0x0, 0x1}}) r1 = socket$inet6_sctp(0xa, 0x801, 0x84) connect$inet6(r1, &(0x7f00000000c0)={0xa, 0x0, 0x0, @local, 0x6}, 0x1c) syz_open_dev$char_usb(0xc, 0xb4, 0x0) syz_usb_disconnect(r0) r2 = syz_usb_connect(0x0, 0x24, &(0x7f0000000340)=ANY=[], 0x0) r3 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000200), 0x0, 0x0) r4 = ioctl$KVM_CREATE_VM(r3, 0xae01, 0x0) r5 = socket(0x10, 0x8000000000000003, 0x0) dup2(r5, r4) setsockopt$inet_sctp6_SCTP_RECVRCVINFO(r5, 0x84, 0x20, &(0x7f0000000000)=0x8000, 0x4) r6 = socket$inet_icmp_raw(0x2, 0x3, 0x1) setsockopt$IPT_SO_SET_REPLACE(r6, 0x4000000000000, 0x40, &(0x7f00000004c0)=@raw={'raw\x00', 0x4001, 0x3, 0x548, 0x420, 0xb, 0x148, 0x420, 0x148, 0x4b0, 0x240, 0x240, 0x4b0, 0x215, 0x3, 0x0, {[{{@ip={@local, @local, 0x0, 0x0, 'ip6gretap0\x00', 'veth0\x00'}, 0x0, 0x3f8, 0x420, 0x0, {}, [@common=@inet=@hashlimit3={{0x158}, {'ipvlan1\x00', {0x0, 0x20, 0x0, 0x0, 0x0, 0xffff, 0xffffff81}}}, @common=@unspec=@bpf1={{0x230, 'bpf\x00', 0x0}, @pinned={0x1, 0x0, 0x6, './file0\x00'}}]}, @common=@unspec=@NFQUEUE1={0x28}}, {{@uncond, 0xec010000, 0x70, 0x90}, @unspec=@NOTRACK={0x20}}], {{'\x00', 0x0, 0x70, 0x98}, {0x28}}}}, 0x5a8) syz_usb_control_io$hid(r2, 0x0, 0x0) 03:14:24 executing program 4: r0 = syz_usb_connect$hid(0x0, 0x36, &(0x7f0000000040)={{0x12, 0x1, 0x0, 0x0, 0x0, 0x0, 0x40, 0x5543, 0x81, 0x0, 0x0, 0x0, 0x0, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x1, 0x3, 0x0, 0x0, 0x0, {0x9, 0x21, 0x0, 0x0, 0x1, {0x22, 0x9}}}}]}}]}}, 0x0) syz_usb_control_io$hid(r0, &(0x7f0000000240)={0x24, 0x0, 0x0, &(0x7f0000000080)=ANY=[@ANYRESDEC=r0, @ANYRES32=r0, @ANYRESDEC=r0], 0x0}, 0x0) syz_usb_control_io$hid(r0, &(0x7f00000004c0)={0x24, 0x0, &(0x7f0000000000)={0x0, 0x3, 0x4, @lang_id={0x4}}, 0x0, 0x0}, 0x0) syz_usb_control_io$hid(r0, &(0x7f0000000280)={0x24, 0x0, &(0x7f0000000340)={0x0, 0x3, 0x4, @string={0x4, 0x3, '_e'}}, 0x0, 0x0}, 0x0) 03:14:24 executing program 5: socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000180)={0xffffffffffffffff, 0xffffffffffffffff}) epoll_ctl$EPOLL_CTL_MOD(0xffffffffffffffff, 0x3, 0xffffffffffffffff, &(0x7f0000000040)) (async) r2 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) r3 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r3, 0x1, r2, &(0x7f0000002280)) (async, rerun: 32) epoll_ctl$EPOLL_CTL_MOD(r3, 0x3, r2, &(0x7f0000000040)) (rerun: 32) r4 = openat$cgroup_ro(0xffffffffffffffff, &(0x7f0000004480)='pids.current\x00', 0x0, 0x0) ioctl$AUTOFS_DEV_IOCTL_SETPIPEFD(0xffffffffffffffff, 0xc0189378, &(0x7f0000000600)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32=r1, @ANYRES32=r1, @ANYBLOB="000000d7dc8776ea38002e2f66696c65300000000000"]) (async, rerun: 32) r6 = socket$nl_route(0x10, 0x3, 0x0) (async, rerun: 32) r7 = openat$dir(0xffffffffffffff9c, &(0x7f0000004500)='./file0\x00', 0x280900, 0xe2) (async) r8 = syz_open_dev$audion(&(0x7f0000004540), 0xffffffff, 0x100) (async) r9 = getpgid(0xffffffffffffffff) (async) r10 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) r11 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r11, 0x1, r10, &(0x7f0000002280)) (async) epoll_ctl$EPOLL_CTL_MOD(r11, 0x3, r10, &(0x7f0000000040)) r12 = openat$udambuf(0xffffffffffffff9c, &(0x7f0000004580), 0x2) sendmmsg$unix(r0, &(0x7f0000004700)=[{{&(0x7f0000000040)=@abs={0x0, 0x0, 0x4e22}, 0x6e, &(0x7f0000000580)=[{&(0x7f00000001c0)="2c0006c7ef138e23471caebe726ac38ff2d7ccfb6b57733cd27d40610414c768094959bf5644204bb7ac8d89066e0734dd9d3469fb9e42033c542f694050f3b70dfc60fced3c10a2757b15c0d9931581d869f9eeff179738b948e7c8f36cd52144e1706ea6e3058ee417dd51ca190516f00249cb6ab4fa52c0f414377a6c6e93998ca8e1edfe20bb3a25ac4198e072e3dcca0c1ac0a2dc7a3f20ff07031febfd73ec99f63b917e0185cb15f04134f4a1202e8eaa5e553f510c6db047e3a65d220b416a48e3b3734c25e7a130530d14f0839559fbf4695e0e6b6ff5509d97a05923a563188cb30fc37246c2ea0270e45c8f", 0xf1}, {&(0x7f00000000c0)="f7d8f04552c721079561f2c080f33daf6c884035baa036fd0bef4faea362519faea9bf3335d1387161e4d56f5e72fa276549ebea3c4b183197072bc139d5d8d17b096d20c19c0666bebe0b7a18cdb029203c2002292e4f261fc0d3e05b9c8ddee08694e012b48539a160cac5a96b25c7d1ae5665843da5e473c99222db20e126e01d47d423933926f31a169bfdb59f2ead6f583f681f55bb12197cf6beb8ba6f790b07ff535b1bef4a1973dab3e65de726e3caa36704d2a55409d8ab1277febc", 0xc0}, {&(0x7f00000002c0)="02a3a7d11a58316d707e097dc9ebde8b09e71b07486c39a9", 0x18}, {&(0x7f0000000300)="7f97adf6b7d77e457c26a7211f68598b6431d1230236d2c820a5d4749ee3314e665ece29a803136898a09e88e8396b793e9445e13bb18ee487d481375d85704f28bfa4276fe06c2cf3a086d95cb2f47e1fa566", 0x53}, {&(0x7f0000000380)="8baec1317edb670eaed5ed0d938d13bb518d83b1c9eb3e777916b2d7c27d3daa2f29affc165b0b793a1d9fbd4035c7ae0adb5d2c0495b1ffe161637cf26eaadcfdc172f8a364efbd9c7567911e45ea29e3c59ea9f83b319c6b34e0386a0069ff80b11d3f94fb4ff438321e9accf07394949788950b06d189f21eb4a7ab8aae7d7b681bf583609a4b43acd55dfebd1c84ec4eccc6122a2a1c8c83e5a5a02206fc1981f89c335d475e72c8d4e77de6314cfdfc", 0xb2}, {&(0x7f0000000440)="dbcd43f3e4346d96cdb2f2fc2b8614071a04fc3366a625d3ad9d4157d2cf23c4bf7111d0ca44a2ef4d2b2ece2958dad4932a0868f9249de98432481e3e2c6a7adf5a68c6db980c72177394c80d5c47ae9acae0474e7a130ec48a152281fe5ec91e358ab312ed79c0a350850113788522ae48cedad1d6a9afb3c290725031445d081c8b6c78cc0c45806a824f40d9691c5444556232001125b2a2dfad3532d4dd79d44e30979cccda0a302774fbf636b023fc6a72", 0xb4}, {&(0x7f0000000500)="41d7212a9c8717dbfea07667c1e95c3fd6ecf39cc3e3bea856dee72c2680fd942d3f6bc13100a2bd554e2544b76974a70c5523a1c19132408fa54c063d6da9c5c2e8f62a9053a7ecb64af8451411f78256d6259a6b52b7659c31d2", 0x5b}], 0x7, &(0x7f0000000640)=[@rights={{0x14, 0x1, 0x1, [0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0x0, 0xffffffffffffffff}}}], 0x38, 0x4000000}}, {{&(0x7f0000000680)=@file={0x0, './file0\x00'}, 0x6e, &(0x7f00000009c0)=[{&(0x7f0000000700)="df4942b0b141481b1af00691e2af80d8251563b5a4158be57342cf034841f34ae10dafc10a8ed175451c8440e970010148a7b308db21df71bad3ac651b43036890fe01e6fc61160c8fe22afc8fa192ca826a129ac34c3d818ed682d6a67163f3b550e663a8aa1c3ffe7ebf6cac53854551f36a1c", 0x74}, {&(0x7f0000000780)="bdd460fffd005f682ad6b4ad81d2c72f6316d7376a134e8edbe94b9851895e29b521e9f54d39f0eb03f12d355107ddee2146e5c56b292a6e32bf9958395f65686e4f17a3e5c5e4e20d46854d4315fc1dd0c02cc939592f56df693edcad1127fb805af83678ceed8b674e7617ddca046d9ba63ecdd9c7c324d59d274c709ed7d6be3e486b3356e6847fe8fc509e6ece146c15472903c90d8de3d96bde0015fcdb093ab2fe4be175370b694d6692ef27b1886a66e9dda7597c19489563ac03b2e33992b3ad6c26933a29406423f19e2a99be8590c9ab6130291dbcc92acb4d003a6dca3fe07852e0b1", 0xe8}, {&(0x7f0000000880)="c07e2c", 0x3}, {&(0x7f00000008c0)="55ac0fe3596bdff59f95e369351b09b0b539b59eadafebcc474666b789ad31acab7a4b5a917058ae4931fd087234857ac7a30557f7d9574d34e69394222d8408cbe2e5079fe40e94a49aedbbd9e218feb08c97fac49d66a187ca5c4ca2fb05329ea33a3669134d35b7fc59c1f370ab3e5a48287c994494", 0x77}, {&(0x7f0000000940)="cb14e541450d56e6b4021b29e9a225211f88a318fc54e27302c63602a905d0fcd3926d75de8c66175feeec0c73b572f119572c7c37b82fbb59d6137e445110fd85163d40ba02b74ac733b583920faeddd3984e3159fb8f2d310007ee68baef4c6dedd2f4f0da3a5d153ecda62544e3a2f3a9f8", 0x73}], 0x5, &(0x7f0000000b80)=[@rights={{0x28, 0x1, 0x1, [0xffffffffffffffff, r0, r1, 0xffffffffffffffff, r0, r0]}}, @cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff, 0xee01}}}, @cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff, 0xffffffffffffffff}}}], 0x68, 0x4044000}}, {{&(0x7f0000000c00)=@abs={0x0, 0x0, 0x4e22}, 0x6e, &(0x7f0000000e00)=[{&(0x7f0000000c80)="4e9b499c6aa7fdf0d61ba03ebf7031316f6eb8f377bfab986ebbd70ca9b0b31b7694df7c02b32a1e84e4c00306683925eb0d66ac91aa1064a94a332987a45780c4f05f65f8ef0b8987eb8fa2fd55530ec281a496a6694425b4250298a6e4722faa462b162a7efee342e8557fe979a6e11bbdcddf01cc2832aa562448e3eeebdae3029a2b3b91fcf001034e5aa1de5004e6c3111eba4c88466de43ee155167b6dc845856d9a2ec7363e9b8127224ea20a0b6d42c233ac96ebfe60990f7eaba716fbecd8c72ebb33a1d0365431d91d183b85a140ba684024", 0xd7}, {&(0x7f0000000d80)="2e6d935ad5853ea68c94adc69bb2ca9c84fe71a909ee6d1fd0be6478b50b28aa34d512f5960af8855089effcde690ec62f1410ab7b1d0d5625d2700ff5c0529156ed82aa", 0x44}], 0x2, 0x0, 0x0, 0x4008000}}, {{&(0x7f0000000e40)=@abs={0x1, 0x0, 0x4e23}, 0x6e, &(0x7f0000003300)=[{&(0x7f0000000ec0)="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", 0x1000}, {&(0x7f0000001ec0)="0c2291fd547b32ee672bb37dadb6439bb13f57b518f80e7fa59eaafa461f1bcfbb908a734355c4a7591127eeaafb5707a7c3620e9af3375a2af2586625d8b0125df4713db3", 0x45}, {&(0x7f0000001f40)="a7b2e3beb73f2f754336b5757b518048c69f605f7b3fbe48d58cf5164bc2ec9c457fcc53afe54704c1e3cea26f7a7f9dbd54fcae8f82a426b666e96ac32a2a5c768e1a5cc83c31de9f8a9d3545e9d279b476c0b08d52867954ce5d46c0c4dadc69b03c55be788cecb8307ba75cc24fdf2dd978283618", 0x76}, {&(0x7f0000001fc0)="ad6e638efd51c999a1b7dfb3f4b37e8b128c43d305f20b3ef16d708efc33d53e2d96ecaf6a6606225b6acb499fe54e5be23465b97f7baace5c744af39c3356033c75482f71065832444d2e9a28ddab96dd8476761fbe135ce9bb9f68f4d8356441933052a7f53d0730c6c6af2551d03d54b8cd4514f2e6e18a4f19923b86202604c2b103cb802308d58ee812590d6837b2d55b1106c5491a6d8f006a053f1c606c", 0xa1}, {&(0x7f0000002080)="5a0577e8fdb989faecf64627d7ff6521c68ee23170b230f70275237a1413e2ab30a6c178f297094ddfa4c88be1c3773dff3a99aaa7378fb411c4b4aa45769da6cd5b562ddbdcc4bacd01933bf7f6363b42c33c144e4552a7e779d4547be58b8d7d37e0f862dd4e000e01e289ba87b31921c8685d2a56921fa5734b203ff208e65c3832aa288fd4dabf3bd7f7d294a467d1b9e42264e067119485616496e8ff5a11e0da4cb400f267ffa2f2e2dabaeb24626b289907b84ee840770c5501ecac8433204a4b9efbd55dbbb45d048079e5918daa795af997ec7e38398e4df038908c33130016ddf0e55500ef53", 0xeb}, {&(0x7f0000002180)="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", 0x1000}, {&(0x7f0000003180)="34b6c4964479a9d78e3ad3582261f7d98b5191e80aa08becd1996281e8876a43228cf414b5410f919b0d156d495fec22cf17c1fcaf62698f6715c4b5065817f61548ddc083e301f38a346c04f9c5001c4941ad8e2cbc89e136c8fecb009fcce77cb319ddc98be527e4a5ca144fbb585b4e247536e3c491594e747a48c2102c74d238422667905c09c2413ed72454362548f6f4a59915dacd757d95afb931d88080", 0xa1}, {&(0x7f0000003240)="ca1e10672ab8fb5906c4d869e2e994cfec80c101ada2b35680862fccc30855de4813774f6dcdb0a762be067e5ee74296e3b5043742fe990a92eb3fb8bd81e6804cefceeaa4c7c568e197b1c535b7737e91f306f7d6d2a41bcc5af988219a86a5a53a92b399418865f4f8c7023e5231e4299547439cec7fa18a3352685e5153e17a8f2d711b8b92a59e30c4858682a05ba2", 0x91}], 0x8, 0x0, 0x0, 0x40}}, {{&(0x7f0000003380)=@abs={0x1, 0x0, 0x4e23}, 0x6e, &(0x7f0000003ac0)=[{&(0x7f0000003400)="7723d94937243d8104c0992e7ed12fb59cb61ef9fa7200edff1256a8ac3b70936e3097a77c6c7d98a8c771560f1325c961744a821491c398e83d7abfcd4354b981eb9811e57de466a3b854e7b0498eee13d320ed367fcc443b55833639375248b69d209f9347268e1eb8997c6c296cef1d81aebaa39c59e5123353d98c1e544e3dea31f72fa9b6f736fbd032e595d354c9e1869608475e8908179c3cd82bb04ee5b5b35dc096253b530652d94713811dd8d00564b8026c4a2f4499ec0468eeea8884c836811aff5dc2364bcf6764a204145906f1d83243f7e31b6957c5d6f33839424ca8e32927ebf6d84f5585a67226212d5ce4", 0xf4}, {&(0x7f0000003500)="7ef7c2162d43aa", 0x7}, {&(0x7f0000003540)="86a61604e3a6c35649c4312bb2bfe5b80e6853f20fb277545de3fb25585d804684c1bf84e6449a4f80fadd12f05efd8883e5d9b60e088bad1510d04331e33c8a5e23aceca3f8d85d9d43d2d6c4599d97416f7b12d80718d2a7b11ae7cc92cf9ca200dc7617b694420656230321b305629366ac84bb2ccb58320755d4c77791645464734cfcebcd5f5af6630501f18d906451c253396bf7350e1f24680bddc9496e7b9b71d6bddc1eb7d1ce1458ac5ceb7680d16d28c1dd36ac0d17b1b0f66bb1a7bc8526baaf0ffd86c5201bd12cf73f67ccdbadd087cd0d6a980a", 0xdb}, {&(0x7f0000003640)="8947ab3dbbf510754290eead54967d94751eb98f3c77ceceefb15fb9e7064adb2a999fbf4fea8db4da23138f7127cccc32ed812ce1a9b87ce74cbc3dbdf82df111169d38fd9a36ac9ff7f6e8174e8db6afd427fe50ecf601cf2cf41f2ba29776e09de326d41bf695d9a1ee2af43fd0a32fecc1c84254de278dac16cc545c05b8d0c0708aa6a0505a0bd96cd530eb17812e85bb9bfb4e7705b5a799af035f73b42236f93ae3180253d492ca891668fa90d905afd679041095d30bd91ac4a759ba7279", 0xc2}, {&(0x7f0000003740)="3f379f0eaf8af5cf6ef6faf19d695cb11b3d1af832a2ee0b0566a162fa0c16131894b6e095cf2979401e9f14ec60626021809fc143505f", 0x37}, {&(0x7f0000003780)="206ae1715efc8564c8bec8de9c7da0a83a8b6bcd41450354997d592d2a858047cc792ad21750d29c0921f5033901fa8868b4fa9f04785157d7e41ebcff94d916b755b6b5b8adfca8153f1e988ba5219b32066b1c8e1130de2d8fbb604daded41c6f0f221d0e27a14e1f8a87c4aa5d1", 0x6f}, {&(0x7f0000003800)="0ef9c8bbb123758c9bb71b6d9fd7377fb593d8cd25fba27dd67047f7071cea71df28c47db552c7af69bd68fb884998c7b3467b1fe193115436a277c1f3bd703da51bd2f1f1218d01eaa39acc484c371b37295cc21cfde8f3714fabf08800f651b4e8a48810475072b661a4dd9b00c596949e46d2c9d1d25dff88c5f12cb86c04f560b89bcf445f0f139d25fd41b8af046851d3ae5d0d4dfe", 0x98}, {&(0x7f00000038c0)="645330fdb0ce3333b135f05bfecde80304887e7283fae669b21bc131b8352afb3ede2ca4b7f0aba38578947a3fcd021bebb1f0f31a5bb6242a320167d56ae4dc1b65060ef7bc8391667d847523a9b6a3618be42579b1a8d88421a620be7e68b5e42b81b6e5aa815d47b048039358616351f0ad3f5737cd49b34866fd98a02a29433a6b092400e1748286a2e3ee39142d4025f82d000e17265eb362f2bfa551d4b6893ff86cefb2976716e3623e4955cd19596a9d", 0xb4}, {&(0x7f0000003980)="f0ede886fa2eef1497da9deb7b23dc006cb1a1438a95093da308f541d1b7c23e8f45d96a5329de8c9190f41d2f4b522f999549ce867af6ae8b2565e1dba2fd3044d19d2319f2d99cb38ef7d291b5b33ecad8bef2e159d836fe5cbe0ea376d9446a73e9d4ff0b88c2b871fb827010ed569884f035ba635a243501a4808f9ec306bebb192e74100ff67c5b095105e07abe1646aad1c3ab26ca361b8b8670ef691b7f16ca45423e024533430ac56f9047f3eddcd9bb2479eca8ee352cf7fbe1b7c2d230e4b969f99691625eda", 0xcb}, {&(0x7f0000003a80)="f63c459a0a204a1c54a0bf0259f2c1c0dab98f702a9ce20186c5b94fdc57fe991d9d28573ce1f2ad1ff3fec2f75030ea8dc094127bf8dc0abb", 0x39}], 0xa, &(0x7f0000003d40)=[@rights={{0x20, 0x1, 0x1, [r1, 0xffffffffffffffff, r0, 0xffffffffffffffff]}}, @rights={{0x2c, 0x1, 0x1, [0xffffffffffffffff, r0, r0, 0xffffffffffffffff, 0xffffffffffffffff, r1, r1]}}, @rights={{0x2c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, r0]}}, @cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff}}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0x0, 0xee01}}}], 0xc0, 0x80}}, {{&(0x7f0000003e00)=@file={0x0, './file0\x00'}, 0x6e, &(0x7f0000004080)=[{&(0x7f0000003e80)="8b522ff9f428ec541030237801681debfe1fa3830afa9348d8877bbd670a2fd7f76e60138373c893e590752945c4c87321178f2c3c61d19b82511679dc5c983081b0a96cfb338954f9b586f0839a009033310507ce7c57e6b122c75b9169d6d9c15bdfbde148b420e769475b03fdab8e4e14", 0x72}, {&(0x7f0000003f00)="095f83b8b44800499f594d9f529b6468e7b03d90f3c5dfdcd2341e4840ae3c31ce6d848815aac1b60805b53b787b3b1e2eee9ae4d82b48a1ea34c64a24e9a6dfdf52579403630c02d657dc4f713ad9d94a62c2ec588bcf9f4e5d1066c660734f4918737bc7f944f487f190565ae5ce1b13f16b702c8b084c85938a5301", 0x7d}, {&(0x7f0000003f80)="fc176fea3177a3816b059e8bbbf5c00268669222f276c07d8bb766ac8a62120c43866b382c6897695fca047c18cad7e90a8b61ec6e22b283fb3bcd65a12cb9bbd178a194911becf7cea7b8fd418a6511a01eca50c9efb39f6673f0c0d7e94f72f347c22680c4aef205d0336fa1c5cdbc866cb995adc59cec7b955cde5ae897ec039545ed94c044b540fe0293865f3fb31ff8316dd2439a3c5deb96b1fa03bca308765017a5bd7d4378a199405b765b354d54a1f9d7f22ae3d6ee934d2f8addc191090f38d2c8916d33922f9944", 0xcd}], 0x3, &(0x7f00000045c0)=[@cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff}}}, @rights={{0x30, 0x1, 0x1, [0xffffffffffffffff, r1, 0xffffffffffffffff, r1, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @rights={{0x24, 0x1, 0x1, [0xffffffffffffffff, r0, r0, 0xffffffffffffffff, r0]}}, @rights={{0x34, 0x1, 0x1, [r0, r0, r2, r0, r4, r5, r0, r0, r0]}}, @rights={{0x20, 0x1, 0x1, [r6, r7, r1, r8]}}, @cred={{0x1c, 0x1, 0x2, {r9, 0xee00, 0xffffffffffffffff}}}, @rights={{0x18, 0x1, 0x1, [r10, r12]}}], 0x108, 0x400c0}}], 0x6, 0x0) (async) setsockopt$SO_ATTACH_FILTER(r1, 0x1, 0x1a, &(0x7f0000ab9ff0)={0x3, &(0x7f0000000000)=[{0x20, 0x0, 0x0, 0xfffff010}, {0x35, 0x0, 0x0, 0xf65d}, {0x6}]}, 0x10) writev(r0, &(0x7f0000007a40)=[{&(0x7f0000007840)="0400ff37", 0x4}], 0x1) 03:14:24 executing program 2: r0 = socket$netlink(0x10, 0x3, 0x0) r1 = socket(0x10, 0x803, 0x0) sendmsg$nl_route_sched(r1, &(0x7f0000000a00)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000880)=@deltfilter={0x24}, 0x24}}, 0x0) getsockname$packet(r1, &(0x7f0000000200)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000440)=0x14) sendmsg$nl_route(r0, &(0x7f0000000040)={0x0, 0x0, &(0x7f0000000000)={&(0x7f0000000080)=ANY=[@ANYBLOB="48000000100005070000004e75ec7492112c0000", @ANYRES32=r2, @ANYBLOB="0000000000000000280012000900010076657468"], 0x48}}, 0x0) recvmsg(0xffffffffffffffff, &(0x7f0000000300)={0x0, 0x0, &(0x7f0000000140)=[{&(0x7f0000000480)=""/161, 0xa1}], 0x1}, 0x0) sendmsg$nl_route_sched(0xffffffffffffffff, &(0x7f00000002c0)={0x0, 0x0, &(0x7f0000000280)={&(0x7f0000000240)=@newqdisc={0x2c, 0x24, 0xf0b, 0x0, 0x0, {0x0, 0x0, 0x0, r2, {}, {0xffff, 0xffff}}, [@qdisc_kind_options=@q_atm={0x7}]}, 0x2c}}, 0x0) sendmsg$nl_route_sched(0xffffffffffffffff, &(0x7f0000000340)={0x0, 0x0, &(0x7f0000000180)={&(0x7f0000000380)=@newtfilter={0x4c, 0x2c, 0xd27, 0x0, 0x0, {0x0, 0x0, 0x0, r2, {}, {}, {0x4}}, [@filter_kind_options=@f_u32={{0x8}, {0x20, 0x2, [@TCA_U32_CLASSID={0x8}, @TCA_U32_SEL={0x14}]}}]}, 0x4c}}, 0x0) r3 = socket$nl_route(0x10, 0x3, 0x0) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(0xffffffffffffffff, 0x8933, &(0x7f0000000040)={'batadv_slave_1\x00', 0x0}) r5 = accept$packet(0xffffffffffffffff, &(0x7f0000000340)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @local}, &(0x7f0000000380)=0x14) r7 = socket$inet6_tcp(0xa, 0x1, 0x0) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r7, 0x8933, &(0x7f0000000080)={'batadv_slave_1\x00', 0x0}) ioctl$sock_inet6_SIOCADDRT(r7, 0x890b, &(0x7f00000002c0)={@mcast1={0xff, 0x5}, @private0, @private1={0xfc, 0x1, '\x00', 0x1}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x100043, r8}) r9 = socket$inet6_tcp(0xa, 0x1, 0x0) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r9, 0x8933, &(0x7f0000000080)={'batadv_slave_1\x00'}) sendmsg$nl_route(r3, &(0x7f00000000c0)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x4000000}, 0xc, &(0x7f0000000180)={&(0x7f00000008c0)=ANY=[@ANYBLOB="58010000550000082cbd7000fedbdf2507000000", @ANYRES32=0x0, @ANYBLOB="20000100", @ANYRES32=r5, @ANYRESDEC=r8, @ANYRES32=r6, @ANYBLOB="01030000ac14140d0000002000010000000000000000000000000000e5b83bfc6973b68f9ce3c2a4cc15c985d5137fa35da0c000d8465a4c763092220c90203635115bceca52435df03be544f8f5623ef4a1b2d4fedfe85aee0327fe45d4f0fd168c26effe253f876bc1375975a3674f99e9bff7f712d04b27a8dcadcbe6e196083146c6855651847b2385a2069523caab552de14521cc908d075ced9171f2bc831ec69ab1db09000416a94eed1ef77ef4703d59dd254efdd22cbbe596f03775cdb7c740c9c14196c16c0776c196f3df8a589e4f6e9d5717154c09f0b67072881a02eb337b32d33c12898d19e4c63774fd98a416944950e5de64dfb1b4c9325266bab17feb8dfb2b33b71610611fd31a8b8ff46dd9e8c391f71bf01238f726409654997bac7afa3bdf7fdd8a482fb1ff67c6fbf864072d577b3993222475f35e938fe2e911fa6cfff9089e99f6ab5943159c13d8f83536f06ffeaa9fa1f3215511c0f2d42ec20dc91f494dfa0407b8f56d1cc65cca6b4e40c24a", @ANYRES32, @ANYBLOB="01030300e00000010000000000000000000000000800000020000100", @ANYRES32=0x0, @ANYBLOB="59a171ab4e8da395ae1354743bce69bcbe5fe9cabf5834056c55e5f1416a91406bc4ab32ffcb159a6d68509ff9091bfe0224b71594b7aae81ce3b6fa92ab5c63b514898ac45c0f8cc94b", @ANYRES32, @ANYRES32=r5, @ANYRES32=r4, @ANYRES16, @ANYRES32=r8, @ANYBLOB="3b56c4a9e887b8722317a1e70020000100", @ANYRES16=r6, @ANYBLOB="00030200ac1414aa00000000000000000000000086dd000020000100", @ANYRES32=r4, @ANYRESHEX=r9, @ANYRESOCT, @ANYBLOB="00020300200100000000000000000000000186dd0000"], 0x158}, 0x1, 0x0, 0x0, 0x10}, 0x4040004) sendmsg$nl_route_sched(r0, &(0x7f0000000540)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x10}, 0xc, &(0x7f0000000400)={&(0x7f00000001c0)=@newtfilter={0x24, 0x2c, 0x400, 0x70bd2a, 0x25dfdbfc, {0x0, 0x0, 0x0, r4, {0xe, 0x2}, {0xa, 0xc}, {0xfff1, 0x3}}}, 0x24}}, 0x4008000) r10 = socket$netlink(0x10, 0x3, 0x0) sendmmsg(r10, &(0x7f00000002c0), 0x40000000000009f, 0x0) socket$netlink(0x10, 0x3, 0x0) (async) socket(0x10, 0x803, 0x0) (async) sendmsg$nl_route_sched(r1, &(0x7f0000000a00)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000880)=@deltfilter={0x24}, 0x24}}, 0x0) (async) getsockname$packet(r1, &(0x7f0000000200)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000440)=0x14) (async) sendmsg$nl_route(r0, &(0x7f0000000040)={0x0, 0x0, &(0x7f0000000000)={&(0x7f0000000080)=ANY=[@ANYBLOB="48000000100005070000004e75ec7492112c0000", @ANYRES32=r2, @ANYBLOB="0000000000000000280012000900010076657468"], 0x48}}, 0x0) (async) recvmsg(0xffffffffffffffff, &(0x7f0000000300)={0x0, 0x0, &(0x7f0000000140)=[{&(0x7f0000000480)=""/161, 0xa1}], 0x1}, 0x0) (async) sendmsg$nl_route_sched(0xffffffffffffffff, &(0x7f00000002c0)={0x0, 0x0, &(0x7f0000000280)={&(0x7f0000000240)=@newqdisc={0x2c, 0x24, 0xf0b, 0x0, 0x0, {0x0, 0x0, 0x0, r2, {}, {0xffff, 0xffff}}, [@qdisc_kind_options=@q_atm={0x7}]}, 0x2c}}, 0x0) (async) sendmsg$nl_route_sched(0xffffffffffffffff, &(0x7f0000000340)={0x0, 0x0, &(0x7f0000000180)={&(0x7f0000000380)=@newtfilter={0x4c, 0x2c, 0xd27, 0x0, 0x0, {0x0, 0x0, 0x0, r2, {}, {}, {0x4}}, [@filter_kind_options=@f_u32={{0x8}, {0x20, 0x2, [@TCA_U32_CLASSID={0x8}, @TCA_U32_SEL={0x14}]}}]}, 0x4c}}, 0x0) (async) socket$nl_route(0x10, 0x3, 0x0) (async) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(0xffffffffffffffff, 0x8933, &(0x7f0000000040)={'batadv_slave_1\x00'}) (async) accept$packet(0xffffffffffffffff, &(0x7f0000000340)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @local}, &(0x7f0000000380)=0x14) (async) socket$inet6_tcp(0xa, 0x1, 0x0) (async) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r7, 0x8933, &(0x7f0000000080)={'batadv_slave_1\x00'}) (async) ioctl$sock_inet6_SIOCADDRT(r7, 0x890b, &(0x7f00000002c0)={@mcast1={0xff, 0x5}, @private0, @private1={0xfc, 0x1, '\x00', 0x1}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x100043, r8}) (async) socket$inet6_tcp(0xa, 0x1, 0x0) (async) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r9, 0x8933, &(0x7f0000000080)={'batadv_slave_1\x00'}) (async) sendmsg$nl_route(r3, &(0x7f00000000c0)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x4000000}, 0xc, &(0x7f0000000180)={&(0x7f00000008c0)=ANY=[@ANYBLOB="58010000550000082cbd7000fedbdf2507000000", @ANYRES32=0x0, @ANYBLOB="20000100", @ANYRES32=r5, @ANYRESDEC=r8, @ANYRES32=r6, @ANYBLOB="01030000ac14140d0000002000010000000000000000000000000000e5b83bfc6973b68f9ce3c2a4cc15c985d5137fa35da0c000d8465a4c763092220c90203635115bceca52435df03be544f8f5623ef4a1b2d4fedfe85aee0327fe45d4f0fd168c26effe253f876bc1375975a3674f99e9bff7f712d04b27a8dcadcbe6e196083146c6855651847b2385a2069523caab552de14521cc908d075ced9171f2bc831ec69ab1db09000416a94eed1ef77ef4703d59dd254efdd22cbbe596f03775cdb7c740c9c14196c16c0776c196f3df8a589e4f6e9d5717154c09f0b67072881a02eb337b32d33c12898d19e4c63774fd98a416944950e5de64dfb1b4c9325266bab17feb8dfb2b33b71610611fd31a8b8ff46dd9e8c391f71bf01238f726409654997bac7afa3bdf7fdd8a482fb1ff67c6fbf864072d577b3993222475f35e938fe2e911fa6cfff9089e99f6ab5943159c13d8f83536f06ffeaa9fa1f3215511c0f2d42ec20dc91f494dfa0407b8f56d1cc65cca6b4e40c24a", @ANYRES32, @ANYBLOB="01030300e00000010000000000000000000000000800000020000100", @ANYRES32=0x0, @ANYBLOB="59a171ab4e8da395ae1354743bce69bcbe5fe9cabf5834056c55e5f1416a91406bc4ab32ffcb159a6d68509ff9091bfe0224b71594b7aae81ce3b6fa92ab5c63b514898ac45c0f8cc94b", @ANYRES32, @ANYRES32=r5, @ANYRES32=r4, @ANYRES16, @ANYRES32=r8, @ANYBLOB="3b56c4a9e887b8722317a1e70020000100", @ANYRES16=r6, @ANYBLOB="00030200ac1414aa00000000000000000000000086dd000020000100", @ANYRES32=r4, @ANYRESHEX=r9, @ANYRESOCT, @ANYBLOB="00020300200100000000000000000000000186dd0000"], 0x158}, 0x1, 0x0, 0x0, 0x10}, 0x4040004) (async) sendmsg$nl_route_sched(r0, &(0x7f0000000540)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x10}, 0xc, &(0x7f0000000400)={&(0x7f00000001c0)=@newtfilter={0x24, 0x2c, 0x400, 0x70bd2a, 0x25dfdbfc, {0x0, 0x0, 0x0, r4, {0xe, 0x2}, {0xa, 0xc}, {0xfff1, 0x3}}}, 0x24}}, 0x4008000) (async) socket$netlink(0x10, 0x3, 0x0) (async) sendmmsg(r10, &(0x7f00000002c0), 0x40000000000009f, 0x0) (async) 03:14:24 executing program 0: socket$nl_route(0x10, 0x3, 0x0) openat$dlm_plock(0xffffffffffffff9c, &(0x7f0000000000), 0x200800, 0x0) r0 = socket(0x0, 0x800000003, 0x0) (async) r1 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r1, &(0x7f00000000c0)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f00000002c0)=ANY=[@ANYBLOB="1400007c7100010000000000000000000000000a20000000000a03000000000000000000000000000900010073797ab00000000068000000090a010407000000000000000000000008000a40000000000900020073797a3101f800753a09871bc0845ace3a61e8c6000900010073797a300000000008000540000000022c00118008000100636d7000200002075894afd410cd800c00038005000100ef00f2f9b39ddb162e0b00000800024000000000140000001000010000000000000000000000000a0969830a21588b1537b343f3daefc99586d49c3177f900f27f89b7b3d194a4a33fce5d427f0ccd13ca15c461b0f84206ce7adb0fdab0b2b959b7cb84cf53f751452ce2353406653ffbbd821689cadaa9abd811adfe0f0b922a778231f77b0fe580800779d4e1714a5137b1410e0308677a1e69a50fee2da0431cf5efcb82360db15166b20b7ea286b25e2c6a59a1ce67f5a69193aaa356d6431c6db55bfa0f13ccbb6466a0dfcea16611770dbddffeb2"], 0xb0}}, 0x0) r2 = accept4$phonet_pipe(r0, &(0x7f0000000040), &(0x7f0000000080)=0x10, 0x0) ioctl$ifreq_SIOCGIFINDEX_team(r2, 0x8933, 0x0) (async) r3 = socket$netlink(0x10, 0x3, 0x0) sendmsg$DEVLINK_CMD_PORT_SPLIT(r3, &(0x7f0000000600)={&(0x7f0000000540)={0x10, 0x0, 0x0, 0x44000080}, 0xc, &(0x7f00000005c0)={&(0x7f0000000580)={0x14, 0x0, 0x4, 0x70bd2b, 0x25dfdbfb}, 0x14}, 0x1, 0x0, 0x0, 0x22000040}, 0x20000040) (async, rerun: 32) r4 = socket(0x2, 0x1, 0x0) (rerun: 32) setsockopt$EBT_SO_SET_ENTRIES(r4, 0xa00000000000000, 0x80, &(0x7f00000000c0)=@broute={'broute\x00', 0x20, 0x1, 0x990, [0x0, 0x0, 0x0, 0x0, 0x0, 0x20000e00], 0x0, 0x0, &(0x7f00000018c0)=ANY=[@ANYBLOB="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"]}, 0xb28) (async, rerun: 32) sendmsg$nl_route_sched(0xffffffffffffffff, 0x0, 0x0) (rerun: 32) sendmsg$nl_route(0xffffffffffffffff, 0x0, 0x0) r5 = socket$inet_dccp(0x2, 0x6, 0x0) getsockopt$inet_int(r5, 0x10d, 0xf3, &(0x7f0000000080), &(0x7f0000000000)=0x4) (async) ioctl$sock_inet_SIOCADDRT(r5, 0x890b, &(0x7f0000000240)={0x0, {0x2, 0x4e24, @remote}, {0x2, 0x4e20, @multicast2}, {0x2, 0x4e21, @local}, 0x2, 0x0, 0x0, 0x0, 0x1f, &(0x7f0000000200)='dummy0\x00', 0x5, 0xf935, 0x46c1}) (async) openat(0xffffffffffffff9c, 0x0, 0x0, 0x0) (async) openat$procfs(0xffffffffffffff9c, &(0x7f0000000140)='/proc/cpuinfo\x00', 0x0, 0x0) (async) r6 = openat$proc_capi20ncci(0xffffffffffffff9c, &(0x7f0000000640), 0x80000, 0x0) sendmsg$IPCTNL_MSG_CT_NEW(r6, &(0x7f0000000500)={&(0x7f0000000180)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f00000004c0)={&(0x7f0000000440)={0x58, 0x0, 0x1, 0x203, 0x0, 0x0, {0x5, 0x0, 0x5}, [@CTA_ZONE={0x6, 0x12, 0x1, 0x0, 0x1}, @CTA_STATUS_MASK={0x8, 0x1a, 0x1, 0x0, 0x6}, @CTA_TUPLE_ORIG={0x28, 0x1, 0x0, 0x1, [@CTA_TUPLE_PROTO={0xfffffffffffffda2, 0x2, 0x0, 0x1, {0x5, 0x1, 0x21}}, @CTA_TUPLE_ZONE={0x6, 0x3, 0x1, 0x0, 0x2}, @CTA_TUPLE_ZONE={0x6}, @CTA_TUPLE_ZONE={0x6, 0x3, 0x1, 0x0, 0x1}]}, @CTA_LABELS_MASK={0xc, 0x17, [0x2, 0x9]}]}, 0x58}, 0x1, 0x0, 0x0, 0x80c4}, 0x4) 03:14:24 executing program 3: r0 = socket$packet(0x11, 0x3, 0x300) mmap(&(0x7f0000003000/0x3000)=nil, 0x3000, 0x1000009, 0x50, 0xffffffffffffffff, 0xcc9c0000) preadv(0xffffffffffffffff, &(0x7f0000000380)=[{&(0x7f0000000040)=""/109, 0x6d}, {&(0x7f00000000c0)=""/80, 0x50}, {&(0x7f0000000140)=""/62, 0x3e}, {&(0x7f0000000180)=""/2, 0x2}, {&(0x7f00000001c0)=""/236, 0xec}, {&(0x7f00000002c0)=""/88, 0x58}, {&(0x7f0000000340)=""/40, 0x28}], 0x7, 0x0, 0x8) r1 = socket$packet(0x11, 0x3, 0x300) ioctl$sock_SIOCETHTOOL(r1, 0x8946, &(0x7f0000000000)={'wlan0\x00', &(0x7f00000004c0)=ANY=[]}) preadv(r0, &(0x7f0000000480)=[{&(0x7f0000000400)=""/45, 0x2d}, {&(0x7f0000000440)=""/22, 0x16}], 0x2, 0x5, 0xc0) ioctl$sock_SIOCGIFINDEX(r1, 0x8933, &(0x7f0000000700)={'vlan0\x00'}) r2 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) r3 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r3, 0x1, r2, &(0x7f0000002280)) epoll_ctl$EPOLL_CTL_MOD(r3, 0x3, r2, &(0x7f0000000040)) vmsplice(r2, &(0x7f00000006c0)=[{&(0x7f0000000500)="5b5b3ef9d09a9e5d994df979e520b2b35810d65fa646a0ee2e4cf7476ac43f26e320006d74bb63822283bd9a6939f09ab05943ec48c74def475e69defe19d27211bcfa53fd2903a1c84fd6a62f9858f322162699723fc9cfaf996314cc9041fc9605dd0dd79d0bd38d4b655f2a0de9d35186494c76daddb14b214cf04a1d6887a76b50fc17bdee775660a7edb4c029a8001dacb63b932b416a87ee620567ce6e1243f9f47dc5518243afa69352c1873583349b17b50249bfdbdb9ff64e", 0xbd}, {&(0x7f00000005c0)="e8add1020922928ec015d8fc9b66de8a0916afcec016827160d15f44dff1004f00b0c579d3405ac1081b186c71dea62bbfbf77a392f091974b0a2b7d8c38f42a7e7c07605d40350b03f6a38f", 0x4c}, {&(0x7f0000000640)="3b46689a76e5ed49d92fb7affbaf59b11b8177de010b3be4f230aba510872458f9d11041d8ad07ad09508ccd03bf347369361748a20f02bcfe3b4577f57d138a6888bbf009f4a5c7b69e8270b3abbd71d66e485c30ba6d4afe6120b4237fe4cdc6c1a6e023cc616b2db3da5258e49ff12c3f06c4870f7a1340bd1b3f2ad436", 0x7f}], 0x3, 0x1) [ 395.797265][ T3553] usb 2-1: USB disconnect, device number 42 [ 395.883040][ T8067] netlink: 24 bytes leftover after parsing attributes in process `syz-executor.2'. 03:14:25 executing program 5: ioctl$FS_IOC_ENABLE_VERITY(0xffffffffffffffff, 0x40806685, 0x0) r0 = openat(0xffffffffffffff9c, &(0x7f0000000040)='/proc/self/exe\x00', 0x4000, 0x0) r1 = openat$bsg(0xffffffffffffff9c, &(0x7f0000000000), 0x80000, 0x0) r2 = open(&(0x7f0000000080)='./bus\x00', 0x1eb142, 0x0) mmap(&(0x7f0000001000/0xa000)=nil, 0xa000, 0x0, 0x11, r2, 0x0) mount_setattr(r2, &(0x7f0000000080)='./file0\x00', 0x1000, &(0x7f00000000c0)={0x0, 0x100085, 0x20000, {r1}}, 0x20) ioctl$KVM_NMI(r1, 0xae9a) setsockopt$inet6_tcp_TLS_TX(r2, 0x6, 0x1, &(0x7f0000000100)=@gcm_256={{0x304}, "4fdc02f78bede487", "a411d24a78f645780c3d17d6d8211e857215fd73a621668c9dacb795519ddac0", "d0aca959", "46370cf3ba3837b1"}, 0x38) preadv(r0, &(0x7f0000000900)=[{0x0}, {0x0}, {&(0x7f0000000600)=""/200, 0x1d3800}], 0x3, 0x8800, 0x0) 03:14:25 executing program 3: r0 = socket$packet(0x11, 0x3, 0x300) mmap(&(0x7f0000003000/0x3000)=nil, 0x3000, 0x1000009, 0x50, 0xffffffffffffffff, 0xcc9c0000) preadv(0xffffffffffffffff, &(0x7f0000000380)=[{&(0x7f0000000040)=""/109, 0x6d}, {&(0x7f00000000c0)=""/80, 0x50}, {&(0x7f0000000140)=""/62, 0x3e}, {&(0x7f0000000180)=""/2, 0x2}, {&(0x7f00000001c0)=""/236, 0xec}, {&(0x7f00000002c0)=""/88, 0x58}, {&(0x7f0000000340)=""/40, 0x28}], 0x7, 0x0, 0x8) (async) r1 = socket$packet(0x11, 0x3, 0x300) ioctl$sock_SIOCETHTOOL(r1, 0x8946, &(0x7f0000000000)={'wlan0\x00', &(0x7f00000004c0)=ANY=[]}) (async) preadv(r0, &(0x7f0000000480)=[{&(0x7f0000000400)=""/45, 0x2d}, {&(0x7f0000000440)=""/22, 0x16}], 0x2, 0x5, 0xc0) ioctl$sock_SIOCGIFINDEX(r1, 0x8933, &(0x7f0000000700)={'vlan0\x00'}) r2 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) (async) r3 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r3, 0x1, r2, &(0x7f0000002280)) epoll_ctl$EPOLL_CTL_MOD(r3, 0x3, r2, &(0x7f0000000040)) vmsplice(r2, &(0x7f00000006c0)=[{&(0x7f0000000500)="5b5b3ef9d09a9e5d994df979e520b2b35810d65fa646a0ee2e4cf7476ac43f26e320006d74bb63822283bd9a6939f09ab05943ec48c74def475e69defe19d27211bcfa53fd2903a1c84fd6a62f9858f322162699723fc9cfaf996314cc9041fc9605dd0dd79d0bd38d4b655f2a0de9d35186494c76daddb14b214cf04a1d6887a76b50fc17bdee775660a7edb4c029a8001dacb63b932b416a87ee620567ce6e1243f9f47dc5518243afa69352c1873583349b17b50249bfdbdb9ff64e", 0xbd}, {&(0x7f00000005c0)="e8add1020922928ec015d8fc9b66de8a0916afcec016827160d15f44dff1004f00b0c579d3405ac1081b186c71dea62bbfbf77a392f091974b0a2b7d8c38f42a7e7c07605d40350b03f6a38f", 0x4c}, {&(0x7f0000000640)="3b46689a76e5ed49d92fb7affbaf59b11b8177de010b3be4f230aba510872458f9d11041d8ad07ad09508ccd03bf347369361748a20f02bcfe3b4577f57d138a6888bbf009f4a5c7b69e8270b3abbd71d66e485c30ba6d4afe6120b4237fe4cdc6c1a6e023cc616b2db3da5258e49ff12c3f06c4870f7a1340bd1b3f2ad436", 0x7f}], 0x3, 0x1) 03:14:25 executing program 0: socket$nl_route(0x10, 0x3, 0x0) (async) openat$dlm_plock(0xffffffffffffff9c, &(0x7f0000000000), 0x200800, 0x0) r0 = socket(0x0, 0x800000003, 0x0) (async, rerun: 32) r1 = socket$nl_netfilter(0x10, 0x3, 0xc) (rerun: 32) sendmsg$NFT_BATCH(r1, &(0x7f00000000c0)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f00000002c0)=ANY=[@ANYBLOB="1400007c7100010000000000000000000000000a20000000000a03000000000000000000000000000900010073797ab00000000068000000090a010407000000000000000000000008000a40000000000900020073797a3101f800753a09871bc0845ace3a61e8c6000900010073797a300000000008000540000000022c00118008000100636d7000200002075894afd410cd800c00038005000100ef00f2f9b39ddb162e0b00000800024000000000140000001000010000000000000000000000000a0969830a21588b1537b343f3daefc99586d49c3177f900f27f89b7b3d194a4a33fce5d427f0ccd13ca15c461b0f84206ce7adb0fdab0b2b959b7cb84cf53f751452ce2353406653ffbbd821689cadaa9abd811adfe0f0b922a778231f77b0fe580800779d4e1714a5137b1410e0308677a1e69a50fee2da0431cf5efcb82360db15166b20b7ea286b25e2c6a59a1ce67f5a69193aaa356d6431c6db55bfa0f13ccbb6466a0dfcea16611770dbddffeb2"], 0xb0}}, 0x0) r2 = accept4$phonet_pipe(r0, &(0x7f0000000040), &(0x7f0000000080)=0x10, 0x0) ioctl$ifreq_SIOCGIFINDEX_team(r2, 0x8933, 0x0) (async) r3 = socket$netlink(0x10, 0x3, 0x0) sendmsg$DEVLINK_CMD_PORT_SPLIT(r3, &(0x7f0000000600)={&(0x7f0000000540)={0x10, 0x0, 0x0, 0x44000080}, 0xc, &(0x7f00000005c0)={&(0x7f0000000580)={0x14, 0x0, 0x4, 0x70bd2b, 0x25dfdbfb}, 0x14}, 0x1, 0x0, 0x0, 0x22000040}, 0x20000040) r4 = socket(0x2, 0x1, 0x0) setsockopt$EBT_SO_SET_ENTRIES(r4, 0xa00000000000000, 0x80, &(0x7f00000000c0)=@broute={'broute\x00', 0x20, 0x1, 0x990, [0x0, 0x0, 0x0, 0x0, 0x0, 0x20000e00], 0x0, 0x0, &(0x7f00000018c0)=ANY=[@ANYBLOB="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"]}, 0xb28) sendmsg$nl_route_sched(0xffffffffffffffff, 0x0, 0x0) sendmsg$nl_route(0xffffffffffffffff, 0x0, 0x0) (async) r5 = socket$inet_dccp(0x2, 0x6, 0x0) getsockopt$inet_int(r5, 0x10d, 0xf3, &(0x7f0000000080), &(0x7f0000000000)=0x4) (async) ioctl$sock_inet_SIOCADDRT(r5, 0x890b, &(0x7f0000000240)={0x0, {0x2, 0x4e24, @remote}, {0x2, 0x4e20, @multicast2}, {0x2, 0x4e21, @local}, 0x2, 0x0, 0x0, 0x0, 0x1f, &(0x7f0000000200)='dummy0\x00', 0x5, 0xf935, 0x46c1}) openat(0xffffffffffffff9c, 0x0, 0x0, 0x0) openat$procfs(0xffffffffffffff9c, &(0x7f0000000140)='/proc/cpuinfo\x00', 0x0, 0x0) (async) r6 = openat$proc_capi20ncci(0xffffffffffffff9c, &(0x7f0000000640), 0x80000, 0x0) sendmsg$IPCTNL_MSG_CT_NEW(r6, &(0x7f0000000500)={&(0x7f0000000180)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f00000004c0)={&(0x7f0000000440)={0x58, 0x0, 0x1, 0x203, 0x0, 0x0, {0x5, 0x0, 0x5}, [@CTA_ZONE={0x6, 0x12, 0x1, 0x0, 0x1}, @CTA_STATUS_MASK={0x8, 0x1a, 0x1, 0x0, 0x6}, @CTA_TUPLE_ORIG={0x28, 0x1, 0x0, 0x1, [@CTA_TUPLE_PROTO={0xfffffffffffffda2, 0x2, 0x0, 0x1, {0x5, 0x1, 0x21}}, @CTA_TUPLE_ZONE={0x6, 0x3, 0x1, 0x0, 0x2}, @CTA_TUPLE_ZONE={0x6}, @CTA_TUPLE_ZONE={0x6, 0x3, 0x1, 0x0, 0x1}]}, @CTA_LABELS_MASK={0xc, 0x17, [0x2, 0x9]}]}, 0x58}, 0x1, 0x0, 0x0, 0x80c4}, 0x4) [ 396.201903][ T3559] usb 5-1: new high-speed USB device number 38 using dummy_hcd 03:14:25 executing program 2: r0 = socket$netlink(0x10, 0x3, 0x0) (async) r1 = socket(0x10, 0x803, 0x0) sendmsg$nl_route_sched(r1, &(0x7f0000000a00)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000880)=@deltfilter={0x24}, 0x24}}, 0x0) (async) getsockname$packet(r1, &(0x7f0000000200)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000440)=0x14) sendmsg$nl_route(r0, &(0x7f0000000040)={0x0, 0x0, &(0x7f0000000000)={&(0x7f0000000080)=ANY=[@ANYBLOB="48000000100005070000004e75ec7492112c0000", @ANYRES32=r2, @ANYBLOB="0000000000000000280012000900010076657468"], 0x48}}, 0x0) (async) recvmsg(0xffffffffffffffff, &(0x7f0000000300)={0x0, 0x0, &(0x7f0000000140)=[{&(0x7f0000000480)=""/161, 0xa1}], 0x1}, 0x0) (async) sendmsg$nl_route_sched(0xffffffffffffffff, &(0x7f00000002c0)={0x0, 0x0, &(0x7f0000000280)={&(0x7f0000000240)=@newqdisc={0x2c, 0x24, 0xf0b, 0x0, 0x0, {0x0, 0x0, 0x0, r2, {}, {0xffff, 0xffff}}, [@qdisc_kind_options=@q_atm={0x7}]}, 0x2c}}, 0x0) sendmsg$nl_route_sched(0xffffffffffffffff, &(0x7f0000000340)={0x0, 0x0, &(0x7f0000000180)={&(0x7f0000000380)=@newtfilter={0x4c, 0x2c, 0xd27, 0x0, 0x0, {0x0, 0x0, 0x0, r2, {}, {}, {0x4}}, [@filter_kind_options=@f_u32={{0x8}, {0x20, 0x2, [@TCA_U32_CLASSID={0x8}, @TCA_U32_SEL={0x14}]}}]}, 0x4c}}, 0x0) (async) r3 = socket$nl_route(0x10, 0x3, 0x0) (async) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(0xffffffffffffffff, 0x8933, &(0x7f0000000040)={'batadv_slave_1\x00', 0x0}) (async) r5 = accept$packet(0xffffffffffffffff, &(0x7f0000000340)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @local}, &(0x7f0000000380)=0x14) (async) r7 = socket$inet6_tcp(0xa, 0x1, 0x0) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r7, 0x8933, &(0x7f0000000080)={'batadv_slave_1\x00', 0x0}) ioctl$sock_inet6_SIOCADDRT(r7, 0x890b, &(0x7f00000002c0)={@mcast1={0xff, 0x5}, @private0, @private1={0xfc, 0x1, '\x00', 0x1}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x100043, r8}) (async) r9 = socket$inet6_tcp(0xa, 0x1, 0x0) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r9, 0x8933, &(0x7f0000000080)={'batadv_slave_1\x00'}) (async) sendmsg$nl_route(r3, &(0x7f00000000c0)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x4000000}, 0xc, &(0x7f0000000180)={&(0x7f00000008c0)=ANY=[@ANYBLOB="58010000550000082cbd7000fedbdf2507000000", @ANYRES32=0x0, @ANYBLOB="20000100", @ANYRES32=r5, @ANYRESDEC=r8, @ANYRES32=r6, @ANYBLOB="01030000ac14140d0000002000010000000000000000000000000000e5b83bfc6973b68f9ce3c2a4cc15c985d5137fa35da0c000d8465a4c763092220c90203635115bceca52435df03be544f8f5623ef4a1b2d4fedfe85aee0327fe45d4f0fd168c26effe253f876bc1375975a3674f99e9bff7f712d04b27a8dcadcbe6e196083146c6855651847b2385a2069523caab552de14521cc908d075ced9171f2bc831ec69ab1db09000416a94eed1ef77ef4703d59dd254efdd22cbbe596f03775cdb7c740c9c14196c16c0776c196f3df8a589e4f6e9d5717154c09f0b67072881a02eb337b32d33c12898d19e4c63774fd98a416944950e5de64dfb1b4c9325266bab17feb8dfb2b33b71610611fd31a8b8ff46dd9e8c391f71bf01238f726409654997bac7afa3bdf7fdd8a482fb1ff67c6fbf864072d577b3993222475f35e938fe2e911fa6cfff9089e99f6ab5943159c13d8f83536f06ffeaa9fa1f3215511c0f2d42ec20dc91f494dfa0407b8f56d1cc65cca6b4e40c24a", @ANYRES32, @ANYBLOB="01030300e00000010000000000000000000000000800000020000100", @ANYRES32=0x0, @ANYBLOB="59a171ab4e8da395ae1354743bce69bcbe5fe9cabf5834056c55e5f1416a91406bc4ab32ffcb159a6d68509ff9091bfe0224b71594b7aae81ce3b6fa92ab5c63b514898ac45c0f8cc94b", @ANYRES32, @ANYRES32=r5, @ANYRES32=r4, @ANYRES16, @ANYRES32=r8, @ANYBLOB="3b56c4a9e887b8722317a1e70020000100", @ANYRES16=r6, @ANYBLOB="00030200ac1414aa00000000000000000000000086dd000020000100", @ANYRES32=r4, @ANYRESHEX=r9, @ANYRESOCT, @ANYBLOB="00020300200100000000000000000000000186dd0000"], 0x158}, 0x1, 0x0, 0x0, 0x10}, 0x4040004) sendmsg$nl_route_sched(r0, &(0x7f0000000540)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x10}, 0xc, &(0x7f0000000400)={&(0x7f00000001c0)=@newtfilter={0x24, 0x2c, 0x400, 0x70bd2a, 0x25dfdbfc, {0x0, 0x0, 0x0, r4, {0xe, 0x2}, {0xa, 0xc}, {0xfff1, 0x3}}}, 0x24}}, 0x4008000) (async) r10 = socket$netlink(0x10, 0x3, 0x0) sendmmsg(r10, &(0x7f00000002c0), 0x40000000000009f, 0x0) [ 396.432511][ T3553] usb 2-1: new high-speed USB device number 43 using dummy_hcd 03:14:25 executing program 5: ioctl$FS_IOC_ENABLE_VERITY(0xffffffffffffffff, 0x40806685, 0x0) (async) r0 = openat(0xffffffffffffff9c, &(0x7f0000000040)='/proc/self/exe\x00', 0x4000, 0x0) r1 = openat$bsg(0xffffffffffffff9c, &(0x7f0000000000), 0x80000, 0x0) r2 = open(&(0x7f0000000080)='./bus\x00', 0x1eb142, 0x0) mmap(&(0x7f0000001000/0xa000)=nil, 0xa000, 0x0, 0x11, r2, 0x0) mount_setattr(r2, &(0x7f0000000080)='./file0\x00', 0x1000, &(0x7f00000000c0)={0x0, 0x100085, 0x20000, {r1}}, 0x20) (async) ioctl$KVM_NMI(r1, 0xae9a) (async) setsockopt$inet6_tcp_TLS_TX(r2, 0x6, 0x1, &(0x7f0000000100)=@gcm_256={{0x304}, "4fdc02f78bede487", "a411d24a78f645780c3d17d6d8211e857215fd73a621668c9dacb795519ddac0", "d0aca959", "46370cf3ba3837b1"}, 0x38) preadv(r0, &(0x7f0000000900)=[{0x0}, {0x0}, {&(0x7f0000000600)=""/200, 0x1d3800}], 0x3, 0x8800, 0x0) 03:14:25 executing program 3: r0 = socket$packet(0x11, 0x3, 0x300) mmap(&(0x7f0000003000/0x3000)=nil, 0x3000, 0x1000009, 0x50, 0xffffffffffffffff, 0xcc9c0000) preadv(0xffffffffffffffff, &(0x7f0000000380)=[{&(0x7f0000000040)=""/109, 0x6d}, {&(0x7f00000000c0)=""/80, 0x50}, {&(0x7f0000000140)=""/62, 0x3e}, {&(0x7f0000000180)=""/2, 0x2}, {&(0x7f00000001c0)=""/236, 0xec}, {&(0x7f00000002c0)=""/88, 0x58}, {&(0x7f0000000340)=""/40, 0x28}], 0x7, 0x0, 0x8) r1 = socket$packet(0x11, 0x3, 0x300) ioctl$sock_SIOCETHTOOL(r1, 0x8946, &(0x7f0000000000)={'wlan0\x00', &(0x7f00000004c0)=ANY=[]}) preadv(r0, &(0x7f0000000480)=[{&(0x7f0000000400)=""/45, 0x2d}, {&(0x7f0000000440)=""/22, 0x16}], 0x2, 0x5, 0xc0) ioctl$sock_SIOCGIFINDEX(r1, 0x8933, &(0x7f0000000700)={'vlan0\x00'}) r2 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) r3 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r3, 0x1, r2, &(0x7f0000002280)) epoll_ctl$EPOLL_CTL_MOD(r3, 0x3, r2, &(0x7f0000000040)) vmsplice(r2, &(0x7f00000006c0)=[{&(0x7f0000000500)="5b5b3ef9d09a9e5d994df979e520b2b35810d65fa646a0ee2e4cf7476ac43f26e320006d74bb63822283bd9a6939f09ab05943ec48c74def475e69defe19d27211bcfa53fd2903a1c84fd6a62f9858f322162699723fc9cfaf996314cc9041fc9605dd0dd79d0bd38d4b655f2a0de9d35186494c76daddb14b214cf04a1d6887a76b50fc17bdee775660a7edb4c029a8001dacb63b932b416a87ee620567ce6e1243f9f47dc5518243afa69352c1873583349b17b50249bfdbdb9ff64e", 0xbd}, {&(0x7f00000005c0)="e8add1020922928ec015d8fc9b66de8a0916afcec016827160d15f44dff1004f00b0c579d3405ac1081b186c71dea62bbfbf77a392f091974b0a2b7d8c38f42a7e7c07605d40350b03f6a38f", 0x4c}, {&(0x7f0000000640)="3b46689a76e5ed49d92fb7affbaf59b11b8177de010b3be4f230aba510872458f9d11041d8ad07ad09508ccd03bf347369361748a20f02bcfe3b4577f57d138a6888bbf009f4a5c7b69e8270b3abbd71d66e485c30ba6d4afe6120b4237fe4cdc6c1a6e023cc616b2db3da5258e49ff12c3f06c4870f7a1340bd1b3f2ad436", 0x7f}], 0x3, 0x1) socket$packet(0x11, 0x3, 0x300) (async) mmap(&(0x7f0000003000/0x3000)=nil, 0x3000, 0x1000009, 0x50, 0xffffffffffffffff, 0xcc9c0000) (async) preadv(0xffffffffffffffff, &(0x7f0000000380)=[{&(0x7f0000000040)=""/109, 0x6d}, {&(0x7f00000000c0)=""/80, 0x50}, {&(0x7f0000000140)=""/62, 0x3e}, {&(0x7f0000000180)=""/2, 0x2}, {&(0x7f00000001c0)=""/236, 0xec}, {&(0x7f00000002c0)=""/88, 0x58}, {&(0x7f0000000340)=""/40, 0x28}], 0x7, 0x0, 0x8) (async) socket$packet(0x11, 0x3, 0x300) (async) ioctl$sock_SIOCETHTOOL(r1, 0x8946, &(0x7f0000000000)={'wlan0\x00', &(0x7f00000004c0)=ANY=[]}) (async) preadv(r0, &(0x7f0000000480)=[{&(0x7f0000000400)=""/45, 0x2d}, {&(0x7f0000000440)=""/22, 0x16}], 0x2, 0x5, 0xc0) (async) ioctl$sock_SIOCGIFINDEX(r1, 0x8933, &(0x7f0000000700)={'vlan0\x00'}) (async) openat$fuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) (async) epoll_create1(0x0) (async) epoll_ctl$EPOLL_CTL_ADD(r3, 0x1, r2, &(0x7f0000002280)) (async) epoll_ctl$EPOLL_CTL_MOD(r3, 0x3, r2, &(0x7f0000000040)) (async) vmsplice(r2, &(0x7f00000006c0)=[{&(0x7f0000000500)="5b5b3ef9d09a9e5d994df979e520b2b35810d65fa646a0ee2e4cf7476ac43f26e320006d74bb63822283bd9a6939f09ab05943ec48c74def475e69defe19d27211bcfa53fd2903a1c84fd6a62f9858f322162699723fc9cfaf996314cc9041fc9605dd0dd79d0bd38d4b655f2a0de9d35186494c76daddb14b214cf04a1d6887a76b50fc17bdee775660a7edb4c029a8001dacb63b932b416a87ee620567ce6e1243f9f47dc5518243afa69352c1873583349b17b50249bfdbdb9ff64e", 0xbd}, {&(0x7f00000005c0)="e8add1020922928ec015d8fc9b66de8a0916afcec016827160d15f44dff1004f00b0c579d3405ac1081b186c71dea62bbfbf77a392f091974b0a2b7d8c38f42a7e7c07605d40350b03f6a38f", 0x4c}, {&(0x7f0000000640)="3b46689a76e5ed49d92fb7affbaf59b11b8177de010b3be4f230aba510872458f9d11041d8ad07ad09508ccd03bf347369361748a20f02bcfe3b4577f57d138a6888bbf009f4a5c7b69e8270b3abbd71d66e485c30ba6d4afe6120b4237fe4cdc6c1a6e023cc616b2db3da5258e49ff12c3f06c4870f7a1340bd1b3f2ad436", 0x7f}], 0x3, 0x1) (async) [ 396.511469][ T8121] netlink: 24 bytes leftover after parsing attributes in process `syz-executor.2'. [ 396.563276][ T3559] usb 5-1: config 0 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 0, changing to 7 [ 396.574521][ T3559] usb 5-1: config 0 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 396.584604][ T3559] usb 5-1: New USB device found, idVendor=5543, idProduct=0081, bcdDevice= 0.00 [ 396.593905][ T3559] usb 5-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 396.682769][ T3553] usb 2-1: Using ep0 maxpacket: 8 [ 396.765594][ T3559] usb 5-1: config 0 descriptor?? [ 396.802964][ T3553] usb 2-1: config index 0 descriptor too short (expected 65316, got 36) [ 396.812002][ T3553] usb 2-1: config 250 has too many interfaces: 255, using maximum allowed: 32 [ 396.821035][ T3553] usb 2-1: config 250 has 1 interface, different from the descriptor's value: 255 [ 396.830549][ T3553] usb 2-1: config 250 has no interface number 0 [ 396.837153][ T3553] usb 2-1: config 250 interface 228 altsetting 255 endpoint 0x1 has invalid maxpacket 65280, setting to 1024 [ 396.849057][ T3553] usb 2-1: config 250 interface 228 altsetting 255 bulk endpoint 0x1 has invalid maxpacket 1024 [ 396.862618][ T3553] usb 2-1: config 250 interface 228 altsetting 255 bulk endpoint 0x82 has invalid maxpacket 36 [ 396.873795][ T3553] usb 2-1: config 250 interface 228 altsetting 255 has 2 endpoint descriptors, different from the interface descriptor's value: 17 [ 396.887513][ T3553] usb 2-1: config 250 interface 228 has no altsetting 0 [ 397.193113][ T3553] usb 2-1: New USB device found, idVendor=0525, idProduct=d292, bcdDevice= 0.25 [ 397.202416][ T3553] usb 2-1: New USB device strings: Mfr=0, Product=0, SerialNumber=1 [ 397.210550][ T3553] usb 2-1: SerialNumber: syz [ 397.257910][ T8090] raw-gadget gadget: fail, usb_ep_enable returned -22 [ 397.270448][ T8090] raw-gadget gadget: fail, usb_ep_enable returned -22 [ 397.304516][ T3553] hub 2-1:250.228: bad descriptor, ignoring hub [ 397.310901][ T3553] hub: probe of 2-1:250.228 failed with error -5 [ 397.312253][ T3559] usbhid 5-1:0.0: can't add hid device: -71 [ 397.323793][ T3559] usbhid: probe of 5-1:0.0 failed with error -71 [ 397.342308][ T3559] usb 5-1: USB disconnect, device number 38 [ 397.535870][ T3553] usblp 2-1:250.228: usblp0: USB Bidirectional printer dev 43 if 228 alt 255 proto 3 vid 0x0525 pid 0xD292 [ 398.193397][ T8090] usb 2-1: reset high-speed USB device number 43 using dummy_hcd [ 398.432023][ T8090] usb 2-1: Using ep0 maxpacket: 8 [ 398.572707][ T8142] raw-gadget gadget: fail, usb_ep_enable returned -22 [ 398.579784][ T8142] raw-gadget gadget: fail, usb_ep_enable returned -22 [ 398.859762][ T8142] xt_NFQUEUE: number of total queues is 0 [ 398.874223][ T8142] raw-gadget gadget: fail, usb_ep_enable returned -22 [ 398.881393][ T8142] raw-gadget gadget: fail, usb_ep_enable returned -22 [ 398.941778][ C0] usblp0: nonzero read bulk status received: -71 [ 399.097098][ T3559] usb 2-1: USB disconnect, device number 43 [ 399.140987][ T3559] usblp0: removed 03:14:28 executing program 1: r0 = syz_usb_connect$printer(0x0, 0x36, &(0x7f0000000300)=ANY=[@ANYBLOB="1201000009000008250592d2250000000101090224fffffa0074980904e4ff11070103000905010200ffe000000905820224"], 0x0) syz_usb_control_io$printer(r0, 0x0, &(0x7f0000000180)={0x34, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000040)={0x20, 0x0, 0x1}}) (async) r1 = socket$inet6_sctp(0xa, 0x801, 0x84) connect$inet6(r1, &(0x7f00000000c0)={0xa, 0x0, 0x0, @local, 0x6}, 0x1c) syz_open_dev$char_usb(0xc, 0xb4, 0x0) syz_usb_disconnect(r0) (async) r2 = syz_usb_connect(0x0, 0x24, &(0x7f0000000340)=ANY=[], 0x0) (async) r3 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000200), 0x0, 0x0) r4 = ioctl$KVM_CREATE_VM(r3, 0xae01, 0x0) (async) r5 = socket(0x10, 0x8000000000000003, 0x0) dup2(r5, r4) (async) setsockopt$inet_sctp6_SCTP_RECVRCVINFO(r5, 0x84, 0x20, &(0x7f0000000000)=0x8000, 0x4) (async) r6 = socket$inet_icmp_raw(0x2, 0x3, 0x1) setsockopt$IPT_SO_SET_REPLACE(r6, 0x4000000000000, 0x40, &(0x7f00000004c0)=@raw={'raw\x00', 0x4001, 0x3, 0x548, 0x420, 0xb, 0x148, 0x420, 0x148, 0x4b0, 0x240, 0x240, 0x4b0, 0x215, 0x3, 0x0, {[{{@ip={@local, @local, 0x0, 0x0, 'ip6gretap0\x00', 'veth0\x00'}, 0x0, 0x3f8, 0x420, 0x0, {}, [@common=@inet=@hashlimit3={{0x158}, {'ipvlan1\x00', {0x0, 0x20, 0x0, 0x0, 0x0, 0xffff, 0xffffff81}}}, @common=@unspec=@bpf1={{0x230, 'bpf\x00', 0x0}, @pinned={0x1, 0x0, 0x6, './file0\x00'}}]}, @common=@unspec=@NFQUEUE1={0x28}}, {{@uncond, 0xec010000, 0x70, 0x90}, @unspec=@NOTRACK={0x20}}], {{'\x00', 0x0, 0x70, 0x98}, {0x28}}}}, 0x5a8) syz_usb_control_io$hid(r2, 0x0, 0x0) 03:14:28 executing program 2: mprotect(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000004) sigaltstack(&(0x7f0000ffd000), &(0x7f0000000140)) r0 = msgget(0x3, 0x4) mlock(&(0x7f0000ffb000/0x2000)=nil, 0x2000) r1 = msgget(0x3, 0x200) shmctl$SHM_LOCK(0x0, 0xb) msgsnd(r1, &(0x7f0000000280)=ANY=[@ANYRESOCT=r0], 0x7a, 0x0) msgrcv(r1, &(0x7f0000000440)=ANY=[@ANYBLOB="00000000000000000000000000df000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000eace46000000000000000f0000000000000000000ffdf0000000000000000000000000000000000000000000000000000000000000000a50000000000000062a1a9428874769d7cbc9f5cf003bc4699e341c8be64da67aab410e009561d41b17948a87b12836032ef69469b643497d9fe53feedb4bcff504dcdf72f2141c5de5b7ab01f37e6ae80271cc8f23ba5f48fb1be90bf526c6946369f058ad364d41dc621c32de4cc5ea4f80afeac92f1e4c3497c5ae71afd4049ba31654feaf3512a36407ecac4a2ff"], 0xbd, 0x0, 0x0) shmctl$IPC_RMID(r1, 0x0) r2 = msgget$private(0x0, 0x2) msgsnd(r2, &(0x7f0000000040)=ANY=[@ANYRESHEX=r2, @ANYRES16=r1, @ANYRES16=r1, @ANYRES64, @ANYRES16], 0x8, 0x0) msgrcv(r2, &(0x7f0000003580)=ANY=[@ANYBLOB="00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000fd00"/102408], 0x0, 0x0, 0x3000) r3 = msgget$private(0x0, 0x0) msgrcv(r3, &(0x7f0000001280)={0x0, ""/49}, 0x39, 0x1, 0x2000) msgsnd(r3, &(0x7f00000007c0)=ANY=[@ANYBLOB="ab50ebb5229ccd586d181db87c652e2f6817b2169e3e3e6e7b252cb898596e04f324480d22b858cfdd2f5147608411925f3b8ae69b9c071a75f5482c824270687e38b11a0bdb33b8a5bfa1cb1081ddc1fcdc7d774f4402d425b78caea76702736b685f3be4e27a3462ab46febe4c548d3d525018ea6cda5811629497c9f4600aab5d46587b527a0ce7f1cfe3cb81c0e1916038dfdc58548bd240f5ef939120a35f5e877d72c4cc3c1c396182b59681d87bd792621a1a28dfbf7b050242437e138b63350382f4de5e9ac273041ac46a840004a93543f85325fd75a218370012d5ec324867e161b9fa216d524b29bff53a8b536b895fe353a9a601faf21e4857289c07b6f3f8fad70832fc945d1df5bb3dedd8cdfa3e28792b65c4ee356db9f49f5d4adc118d26ad0f88da850626aad05cd4cb45dcfb2dc5167bf3a2b1a784a0aaeb28819e160642a53df22893a6cd3f2e9d77148e62e54d11ae426bf5509a7d58e40e072e335535a1af79e18390bbe1c326596fdcf43f223d59c344f177dd68711d24f4a4a653064d5e641c62273b8d5bf6e0b2061e1776fd6e72134b8dcebe6bcf8879977571de0c495b16ff932f9b13f864b26b26658107b00b726e8005308dc2aa4e54c0c660f723fd50ddb4c040face571adbe7ce5c2059b5c498ef4df7ec20754b189e759faf4dc81fa2e208938c71050000001f180b428a4e85bdd928570a315fbecb9eb1a34c0000000000000000000000000000000000000000000000000054d4bae16fd6abdbfad388362201a4e2af2661f11783443b1601236b2e9b2678daa4ea18e5bd84ef2a005fed36a0369bfcd67cac23021d4b96ec8e0347f9201c94c8b82f74fb05096669fc0782d5ad1dc4f79f0e6ca0b2a4e41c360b324a3388ed59ac6f54c59893d50ed769435114911199e15c5bdc8650e2d930a66cc86c635962204a2aabca393cd89f57"], 0x8, 0x0) msgget$private(0x0, 0x1) msgrcv(r2, &(0x7f0000000680)=ANY=[@ANYBLOB="0000007a4d3111d19e61af00"/145, @ANYBLOB="0180206682a39fe58b057377b3654a37abca07ae61bf05b1cbaea57a7c65ae0a74c9edcce8adb65ce0eb98c6ff9a55768666e4b2e610136927b0e2f1f1", @ANYRESOCT, @ANYRES32=r0, @ANYRESHEX, @ANYRESHEX, @ANYRESDEC=r0], 0x91, 0x1, 0x800) madvise(&(0x7f0000ffc000/0x2000)=nil, 0x2000, 0x2) msgctl$IPC_STAT(r1, 0x2, &(0x7f0000000000)=""/4) msgget$private(0x0, 0xf1) 03:14:28 executing program 5: ioctl$FS_IOC_ENABLE_VERITY(0xffffffffffffffff, 0x40806685, 0x0) r0 = openat(0xffffffffffffff9c, &(0x7f0000000040)='/proc/self/exe\x00', 0x4000, 0x0) r1 = openat$bsg(0xffffffffffffff9c, &(0x7f0000000000), 0x80000, 0x0) r2 = open(&(0x7f0000000080)='./bus\x00', 0x1eb142, 0x0) mmap(&(0x7f0000001000/0xa000)=nil, 0xa000, 0x0, 0x11, r2, 0x0) mount_setattr(r2, &(0x7f0000000080)='./file0\x00', 0x1000, &(0x7f00000000c0)={0x0, 0x100085, 0x20000, {r1}}, 0x20) ioctl$KVM_NMI(r1, 0xae9a) setsockopt$inet6_tcp_TLS_TX(r2, 0x6, 0x1, &(0x7f0000000100)=@gcm_256={{0x304}, "4fdc02f78bede487", "a411d24a78f645780c3d17d6d8211e857215fd73a621668c9dacb795519ddac0", "d0aca959", "46370cf3ba3837b1"}, 0x38) preadv(r0, &(0x7f0000000900)=[{0x0}, {0x0}, {&(0x7f0000000600)=""/200, 0x1d3800}], 0x3, 0x8800, 0x0) ioctl$FS_IOC_ENABLE_VERITY(0xffffffffffffffff, 0x40806685, 0x0) (async) openat(0xffffffffffffff9c, &(0x7f0000000040)='/proc/self/exe\x00', 0x4000, 0x0) (async) openat$bsg(0xffffffffffffff9c, &(0x7f0000000000), 0x80000, 0x0) (async) open(&(0x7f0000000080)='./bus\x00', 0x1eb142, 0x0) (async) mmap(&(0x7f0000001000/0xa000)=nil, 0xa000, 0x0, 0x11, r2, 0x0) (async) mount_setattr(r2, &(0x7f0000000080)='./file0\x00', 0x1000, &(0x7f00000000c0)={0x0, 0x100085, 0x20000, {r1}}, 0x20) (async) ioctl$KVM_NMI(r1, 0xae9a) (async) setsockopt$inet6_tcp_TLS_TX(r2, 0x6, 0x1, &(0x7f0000000100)=@gcm_256={{0x304}, "4fdc02f78bede487", "a411d24a78f645780c3d17d6d8211e857215fd73a621668c9dacb795519ddac0", "d0aca959", "46370cf3ba3837b1"}, 0x38) (async) preadv(r0, &(0x7f0000000900)=[{0x0}, {0x0}, {&(0x7f0000000600)=""/200, 0x1d3800}], 0x3, 0x8800, 0x0) (async) 03:14:28 executing program 3: r0 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) r1 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r1, 0x1, r0, &(0x7f0000002280)) epoll_ctl$EPOLL_CTL_MOD(r1, 0x3, r0, &(0x7f0000000040)) ioctl$int_in(r0, 0x5421, &(0x7f00000000c0)=0xb83b) openat$binder_debug(0xffffffffffffff9c, &(0x7f0000000000)='/sys/kernel/debug/binder/transactions\x00', 0x0, 0x0) r2 = socket$inet6(0xa, 0x100000003, 0x3c) setsockopt$inet6_MRT6_ADD_MFC_PROXY(r2, 0x29, 0xd2, &(0x7f0000000040)={{0xa, 0x4e20, 0xb788, @rand_addr=' \x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x01', 0x15}, {0xa, 0x4e23, 0x80000000, @empty, 0x1ff}, 0x1f, [0x7, 0x5, 0x9, 0xa8c, 0x410000ca, 0x3, 0x1d4, 0x108]}, 0x5c) sendto$inet6(r2, &(0x7f0000000000), 0x5c8, 0x0, &(0x7f0000000180)={0xa, 0x0, 0x0, @loopback={0x0, 0xac141409}}, 0x1c) 03:14:28 executing program 0: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000140), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000fe7000/0x18000)=nil, &(0x7f0000000040)=[@text64={0x40, &(0x7f0000000080)="7630f84cb8cb656784ef66bafc0cec66ba6100b806000000ef48b8ebd00000000000000f23d00f21f8350000000b0f23f88f09d09b366564643e41c7c2bc700000260f01df8f89783cdb343401df400f06", 0x51}], 0x1, 0x0, 0x0, 0x0) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000bf7000)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)={0x69}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000380)={[0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfff]}) ioctl$KVM_RUN(r2, 0xae80, 0x0) syz_kvm_setup_cpu$x86(r1, 0xffffffffffffffff, &(0x7f0000fe8000/0x18000)=nil, &(0x7f0000000340)=[@text32={0x20, &(0x7f00000002c0)="c4c27d2abf08000000660f11570367f3c2e30066b824008ed066f20f019c7b0000000066660f38816c26160f30b88f8600000f23c80f21f835080030000f23f866ba6100b86c590000ef8fe9009b730b", 0x50}], 0x1, 0x4, &(0x7f0000000440), 0x0) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000180)={[{0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x7f}, {}, {0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1}]}) ioctl$KVM_RUN(r2, 0xae80, 0x0) r3 = openat$null(0xffffffffffffff9c, &(0x7f0000000100), 0x420200, 0x0) ioctl$KVM_SET_REGS(r3, 0x4090ae82, &(0x7f0000000200)={[0xffffffff, 0x81, 0x5, 0x1, 0x7fff, 0xffff, 0x7, 0x8, 0x5f3e, 0x100000001, 0xef9, 0x9, 0x9, 0x1, 0x9, 0xffffffff], 0xf3c95ccc02984e4e}) 03:14:28 executing program 4: r0 = syz_usb_connect$hid(0x0, 0x36, &(0x7f0000000040)={{0x12, 0x1, 0x0, 0x0, 0x0, 0x0, 0x40, 0x5543, 0x81, 0x0, 0x0, 0x0, 0x0, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x1, 0x3, 0x0, 0x0, 0x0, {0x9, 0x21, 0x0, 0x0, 0x1, {0x22, 0x9}}}}]}}]}}, 0x0) syz_usb_control_io$hid(r0, &(0x7f0000000240)={0x24, 0x0, 0x0, &(0x7f0000000080)=ANY=[@ANYRESDEC=r0, @ANYRES32=r0, @ANYRESDEC=r0], 0x0}, 0x0) syz_usb_control_io$hid(r0, &(0x7f00000004c0)={0x24, 0x0, &(0x7f0000000000)={0x0, 0x3, 0x4, @lang_id={0x4}}, 0x0, 0x0}, 0x0) syz_usb_control_io$hid(r0, &(0x7f0000000280)={0x24, 0x0, &(0x7f0000000340)={0x0, 0x3, 0x4, @string={0x4, 0x3, '_e'}}, 0x0, 0x0}, 0x0) syz_usb_connect$hid(0x0, 0x36, &(0x7f0000000040)={{0x12, 0x1, 0x0, 0x0, 0x0, 0x0, 0x40, 0x5543, 0x81, 0x0, 0x0, 0x0, 0x0, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x1, 0x3, 0x0, 0x0, 0x0, {0x9, 0x21, 0x0, 0x0, 0x1, {0x22, 0x9}}}}]}}]}}, 0x0) (async) syz_usb_control_io$hid(r0, &(0x7f0000000240)={0x24, 0x0, 0x0, &(0x7f0000000080)=ANY=[@ANYRESDEC=r0, @ANYRES32=r0, @ANYRESDEC=r0], 0x0}, 0x0) (async) syz_usb_control_io$hid(r0, &(0x7f00000004c0)={0x24, 0x0, &(0x7f0000000000)={0x0, 0x3, 0x4, @lang_id={0x4}}, 0x0, 0x0}, 0x0) (async) syz_usb_control_io$hid(r0, &(0x7f0000000280)={0x24, 0x0, &(0x7f0000000340)={0x0, 0x3, 0x4, @string={0x4, 0x3, '_e'}}, 0x0, 0x0}, 0x0) (async) 03:14:28 executing program 3: r0 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) (async) r1 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r1, 0x1, r0, &(0x7f0000002280)) (async) epoll_ctl$EPOLL_CTL_MOD(r1, 0x3, r0, &(0x7f0000000040)) ioctl$int_in(r0, 0x5421, &(0x7f00000000c0)=0xb83b) (async, rerun: 64) openat$binder_debug(0xffffffffffffff9c, &(0x7f0000000000)='/sys/kernel/debug/binder/transactions\x00', 0x0, 0x0) (rerun: 64) r2 = socket$inet6(0xa, 0x100000003, 0x3c) setsockopt$inet6_MRT6_ADD_MFC_PROXY(r2, 0x29, 0xd2, &(0x7f0000000040)={{0xa, 0x4e20, 0xb788, @rand_addr=' \x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x01', 0x15}, {0xa, 0x4e23, 0x80000000, @empty, 0x1ff}, 0x1f, [0x7, 0x5, 0x9, 0xa8c, 0x410000ca, 0x3, 0x1d4, 0x108]}, 0x5c) sendto$inet6(r2, &(0x7f0000000000), 0x5c8, 0x0, &(0x7f0000000180)={0xa, 0x0, 0x0, @loopback={0x0, 0xac141409}}, 0x1c) 03:14:28 executing program 2: mprotect(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000004) sigaltstack(&(0x7f0000ffd000), &(0x7f0000000140)) (async) r0 = msgget(0x3, 0x4) (async) mlock(&(0x7f0000ffb000/0x2000)=nil, 0x2000) r1 = msgget(0x3, 0x200) shmctl$SHM_LOCK(0x0, 0xb) msgsnd(r1, &(0x7f0000000280)=ANY=[@ANYRESOCT=r0], 0x7a, 0x0) msgrcv(r1, &(0x7f0000000440)=ANY=[@ANYBLOB="00000000000000000000000000df000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000eace46000000000000000f0000000000000000000ffdf0000000000000000000000000000000000000000000000000000000000000000a50000000000000062a1a9428874769d7cbc9f5cf003bc4699e341c8be64da67aab410e009561d41b17948a87b12836032ef69469b643497d9fe53feedb4bcff504dcdf72f2141c5de5b7ab01f37e6ae80271cc8f23ba5f48fb1be90bf526c6946369f058ad364d41dc621c32de4cc5ea4f80afeac92f1e4c3497c5ae71afd4049ba31654feaf3512a36407ecac4a2ff"], 0xbd, 0x0, 0x0) shmctl$IPC_RMID(r1, 0x0) (async, rerun: 64) r2 = msgget$private(0x0, 0x2) (rerun: 64) msgsnd(r2, &(0x7f0000000040)=ANY=[@ANYRESHEX=r2, @ANYRES16=r1, @ANYRES16=r1, @ANYRES64, @ANYRES16], 0x8, 0x0) (async) msgrcv(r2, &(0x7f0000003580)=ANY=[@ANYBLOB="00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000fd00"/102408], 0x0, 0x0, 0x3000) (async, rerun: 64) r3 = msgget$private(0x0, 0x0) (rerun: 64) msgrcv(r3, &(0x7f0000001280)={0x0, ""/49}, 0x39, 0x1, 0x2000) (async) msgsnd(r3, &(0x7f00000007c0)=ANY=[@ANYBLOB="ab50ebb5229ccd586d181db87c652e2f6817b2169e3e3e6e7b252cb898596e04f324480d22b858cfdd2f5147608411925f3b8ae69b9c071a75f5482c824270687e38b11a0bdb33b8a5bfa1cb1081ddc1fcdc7d774f4402d425b78caea76702736b685f3be4e27a3462ab46febe4c548d3d525018ea6cda5811629497c9f4600aab5d46587b527a0ce7f1cfe3cb81c0e1916038dfdc58548bd240f5ef939120a35f5e877d72c4cc3c1c396182b59681d87bd792621a1a28dfbf7b050242437e138b63350382f4de5e9ac273041ac46a840004a93543f85325fd75a218370012d5ec324867e161b9fa216d524b29bff53a8b536b895fe353a9a601faf21e4857289c07b6f3f8fad70832fc945d1df5bb3dedd8cdfa3e28792b65c4ee356db9f49f5d4adc118d26ad0f88da850626aad05cd4cb45dcfb2dc5167bf3a2b1a784a0aaeb28819e160642a53df22893a6cd3f2e9d77148e62e54d11ae426bf5509a7d58e40e072e335535a1af79e18390bbe1c326596fdcf43f223d59c344f177dd68711d24f4a4a653064d5e641c62273b8d5bf6e0b2061e1776fd6e72134b8dcebe6bcf8879977571de0c495b16ff932f9b13f864b26b26658107b00b726e8005308dc2aa4e54c0c660f723fd50ddb4c040face571adbe7ce5c2059b5c498ef4df7ec20754b189e759faf4dc81fa2e208938c71050000001f180b428a4e85bdd928570a315fbecb9eb1a34c0000000000000000000000000000000000000000000000000054d4bae16fd6abdbfad388362201a4e2af2661f11783443b1601236b2e9b2678daa4ea18e5bd84ef2a005fed36a0369bfcd67cac23021d4b96ec8e0347f9201c94c8b82f74fb05096669fc0782d5ad1dc4f79f0e6ca0b2a4e41c360b324a3388ed59ac6f54c59893d50ed769435114911199e15c5bdc8650e2d930a66cc86c635962204a2aabca393cd89f57"], 0x8, 0x0) (async) msgget$private(0x0, 0x1) (async) msgrcv(r2, &(0x7f0000000680)=ANY=[@ANYBLOB="0000007a4d3111d19e61af00"/145, @ANYBLOB="0180206682a39fe58b057377b3654a37abca07ae61bf05b1cbaea57a7c65ae0a74c9edcce8adb65ce0eb98c6ff9a55768666e4b2e610136927b0e2f1f1", @ANYRESOCT, @ANYRES32=r0, @ANYRESHEX, @ANYRESHEX, @ANYRESDEC=r0], 0x91, 0x1, 0x800) (async) madvise(&(0x7f0000ffc000/0x2000)=nil, 0x2000, 0x2) (async) msgctl$IPC_STAT(r1, 0x2, &(0x7f0000000000)=""/4) (async, rerun: 32) msgget$private(0x0, 0xf1) (rerun: 32) 03:14:29 executing program 5: r0 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x1, 0x5, &(0x7f0000000ac0)=ANY=[@ANYBLOB="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"], &(0x7f0000000140)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x8, 0xffffffffffffffff, 0x8, &(0x7f0000000000), 0x8, 0x10, &(0x7f0000000000)={0x0, 0x0, 0x0, 0x3ff}, 0x10}, 0x80) r1 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000200), 0x0, 0x0) r2 = ioctl$KVM_CREATE_VM(r1, 0xae01, 0x0) r3 = socket(0x10, 0x8000000000000003, 0x0) dup2(r3, r2) connect$packet(r3, &(0x7f0000000180)={0x11, 0xc, 0x0, 0x1, 0xff, 0x6, @dev={'\xaa\xaa\xaa\xaa\xaa', 0x38}}, 0x14) bpf$BPF_PROG_TEST_RUN(0xa, &(0x7f00000000c0)={r0, 0x18000000000002a0, 0xe, 0x0, &(0x7f0000000380)="b9e403c6630d698cb8a00b04339c", 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}, 0x48) ioctl$sock_SIOCGIFINDEX(0xffffffffffffffff, 0x8933, &(0x7f0000000040)={'tunl0\x00'}) [ 399.982769][ T3612] usb 5-1: new high-speed USB device number 39 using dummy_hcd [ 400.001879][ T3553] usb 2-1: new high-speed USB device number 44 using dummy_hcd 03:14:29 executing program 2: mprotect(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000004) sigaltstack(&(0x7f0000ffd000), &(0x7f0000000140)) r0 = msgget(0x3, 0x4) mlock(&(0x7f0000ffb000/0x2000)=nil, 0x2000) r1 = msgget(0x3, 0x200) shmctl$SHM_LOCK(0x0, 0xb) msgsnd(r1, &(0x7f0000000280)=ANY=[@ANYRESOCT=r0], 0x7a, 0x0) msgrcv(r1, &(0x7f0000000440)=ANY=[@ANYBLOB="00000000000000000000000000df000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000eace46000000000000000f0000000000000000000ffdf0000000000000000000000000000000000000000000000000000000000000000a50000000000000062a1a9428874769d7cbc9f5cf003bc4699e341c8be64da67aab410e009561d41b17948a87b12836032ef69469b643497d9fe53feedb4bcff504dcdf72f2141c5de5b7ab01f37e6ae80271cc8f23ba5f48fb1be90bf526c6946369f058ad364d41dc621c32de4cc5ea4f80afeac92f1e4c3497c5ae71afd4049ba31654feaf3512a36407ecac4a2ff"], 0xbd, 0x0, 0x0) shmctl$IPC_RMID(r1, 0x0) r2 = msgget$private(0x0, 0x2) msgsnd(r2, &(0x7f0000000040)=ANY=[@ANYRESHEX=r2, @ANYRES16=r1, @ANYRES16=r1, @ANYRES64, @ANYRES16], 0x8, 0x0) msgrcv(r2, &(0x7f0000003580)=ANY=[@ANYBLOB="00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000fd00"/102408], 0x0, 0x0, 0x3000) r3 = msgget$private(0x0, 0x0) msgrcv(r3, &(0x7f0000001280)={0x0, ""/49}, 0x39, 0x1, 0x2000) msgsnd(r3, &(0x7f00000007c0)=ANY=[@ANYBLOB="ab50ebb5229ccd586d181db87c652e2f6817b2169e3e3e6e7b252cb898596e04f324480d22b858cfdd2f5147608411925f3b8ae69b9c071a75f5482c824270687e38b11a0bdb33b8a5bfa1cb1081ddc1fcdc7d774f4402d425b78caea76702736b685f3be4e27a3462ab46febe4c548d3d525018ea6cda5811629497c9f4600aab5d46587b527a0ce7f1cfe3cb81c0e1916038dfdc58548bd240f5ef939120a35f5e877d72c4cc3c1c396182b59681d87bd792621a1a28dfbf7b050242437e138b63350382f4de5e9ac273041ac46a840004a93543f85325fd75a218370012d5ec324867e161b9fa216d524b29bff53a8b536b895fe353a9a601faf21e4857289c07b6f3f8fad70832fc945d1df5bb3dedd8cdfa3e28792b65c4ee356db9f49f5d4adc118d26ad0f88da850626aad05cd4cb45dcfb2dc5167bf3a2b1a784a0aaeb28819e160642a53df22893a6cd3f2e9d77148e62e54d11ae426bf5509a7d58e40e072e335535a1af79e18390bbe1c326596fdcf43f223d59c344f177dd68711d24f4a4a653064d5e641c62273b8d5bf6e0b2061e1776fd6e72134b8dcebe6bcf8879977571de0c495b16ff932f9b13f864b26b26658107b00b726e8005308dc2aa4e54c0c660f723fd50ddb4c040face571adbe7ce5c2059b5c498ef4df7ec20754b189e759faf4dc81fa2e208938c71050000001f180b428a4e85bdd928570a315fbecb9eb1a34c0000000000000000000000000000000000000000000000000054d4bae16fd6abdbfad388362201a4e2af2661f11783443b1601236b2e9b2678daa4ea18e5bd84ef2a005fed36a0369bfcd67cac23021d4b96ec8e0347f9201c94c8b82f74fb05096669fc0782d5ad1dc4f79f0e6ca0b2a4e41c360b324a3388ed59ac6f54c59893d50ed769435114911199e15c5bdc8650e2d930a66cc86c635962204a2aabca393cd89f57"], 0x8, 0x0) msgget$private(0x0, 0x1) msgrcv(r2, &(0x7f0000000680)=ANY=[@ANYBLOB="0000007a4d3111d19e61af00"/145, @ANYBLOB="0180206682a39fe58b057377b3654a37abca07ae61bf05b1cbaea57a7c65ae0a74c9edcce8adb65ce0eb98c6ff9a55768666e4b2e610136927b0e2f1f1", @ANYRESOCT, @ANYRES32=r0, @ANYRESHEX, @ANYRESHEX, @ANYRESDEC=r0], 0x91, 0x1, 0x800) madvise(&(0x7f0000ffc000/0x2000)=nil, 0x2000, 0x2) msgctl$IPC_STAT(r1, 0x2, &(0x7f0000000000)=""/4) msgget$private(0x0, 0xf1) mprotect(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000004) (async) sigaltstack(&(0x7f0000ffd000), &(0x7f0000000140)) (async) msgget(0x3, 0x4) (async) mlock(&(0x7f0000ffb000/0x2000)=nil, 0x2000) (async) msgget(0x3, 0x200) (async) shmctl$SHM_LOCK(0x0, 0xb) (async) msgsnd(r1, &(0x7f0000000280)=ANY=[@ANYRESOCT=r0], 0x7a, 0x0) (async) msgrcv(r1, &(0x7f0000000440)=ANY=[@ANYBLOB="00000000000000000000000000df000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000eace46000000000000000f0000000000000000000ffdf0000000000000000000000000000000000000000000000000000000000000000a50000000000000062a1a9428874769d7cbc9f5cf003bc4699e341c8be64da67aab410e009561d41b17948a87b12836032ef69469b643497d9fe53feedb4bcff504dcdf72f2141c5de5b7ab01f37e6ae80271cc8f23ba5f48fb1be90bf526c6946369f058ad364d41dc621c32de4cc5ea4f80afeac92f1e4c3497c5ae71afd4049ba31654feaf3512a36407ecac4a2ff"], 0xbd, 0x0, 0x0) (async) shmctl$IPC_RMID(r1, 0x0) (async) msgget$private(0x0, 0x2) (async) msgsnd(r2, &(0x7f0000000040)=ANY=[@ANYRESHEX=r2, @ANYRES16=r1, @ANYRES16=r1, @ANYRES64, @ANYRES16], 0x8, 0x0) (async) msgrcv(r2, &(0x7f0000003580)=ANY=[@ANYBLOB="00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000fd00"/102408], 0x0, 0x0, 0x3000) (async) msgget$private(0x0, 0x0) (async) msgrcv(r3, &(0x7f0000001280)={0x0, ""/49}, 0x39, 0x1, 0x2000) (async) msgsnd(r3, &(0x7f00000007c0)=ANY=[@ANYBLOB="ab50ebb5229ccd586d181db87c652e2f6817b2169e3e3e6e7b252cb898596e04f324480d22b858cfdd2f5147608411925f3b8ae69b9c071a75f5482c824270687e38b11a0bdb33b8a5bfa1cb1081ddc1fcdc7d774f4402d425b78caea76702736b685f3be4e27a3462ab46febe4c548d3d525018ea6cda5811629497c9f4600aab5d46587b527a0ce7f1cfe3cb81c0e1916038dfdc58548bd240f5ef939120a35f5e877d72c4cc3c1c396182b59681d87bd792621a1a28dfbf7b050242437e138b63350382f4de5e9ac273041ac46a840004a93543f85325fd75a218370012d5ec324867e161b9fa216d524b29bff53a8b536b895fe353a9a601faf21e4857289c07b6f3f8fad70832fc945d1df5bb3dedd8cdfa3e28792b65c4ee356db9f49f5d4adc118d26ad0f88da850626aad05cd4cb45dcfb2dc5167bf3a2b1a784a0aaeb28819e160642a53df22893a6cd3f2e9d77148e62e54d11ae426bf5509a7d58e40e072e335535a1af79e18390bbe1c326596fdcf43f223d59c344f177dd68711d24f4a4a653064d5e641c62273b8d5bf6e0b2061e1776fd6e72134b8dcebe6bcf8879977571de0c495b16ff932f9b13f864b26b26658107b00b726e8005308dc2aa4e54c0c660f723fd50ddb4c040face571adbe7ce5c2059b5c498ef4df7ec20754b189e759faf4dc81fa2e208938c71050000001f180b428a4e85bdd928570a315fbecb9eb1a34c0000000000000000000000000000000000000000000000000054d4bae16fd6abdbfad388362201a4e2af2661f11783443b1601236b2e9b2678daa4ea18e5bd84ef2a005fed36a0369bfcd67cac23021d4b96ec8e0347f9201c94c8b82f74fb05096669fc0782d5ad1dc4f79f0e6ca0b2a4e41c360b324a3388ed59ac6f54c59893d50ed769435114911199e15c5bdc8650e2d930a66cc86c635962204a2aabca393cd89f57"], 0x8, 0x0) (async) msgget$private(0x0, 0x1) (async) msgrcv(r2, &(0x7f0000000680)=ANY=[@ANYBLOB="0000007a4d3111d19e61af00"/145, @ANYBLOB="0180206682a39fe58b057377b3654a37abca07ae61bf05b1cbaea57a7c65ae0a74c9edcce8adb65ce0eb98c6ff9a55768666e4b2e610136927b0e2f1f1", @ANYRESOCT, @ANYRES32=r0, @ANYRESHEX, @ANYRESHEX, @ANYRESDEC=r0], 0x91, 0x1, 0x800) (async) madvise(&(0x7f0000ffc000/0x2000)=nil, 0x2000, 0x2) (async) msgctl$IPC_STAT(r1, 0x2, &(0x7f0000000000)=""/4) (async) msgget$private(0x0, 0xf1) (async) [ 400.242385][ T3553] usb 2-1: Using ep0 maxpacket: 8 [ 400.353411][ T3612] usb 5-1: config 0 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 0, changing to 7 [ 400.364937][ T3612] usb 5-1: config 0 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 400.375192][ T3612] usb 5-1: New USB device found, idVendor=5543, idProduct=0081, bcdDevice= 0.00 [ 400.384469][ T3612] usb 5-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 03:14:29 executing program 5: r0 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x1, 0x5, &(0x7f0000000ac0)=ANY=[@ANYBLOB="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"], &(0x7f0000000140)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x8, 0xffffffffffffffff, 0x8, &(0x7f0000000000), 0x8, 0x10, &(0x7f0000000000)={0x0, 0x0, 0x0, 0x3ff}, 0x10}, 0x80) r1 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000200), 0x0, 0x0) r2 = ioctl$KVM_CREATE_VM(r1, 0xae01, 0x0) (async) r3 = socket(0x10, 0x8000000000000003, 0x0) dup2(r3, r2) connect$packet(r3, &(0x7f0000000180)={0x11, 0xc, 0x0, 0x1, 0xff, 0x6, @dev={'\xaa\xaa\xaa\xaa\xaa', 0x38}}, 0x14) (async) bpf$BPF_PROG_TEST_RUN(0xa, &(0x7f00000000c0)={r0, 0x18000000000002a0, 0xe, 0x0, &(0x7f0000000380)="b9e403c6630d698cb8a00b04339c", 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}, 0x48) (async) ioctl$sock_SIOCGIFINDEX(0xffffffffffffffff, 0x8933, &(0x7f0000000040)={'tunl0\x00'}) [ 400.402289][ T3553] usb 2-1: config index 0 descriptor too short (expected 65316, got 36) [ 400.410812][ T3553] usb 2-1: config 250 has too many interfaces: 255, using maximum allowed: 32 [ 400.420084][ T3553] usb 2-1: config 250 has 1 interface, different from the descriptor's value: 255 [ 400.429536][ T3553] usb 2-1: config 250 has no interface number 0 [ 400.439125][ T3553] usb 2-1: config 250 interface 228 altsetting 255 endpoint 0x1 has invalid maxpacket 65280, setting to 1024 [ 400.451423][ T3553] usb 2-1: config 250 interface 228 altsetting 255 bulk endpoint 0x1 has invalid maxpacket 1024 [ 400.462084][ T3553] usb 2-1: config 250 interface 228 altsetting 255 bulk endpoint 0x82 has invalid maxpacket 36 [ 400.472687][ T3553] usb 2-1: config 250 interface 228 altsetting 255 has 2 endpoint descriptors, different from the interface descriptor's value: 17 [ 400.486431][ T3553] usb 2-1: config 250 interface 228 has no altsetting 0 [ 400.534933][ T3612] usb 5-1: config 0 descriptor?? 03:14:29 executing program 3: r0 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) (async, rerun: 32) r1 = epoll_create1(0x0) (rerun: 32) epoll_ctl$EPOLL_CTL_ADD(r1, 0x1, r0, &(0x7f0000002280)) epoll_ctl$EPOLL_CTL_MOD(r1, 0x3, r0, &(0x7f0000000040)) (async) ioctl$int_in(r0, 0x5421, &(0x7f00000000c0)=0xb83b) openat$binder_debug(0xffffffffffffff9c, &(0x7f0000000000)='/sys/kernel/debug/binder/transactions\x00', 0x0, 0x0) (async) r2 = socket$inet6(0xa, 0x100000003, 0x3c) setsockopt$inet6_MRT6_ADD_MFC_PROXY(r2, 0x29, 0xd2, &(0x7f0000000040)={{0xa, 0x4e20, 0xb788, @rand_addr=' \x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x01', 0x15}, {0xa, 0x4e23, 0x80000000, @empty, 0x1ff}, 0x1f, [0x7, 0x5, 0x9, 0xa8c, 0x410000ca, 0x3, 0x1d4, 0x108]}, 0x5c) (async) sendto$inet6(r2, &(0x7f0000000000), 0x5c8, 0x0, &(0x7f0000000180)={0xa, 0x0, 0x0, @loopback={0x0, 0xac141409}}, 0x1c) [ 400.722361][ T3553] usb 2-1: New USB device found, idVendor=0525, idProduct=d292, bcdDevice= 0.25 [ 400.731859][ T3553] usb 2-1: New USB device strings: Mfr=0, Product=0, SerialNumber=1 [ 400.740005][ T3553] usb 2-1: SerialNumber: syz [ 400.842965][ T8150] raw-gadget gadget: fail, usb_ep_enable returned -22 [ 400.850864][ T8150] raw-gadget gadget: fail, usb_ep_enable returned -22 [ 400.924739][ T3553] hub 2-1:250.228: bad descriptor, ignoring hub [ 400.931146][ T3553] hub: probe of 2-1:250.228 failed with error -5 [ 401.047270][ T8152] UDC core: couldn't find an available UDC or it's busy: -16 [ 401.057602][ T8152] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 401.148111][ T3553] usblp 2-1:250.228: usblp0: USB Bidirectional printer dev 44 if 228 alt 255 proto 3 vid 0x0525 pid 0xD292 [ 401.150655][ T8211] UDC core: couldn't find an available UDC or it's busy: -16 [ 401.168792][ T8211] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 401.169505][ T3612] usbhid 5-1:0.0: can't add hid device: -32 [ 401.183368][ T3612] usbhid: probe of 5-1:0.0 failed with error -32 [ 401.233665][ T3553] usb 2-1: USB disconnect, device number 44 [ 401.245798][ T3553] usblp0: removed [ 401.270261][ T8211] xt_NFQUEUE: number of total queues is 0 03:14:31 executing program 1: r0 = syz_usb_connect$printer(0x0, 0x36, &(0x7f0000000300)=ANY=[@ANYBLOB="1201000009000008250592d2250000000101090224fffffa0074980904e4ff11070103000905010200ffe000000905820224"], 0x0) syz_usb_control_io$printer(r0, 0x0, &(0x7f0000000180)={0x34, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000040)={0x20, 0x0, 0x1}}) r1 = socket$inet6_sctp(0xa, 0x801, 0x84) connect$inet6(r1, &(0x7f00000000c0)={0xa, 0x0, 0x0, @local, 0x6}, 0x1c) (async) syz_open_dev$char_usb(0xc, 0xb4, 0x0) (async) syz_usb_disconnect(r0) (async) r2 = syz_usb_connect(0x0, 0x24, &(0x7f0000000340)=ANY=[], 0x0) (async) r3 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000200), 0x0, 0x0) r4 = ioctl$KVM_CREATE_VM(r3, 0xae01, 0x0) (async) r5 = socket(0x10, 0x8000000000000003, 0x0) dup2(r5, r4) setsockopt$inet_sctp6_SCTP_RECVRCVINFO(r5, 0x84, 0x20, &(0x7f0000000000)=0x8000, 0x4) r6 = socket$inet_icmp_raw(0x2, 0x3, 0x1) setsockopt$IPT_SO_SET_REPLACE(r6, 0x4000000000000, 0x40, &(0x7f00000004c0)=@raw={'raw\x00', 0x4001, 0x3, 0x548, 0x420, 0xb, 0x148, 0x420, 0x148, 0x4b0, 0x240, 0x240, 0x4b0, 0x215, 0x3, 0x0, {[{{@ip={@local, @local, 0x0, 0x0, 'ip6gretap0\x00', 'veth0\x00'}, 0x0, 0x3f8, 0x420, 0x0, {}, [@common=@inet=@hashlimit3={{0x158}, {'ipvlan1\x00', {0x0, 0x20, 0x0, 0x0, 0x0, 0xffff, 0xffffff81}}}, @common=@unspec=@bpf1={{0x230, 'bpf\x00', 0x0}, @pinned={0x1, 0x0, 0x6, './file0\x00'}}]}, @common=@unspec=@NFQUEUE1={0x28}}, {{@uncond, 0xec010000, 0x70, 0x90}, @unspec=@NOTRACK={0x20}}], {{'\x00', 0x0, 0x70, 0x98}, {0x28}}}}, 0x5a8) (async) syz_usb_control_io$hid(r2, 0x0, 0x0) 03:14:31 executing program 2: r0 = syz_usb_connect$uac1(0x0, 0x96, &(0x7f0000000240)=ANY=[@ANYBLOB="12010000000000086b1d01014000010203010902840003010000000904000000010100000a2401"], 0x0) syz_usb_control_io$uac1(r0, &(0x7f0000000140)={0x14, &(0x7f0000000000)={0x40, 0x24, 0xf4, {0xf4, 0x23, "fbe058800c7a15997a8c2bac4b0df22a5014eb805ce91c2af622b80a0b9fa03bf3857c02a458c2f8595c865e0ace8251e66b4c0bb4c788fe81f90b38910eeaaf61fa2f014de86fbf29c78c32185cd3a4741e1ac15b77b8f8e0e4c4188978f37b13da222012583c18138d670bedee6e8fa88ee0113f0b64e18e1de2e9c04159fd8b9696906ecb7fd4dd5c2095ff5afb4111b2b013d639b46a9e69edb6074478f318ec0cfcc2ff011041f2b730a2bbc4ba2769dea1b2f1b5bc13a4562ba5d3b647d0c3f149dfbb891e4b29e08ba8d8a4b6cb8c727e173a9b76b1cdc3bfc378e47615295824d9e1450dbbe20b6d4f33f70ecdd9"}}, &(0x7f0000000100)={0x0, 0x3, 0x33, @string={0x33, 0x3, "8f2d14e9805d7414f72d0a960ff22f647e1bb87454ecb18a3bd7ffa764749e7a6bd12b7b1ae0c42aac10b19817dd71f4ff"}}}, &(0x7f0000000400)={0x44, &(0x7f0000000180)={0x0, 0x14, 0x3f, "423f77d1947848cf8945a459999e8689c1401a0810340c070086e436371b997e0872c5901827eda488d2b20e0a372804a83d6ae82ed9b46ba6da9525556297"}, &(0x7f0000000200)={0x0, 0xa, 0x1, 0x5}, &(0x7f0000000280)={0x0, 0x8, 0x1, 0x9}, &(0x7f00000002c0)={0x20, 0x81, 0x3, "eba7b5"}, &(0x7f0000000300)={0x20, 0x82, 0x1, '8'}, &(0x7f0000000340)={0x20, 0x83, 0x1, 'E'}, &(0x7f0000000380)={0x20, 0x84, 0x1, "ce"}, &(0x7f00000003c0)={0x20, 0x85, 0x3, "f785ca"}}) syz_usb_control_io(0xffffffffffffffff, &(0x7f0000000640)={0x2c, &(0x7f0000000480)={0x20, 0x23, 0x34, {0x34, 0xf, "27a13757be83b6f6cc5d6e5370828f0f800e9c6245d8e11015329445f46a111a3fed7b06fd4405d91706d3ceb918b554a985"}}, &(0x7f00000004c0)={0x0, 0x3, 0x86, @string={0x86, 0x3, "dbea471f469c0f29da1a8604784ddf8affb19d06d8287b7d8d6d5ea3b1c3c3ffea7e35cc5070fa28201b30cffc0e2bfc7998e022feee3b7644c3d4c11786905ff0d2672a79237dbc26aee0f5d4483c6e994084e33f1a611918a7da1ef053b794aad2dcdf1cbdfbd1a1c978a45a08ce81c48fb8271493a2ed52bbc572fa96b322c7f98a94"}}, &(0x7f0000000580)={0x0, 0xf, 0x5, {0x5, 0xf, 0x5}}, &(0x7f00000005c0)={0x20, 0x29, 0xf, {0xf, 0x29, 0x3, 0x3, 0x93, 0x67, "bd1d7c01", "3711fea8"}}, &(0x7f0000000600)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x9, 0x0, 0x0, 0x0, 0xd3, 0xfffe, 0x5}}}, &(0x7f0000000b00)={0x84, &(0x7f0000000680)={0x0, 0x11, 0xc2, "aeb0328f26c64e2c45d6dd9d79b75210adf16acfdebb426477a7a5a060c5c8476e3ad4ecd6013e56b7892bdc0c8324b71e425f8c59c08d1ba3e7e6091e48ad501c914b29c03c0806f4dd5350f88de48f21e3990476680a94c2dbc81487d98e455200a7d9a8a0c4f689eb17f85c9964ec430783983afbfda68949f7400cbe31399e321b3028cc560948569c5177a2aa8f2e01273793054ae59f41e2ce0f813c178a151d6555e544a5806cf32cc58efe7419ced6a6372443c3d460116805072024e51b"}, &(0x7f0000000780)={0x0, 0xa, 0x1}, &(0x7f00000007c0)={0x0, 0x8, 0x1, 0x7f}, 0xffffffffffffffff, &(0x7f0000000800)={0x20, 0x0, 0x8, {0x80, 0x20, [0xf]}}, &(0x7f0000000840)={0x40, 0x7, 0x2, 0x8000}, &(0x7f0000000880)={0x40, 0x9, 0x1, 0x20}, &(0x7f00000008c0)={0x40, 0xb, 0x2, "b7fb"}, &(0x7f0000000900)={0x40, 0xf, 0x2, 0x7}, &(0x7f0000000940)={0x40, 0x13, 0x6, @dev={'\xaa\xaa\xaa\xaa\xaa', 0x2d}}, &(0x7f0000000980)={0x40, 0x17, 0x6, @random="75a5e5ce1073"}, &(0x7f00000009c0)={0x40, 0x19, 0x2, "2b1b"}, &(0x7f0000000a00)={0x40, 0x1a, 0x2, 0xfff}, &(0x7f0000000a40)={0x40, 0x1c, 0x1, 0x1f}, &(0x7f0000000a80)={0x40, 0x1e, 0x1, 0x20}, &(0x7f0000000ac0)={0x40, 0x21, 0x1, 0x6}}) 03:14:31 executing program 0: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000140), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000fe7000/0x18000)=nil, &(0x7f0000000040)=[@text64={0x40, &(0x7f0000000080)="7630f84cb8cb656784ef66bafc0cec66ba6100b806000000ef48b8ebd00000000000000f23d00f21f8350000000b0f23f88f09d09b366564643e41c7c2bc700000260f01df8f89783cdb343401df400f06", 0x51}], 0x1, 0x0, 0x0, 0x0) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000bf7000)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)={0x69}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000380)={[0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfff]}) ioctl$KVM_RUN(r2, 0xae80, 0x0) syz_kvm_setup_cpu$x86(r1, 0xffffffffffffffff, &(0x7f0000fe8000/0x18000)=nil, &(0x7f0000000340)=[@text32={0x20, &(0x7f00000002c0)="c4c27d2abf08000000660f11570367f3c2e30066b824008ed066f20f019c7b0000000066660f38816c26160f30b88f8600000f23c80f21f835080030000f23f866ba6100b86c590000ef8fe9009b730b", 0x50}], 0x1, 0x4, &(0x7f0000000440), 0x0) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000180)={[{0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x7f}, {}, {0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1}]}) ioctl$KVM_RUN(r2, 0xae80, 0x0) r3 = openat$null(0xffffffffffffff9c, &(0x7f0000000100), 0x420200, 0x0) ioctl$KVM_SET_REGS(r3, 0x4090ae82, &(0x7f0000000200)={[0xffffffff, 0x81, 0x5, 0x1, 0x7fff, 0xffff, 0x7, 0x8, 0x5f3e, 0x100000001, 0xef9, 0x9, 0x9, 0x1, 0x9, 0xffffffff], 0xf3c95ccc02984e4e}) openat$kvm(0xffffffffffffff9c, &(0x7f0000000140), 0x0, 0x0) (async) ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) (async) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000fe7000/0x18000)=nil, &(0x7f0000000040)=[@text64={0x40, &(0x7f0000000080)="7630f84cb8cb656784ef66bafc0cec66ba6100b806000000ef48b8ebd00000000000000f23d00f21f8350000000b0f23f88f09d09b366564643e41c7c2bc700000260f01df8f89783cdb343401df400f06", 0x51}], 0x1, 0x0, 0x0, 0x0) (async) ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) (async) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000bf7000)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) (async) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)={0x69}) (async) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000380)={[0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfff]}) (async) ioctl$KVM_RUN(r2, 0xae80, 0x0) (async) syz_kvm_setup_cpu$x86(r1, 0xffffffffffffffff, &(0x7f0000fe8000/0x18000)=nil, &(0x7f0000000340)=[@text32={0x20, &(0x7f00000002c0)="c4c27d2abf08000000660f11570367f3c2e30066b824008ed066f20f019c7b0000000066660f38816c26160f30b88f8600000f23c80f21f835080030000f23f866ba6100b86c590000ef8fe9009b730b", 0x50}], 0x1, 0x4, &(0x7f0000000440), 0x0) (async) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000180)={[{0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x7f}, {}, {0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1}]}) (async) ioctl$KVM_RUN(r2, 0xae80, 0x0) (async) openat$null(0xffffffffffffff9c, &(0x7f0000000100), 0x420200, 0x0) (async) ioctl$KVM_SET_REGS(r3, 0x4090ae82, &(0x7f0000000200)={[0xffffffff, 0x81, 0x5, 0x1, 0x7fff, 0xffff, 0x7, 0x8, 0x5f3e, 0x100000001, 0xef9, 0x9, 0x9, 0x1, 0x9, 0xffffffff], 0xf3c95ccc02984e4e}) (async) 03:14:31 executing program 5: r0 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x1, 0x5, &(0x7f0000000ac0)=ANY=[@ANYBLOB="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"], &(0x7f0000000140)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x8, 0xffffffffffffffff, 0x8, &(0x7f0000000000), 0x8, 0x10, &(0x7f0000000000)={0x0, 0x0, 0x0, 0x3ff}, 0x10}, 0x80) (async) r1 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000200), 0x0, 0x0) r2 = ioctl$KVM_CREATE_VM(r1, 0xae01, 0x0) r3 = socket(0x10, 0x8000000000000003, 0x0) dup2(r3, r2) (async, rerun: 32) connect$packet(r3, &(0x7f0000000180)={0x11, 0xc, 0x0, 0x1, 0xff, 0x6, @dev={'\xaa\xaa\xaa\xaa\xaa', 0x38}}, 0x14) (async, rerun: 32) bpf$BPF_PROG_TEST_RUN(0xa, &(0x7f00000000c0)={r0, 0x18000000000002a0, 0xe, 0x0, &(0x7f0000000380)="b9e403c6630d698cb8a00b04339c", 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}, 0x48) ioctl$sock_SIOCGIFINDEX(0xffffffffffffffff, 0x8933, &(0x7f0000000040)={'tunl0\x00'}) 03:14:31 executing program 3: r0 = fcntl$dupfd(0xffffffffffffffff, 0x0, 0xffffffffffffffff) ioctl$SNDRV_CTL_IOCTL_RAWMIDI_PREFER_SUBDEVICE(r0, 0x40045542, &(0x7f0000000000)=0x1) socket$netlink(0x10, 0x3, 0x15) openat$vga_arbiter(0xffffffffffffff9c, 0x0, 0x199640, 0x0) r1 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r1, &(0x7f00000000c0)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000100)={{0x14}, [@NFT_MSG_NEWTABLE={0x20, 0x0, 0xa, 0x3, 0x0, 0x0, {}, [@NFTA_TABLE_NAME={0x9, 0x1, 'syz0\x00'}]}, @NFT_MSG_NEWSET={0x68, 0x9, 0xa, 0x401, 0x0, 0x0, {}, [@NFTA_SET_ID={0x8}, @NFTA_SET_NAME={0x9, 0x2, 'syz1\x00'}, @NFTA_SET_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_SET_KEY_LEN={0x8, 0x5, 0x1, 0x0, 0x2}, @NFTA_SET_EXPR={0x2c, 0x11, 0x0, 0x1, @cmp={{0x8}, @val={0x20, 0x2, 0x0, 0x1, [@NFTA_CMP_DATA={0xc, 0x3, 0x0, 0x1, [@NFTA_DATA_VALUE={0x5, 0x1, "ef"}]}, @NFTA_CMP_SREG={0x8}, @NFTA_CMP_OP={0x8}]}}}]}], {0x14, 0x10}}, 0xb0}}, 0x0) newfstatat(0xffffffffffffff9c, &(0x7f0000000040)='./file0\x00', &(0x7f0000000080)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}, 0x6000) setsockopt$sock_cred(r1, 0x1, 0x11, &(0x7f0000000100)={0x0, 0xee00, r2}, 0xc) syz_clone(0xc18e0100, &(0x7f0000000380)="d2120d257f166295", 0x8, &(0x7f00000003c0), &(0x7f0000000400), &(0x7f0000000440)="7304c6ea55efb22f0d426e0ae003f746b7662cc60a317843562f0f0752724e21552d515c7548595acb1b6c28f5ba179d892c930c2abab1f4268854a1d010a46db12c6e7fc15bb6a1b0a2f4f65240649936b74af84bf77ea95ed04c3de901eec7996435ab4941e172343443e203ef5c4177bf4fc2569f1ebc9b9a3aa881e4febe9d6779ae0ea6f35f1a30174e3f17dfad3e") [ 402.272207][ T3553] usb 3-1: new high-speed USB device number 20 using dummy_hcd [ 402.432012][ T3545] usb 2-1: new high-speed USB device number 45 using dummy_hcd [ 402.541860][ T3553] usb 3-1: Using ep0 maxpacket: 8 [ 402.672101][ T3545] usb 2-1: Using ep0 maxpacket: 8 [ 402.692532][ T3553] usb 3-1: config 1 has an invalid descriptor of length 0, skipping remainder of the config [ 402.702870][ T3553] usb 3-1: config 1 has 1 interface, different from the descriptor's value: 3 03:14:31 executing program 4: r0 = syz_usb_connect$hid(0x0, 0x36, &(0x7f0000000040)={{0x12, 0x1, 0x0, 0x0, 0x0, 0x0, 0x40, 0x5543, 0x81, 0x0, 0x0, 0x0, 0x0, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x1, 0x3, 0x0, 0x0, 0x0, {0x9, 0x21, 0x0, 0x0, 0x1, {0x22, 0x9}}}}]}}]}}, 0x0) syz_usb_control_io$hid(r0, &(0x7f0000000240)={0x24, 0x0, 0x0, &(0x7f0000000080)=ANY=[@ANYRESDEC=r0, @ANYRES32=r0, @ANYRESDEC=r0], 0x0}, 0x0) syz_usb_control_io$hid(r0, &(0x7f00000004c0)={0x24, 0x0, &(0x7f0000000000)={0x0, 0x3, 0x4, @lang_id={0x4}}, 0x0, 0x0}, 0x0) (async) syz_usb_control_io$hid(r0, &(0x7f0000000280)={0x24, 0x0, &(0x7f0000000340)={0x0, 0x3, 0x4, @string={0x4, 0x3, '_e'}}, 0x0, 0x0}, 0x0) 03:14:31 executing program 5: bpf$PROG_LOAD(0x5, &(0x7f00000017c0)={0x1, 0xe, &(0x7f0000000480)=ANY=[@ANYBLOB="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"], &(0x7f00000001c0)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x7, 0xffffffffffffffff, 0x8, &(0x7f0000000000), 0x8, 0x10, &(0x7f0000000000), 0x10}, 0x80) r0 = open(&(0x7f0000000080)='./bus\x00', 0x1eb142, 0x0) mmap(&(0x7f0000001000/0xa000)=nil, 0xa000, 0x0, 0x11, r0, 0x0) r1 = openat$hwrng(0xffffffffffffff9c, &(0x7f0000000300), 0x1, 0x0) bpf$PROG_LOAD(0x5, &(0x7f00000010c0)={0xa, 0x5, &(0x7f0000001200)=@framed={{0x18, 0x0, 0x0, 0x0, 0x7}, [@map_fd={0x18, 0x4, 0x1, 0x0, r1}]}, &(0x7f0000000340)='syzkaller\x00', 0x1, 0x75, &(0x7f0000000380)=""/117, 0x100, 0xd, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, &(0x7f0000000400)={0x4, 0x5}, 0x8, 0x10, &(0x7f0000000440)={0x2, 0xd, 0x1, 0x2e}, 0x10, 0x0, 0xffffffffffffffff, 0x0, &(0x7f0000001080)=[r0, 0xffffffffffffffff]}, 0x80) pipe2(&(0x7f0000000200)={0xffffffffffffffff}, 0x2800) r3 = open$dir(&(0x7f0000001140)='./bus\x00', 0x24000, 0x1) r4 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) r5 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r5, 0x1, r4, &(0x7f0000002280)) epoll_ctl$EPOLL_CTL_MOD(r5, 0x3, r4, &(0x7f0000000040)={0xc7af0754c95832d7}) syz_io_uring_submit(0x0, 0x0, &(0x7f0000001180)=@IORING_OP_TEE={0x21, 0x3, 0x0, @fd=r3, 0x0, 0x0, 0x7, 0x4, 0x0, {0x0, 0x0, r5}}, 0x0) bpf$PROG_LOAD(0x5, &(0x7f0000000280)={0xb, 0x6, &(0x7f0000000040)=@raw=[@alu={0x4, 0x0, 0xb, 0x2, 0x6, 0xffffffffffffffff}, @jmp={0x5, 0x0, 0x5, 0x0, 0x7, 0x20, 0x10}, @call={0x85, 0x0, 0x0, 0x8d}, @cb_func={0x18, 0xb, 0x4, 0x0, 0xfffffffffffffff8}, @generic={0x3f, 0xa, 0x0, 0x5, 0x2}], &(0x7f0000000080)='syzkaller\x00', 0x20, 0x5d, &(0x7f00000000c0)=""/93, 0x41000, 0xa, '\x00', 0x0, 0x19, 0xffffffffffffffff, 0x8, &(0x7f0000000140)={0x2, 0x1}, 0x8, 0x10, &(0x7f0000000180)={0x0, 0x1, 0x6, 0xffffffff}, 0x10, 0xffffffffffffffff, 0xffffffffffffffff, 0x0, &(0x7f0000000240)=[0xffffffffffffffff, 0xffffffffffffffff, r2]}, 0x80) epoll_ctl$EPOLL_CTL_MOD(r0, 0x3, r4, &(0x7f00000011c0)) 03:14:31 executing program 0: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000140), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000fe7000/0x18000)=nil, &(0x7f0000000040)=[@text64={0x40, &(0x7f0000000080)="7630f84cb8cb656784ef66bafc0cec66ba6100b806000000ef48b8ebd00000000000000f23d00f21f8350000000b0f23f88f09d09b366564643e41c7c2bc700000260f01df8f89783cdb343401df400f06", 0x51}], 0x1, 0x0, 0x0, 0x0) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000bf7000)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) (async) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)={0x69}) (async) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000380)={[0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfff]}) (async) ioctl$KVM_RUN(r2, 0xae80, 0x0) (async) syz_kvm_setup_cpu$x86(r1, 0xffffffffffffffff, &(0x7f0000fe8000/0x18000)=nil, &(0x7f0000000340)=[@text32={0x20, &(0x7f00000002c0)="c4c27d2abf08000000660f11570367f3c2e30066b824008ed066f20f019c7b0000000066660f38816c26160f30b88f8600000f23c80f21f835080030000f23f866ba6100b86c590000ef8fe9009b730b", 0x50}], 0x1, 0x4, &(0x7f0000000440), 0x0) (async) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000180)={[{0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x7f}, {}, {0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1}]}) (async) ioctl$KVM_RUN(r2, 0xae80, 0x0) (async) r3 = openat$null(0xffffffffffffff9c, &(0x7f0000000100), 0x420200, 0x0) ioctl$KVM_SET_REGS(r3, 0x4090ae82, &(0x7f0000000200)={[0xffffffff, 0x81, 0x5, 0x1, 0x7fff, 0xffff, 0x7, 0x8, 0x5f3e, 0x100000001, 0xef9, 0x9, 0x9, 0x1, 0x9, 0xffffffff], 0xf3c95ccc02984e4e}) 03:14:31 executing program 3: r0 = fcntl$dupfd(0xffffffffffffffff, 0x0, 0xffffffffffffffff) ioctl$SNDRV_CTL_IOCTL_RAWMIDI_PREFER_SUBDEVICE(r0, 0x40045542, &(0x7f0000000000)=0x1) socket$netlink(0x10, 0x3, 0x15) (async) openat$vga_arbiter(0xffffffffffffff9c, 0x0, 0x199640, 0x0) r1 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r1, &(0x7f00000000c0)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000100)={{0x14}, [@NFT_MSG_NEWTABLE={0x20, 0x0, 0xa, 0x3, 0x0, 0x0, {}, [@NFTA_TABLE_NAME={0x9, 0x1, 'syz0\x00'}]}, @NFT_MSG_NEWSET={0x68, 0x9, 0xa, 0x401, 0x0, 0x0, {}, [@NFTA_SET_ID={0x8}, @NFTA_SET_NAME={0x9, 0x2, 'syz1\x00'}, @NFTA_SET_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_SET_KEY_LEN={0x8, 0x5, 0x1, 0x0, 0x2}, @NFTA_SET_EXPR={0x2c, 0x11, 0x0, 0x1, @cmp={{0x8}, @val={0x20, 0x2, 0x0, 0x1, [@NFTA_CMP_DATA={0xc, 0x3, 0x0, 0x1, [@NFTA_DATA_VALUE={0x5, 0x1, "ef"}]}, @NFTA_CMP_SREG={0x8}, @NFTA_CMP_OP={0x8}]}}}]}], {0x14, 0x10}}, 0xb0}}, 0x0) newfstatat(0xffffffffffffff9c, &(0x7f0000000040)='./file0\x00', &(0x7f0000000080)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}, 0x6000) setsockopt$sock_cred(r1, 0x1, 0x11, &(0x7f0000000100)={0x0, 0xee00, r2}, 0xc) syz_clone(0xc18e0100, &(0x7f0000000380)="d2120d257f166295", 0x8, &(0x7f00000003c0), &(0x7f0000000400), &(0x7f0000000440)="7304c6ea55efb22f0d426e0ae003f746b7662cc60a317843562f0f0752724e21552d515c7548595acb1b6c28f5ba179d892c930c2abab1f4268854a1d010a46db12c6e7fc15bb6a1b0a2f4f65240649936b74af84bf77ea95ed04c3de901eec7996435ab4941e172343443e203ef5c4177bf4fc2569f1ebc9b9a3aa881e4febe9d6779ae0ea6f35f1a30174e3f17dfad3e") [ 402.737832][ T3559] usb 5-1: USB disconnect, device number 39 [ 402.793052][ T3545] usb 2-1: config index 0 descriptor too short (expected 65316, got 36) [ 402.802180][ T3545] usb 2-1: config 250 has too many interfaces: 255, using maximum allowed: 32 [ 402.811185][ T3545] usb 2-1: config 250 has 1 interface, different from the descriptor's value: 255 [ 402.820701][ T3545] usb 2-1: config 250 has no interface number 0 [ 402.827202][ T3545] usb 2-1: config 250 interface 228 altsetting 255 endpoint 0x1 has invalid maxpacket 65280, setting to 1024 [ 402.839097][ T3545] usb 2-1: config 250 interface 228 altsetting 255 bulk endpoint 0x1 has invalid maxpacket 1024 [ 402.849830][ T3545] usb 2-1: config 250 interface 228 altsetting 255 bulk endpoint 0x82 has invalid maxpacket 36 [ 402.860508][ T3545] usb 2-1: config 250 interface 228 altsetting 255 has 2 endpoint descriptors, different from the interface descriptor's value: 17 [ 402.874302][ T3545] usb 2-1: config 250 interface 228 has no altsetting 0 [ 402.952297][ T3553] usb 3-1: New USB device found, idVendor=1d6b, idProduct=0101, bcdDevice= 0.40 [ 402.961618][ T3553] usb 3-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 402.962585][ T3545] usb 2-1: New USB device found, idVendor=0525, idProduct=d292, bcdDevice= 0.25 [ 402.969948][ T3553] usb 3-1: Product: syz [ 402.970032][ T3553] usb 3-1: Manufacturer: syz [ 402.979231][ T3545] usb 2-1: New USB device strings: Mfr=0, Product=0, SerialNumber=1 [ 402.983570][ T3553] usb 3-1: SerialNumber: syz [ 402.988035][ T3545] usb 2-1: SerialNumber: syz 03:14:32 executing program 5: bpf$PROG_LOAD(0x5, &(0x7f00000017c0)={0x1, 0xe, &(0x7f0000000480)=ANY=[@ANYBLOB="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"], &(0x7f00000001c0)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x7, 0xffffffffffffffff, 0x8, &(0x7f0000000000), 0x8, 0x10, &(0x7f0000000000), 0x10}, 0x80) (async) r0 = open(&(0x7f0000000080)='./bus\x00', 0x1eb142, 0x0) mmap(&(0x7f0000001000/0xa000)=nil, 0xa000, 0x0, 0x11, r0, 0x0) (async) r1 = openat$hwrng(0xffffffffffffff9c, &(0x7f0000000300), 0x1, 0x0) bpf$PROG_LOAD(0x5, &(0x7f00000010c0)={0xa, 0x5, &(0x7f0000001200)=@framed={{0x18, 0x0, 0x0, 0x0, 0x7}, [@map_fd={0x18, 0x4, 0x1, 0x0, r1}]}, &(0x7f0000000340)='syzkaller\x00', 0x1, 0x75, &(0x7f0000000380)=""/117, 0x100, 0xd, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, &(0x7f0000000400)={0x4, 0x5}, 0x8, 0x10, &(0x7f0000000440)={0x2, 0xd, 0x1, 0x2e}, 0x10, 0x0, 0xffffffffffffffff, 0x0, &(0x7f0000001080)=[r0, 0xffffffffffffffff]}, 0x80) pipe2(&(0x7f0000000200)={0xffffffffffffffff}, 0x2800) r3 = open$dir(&(0x7f0000001140)='./bus\x00', 0x24000, 0x1) (async) r4 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) (async) r5 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r5, 0x1, r4, &(0x7f0000002280)) epoll_ctl$EPOLL_CTL_MOD(r5, 0x3, r4, &(0x7f0000000040)={0xc7af0754c95832d7}) (async) syz_io_uring_submit(0x0, 0x0, &(0x7f0000001180)=@IORING_OP_TEE={0x21, 0x3, 0x0, @fd=r3, 0x0, 0x0, 0x7, 0x4, 0x0, {0x0, 0x0, r5}}, 0x0) (async) bpf$PROG_LOAD(0x5, &(0x7f0000000280)={0xb, 0x6, &(0x7f0000000040)=@raw=[@alu={0x4, 0x0, 0xb, 0x2, 0x6, 0xffffffffffffffff}, @jmp={0x5, 0x0, 0x5, 0x0, 0x7, 0x20, 0x10}, @call={0x85, 0x0, 0x0, 0x8d}, @cb_func={0x18, 0xb, 0x4, 0x0, 0xfffffffffffffff8}, @generic={0x3f, 0xa, 0x0, 0x5, 0x2}], &(0x7f0000000080)='syzkaller\x00', 0x20, 0x5d, &(0x7f00000000c0)=""/93, 0x41000, 0xa, '\x00', 0x0, 0x19, 0xffffffffffffffff, 0x8, &(0x7f0000000140)={0x2, 0x1}, 0x8, 0x10, &(0x7f0000000180)={0x0, 0x1, 0x6, 0xffffffff}, 0x10, 0xffffffffffffffff, 0xffffffffffffffff, 0x0, &(0x7f0000000240)=[0xffffffffffffffff, 0xffffffffffffffff, r2]}, 0x80) (async) epoll_ctl$EPOLL_CTL_MOD(r0, 0x3, r4, &(0x7f00000011c0)) [ 403.052785][ T8235] raw-gadget gadget: fail, usb_ep_enable returned -22 [ 403.080611][ T8235] raw-gadget gadget: fail, usb_ep_enable returned -22 [ 403.154405][ T3545] hub 2-1:250.228: bad descriptor, ignoring hub [ 403.160786][ T3545] hub: probe of 2-1:250.228 failed with error -5 [ 403.313344][ T3559] usb 5-1: new high-speed USB device number 40 using dummy_hcd [ 403.412324][ T3545] usblp 2-1:250.228: usblp0: USB Bidirectional printer dev 45 if 228 alt 255 proto 3 vid 0x0525 pid 0xD292 03:14:32 executing program 5: bpf$PROG_LOAD(0x5, &(0x7f00000017c0)={0x1, 0xe, &(0x7f0000000480)=ANY=[@ANYBLOB="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"], &(0x7f00000001c0)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x7, 0xffffffffffffffff, 0x8, &(0x7f0000000000), 0x8, 0x10, &(0x7f0000000000), 0x10}, 0x80) r0 = open(&(0x7f0000000080)='./bus\x00', 0x1eb142, 0x0) mmap(&(0x7f0000001000/0xa000)=nil, 0xa000, 0x0, 0x11, r0, 0x0) (async) r1 = openat$hwrng(0xffffffffffffff9c, &(0x7f0000000300), 0x1, 0x0) bpf$PROG_LOAD(0x5, &(0x7f00000010c0)={0xa, 0x5, &(0x7f0000001200)=@framed={{0x18, 0x0, 0x0, 0x0, 0x7}, [@map_fd={0x18, 0x4, 0x1, 0x0, r1}]}, &(0x7f0000000340)='syzkaller\x00', 0x1, 0x75, &(0x7f0000000380)=""/117, 0x100, 0xd, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, &(0x7f0000000400)={0x4, 0x5}, 0x8, 0x10, &(0x7f0000000440)={0x2, 0xd, 0x1, 0x2e}, 0x10, 0x0, 0xffffffffffffffff, 0x0, &(0x7f0000001080)=[r0, 0xffffffffffffffff]}, 0x80) (async) pipe2(&(0x7f0000000200)={0xffffffffffffffff}, 0x2800) (async, rerun: 32) r3 = open$dir(&(0x7f0000001140)='./bus\x00', 0x24000, 0x1) (rerun: 32) r4 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) (async, rerun: 32) r5 = epoll_create1(0x0) (rerun: 32) epoll_ctl$EPOLL_CTL_ADD(r5, 0x1, r4, &(0x7f0000002280)) (async) epoll_ctl$EPOLL_CTL_MOD(r5, 0x3, r4, &(0x7f0000000040)={0xc7af0754c95832d7}) syz_io_uring_submit(0x0, 0x0, &(0x7f0000001180)=@IORING_OP_TEE={0x21, 0x3, 0x0, @fd=r3, 0x0, 0x0, 0x7, 0x4, 0x0, {0x0, 0x0, r5}}, 0x0) (async) bpf$PROG_LOAD(0x5, &(0x7f0000000280)={0xb, 0x6, &(0x7f0000000040)=@raw=[@alu={0x4, 0x0, 0xb, 0x2, 0x6, 0xffffffffffffffff}, @jmp={0x5, 0x0, 0x5, 0x0, 0x7, 0x20, 0x10}, @call={0x85, 0x0, 0x0, 0x8d}, @cb_func={0x18, 0xb, 0x4, 0x0, 0xfffffffffffffff8}, @generic={0x3f, 0xa, 0x0, 0x5, 0x2}], &(0x7f0000000080)='syzkaller\x00', 0x20, 0x5d, &(0x7f00000000c0)=""/93, 0x41000, 0xa, '\x00', 0x0, 0x19, 0xffffffffffffffff, 0x8, &(0x7f0000000140)={0x2, 0x1}, 0x8, 0x10, &(0x7f0000000180)={0x0, 0x1, 0x6, 0xffffffff}, 0x10, 0xffffffffffffffff, 0xffffffffffffffff, 0x0, &(0x7f0000000240)=[0xffffffffffffffff, 0xffffffffffffffff, r2]}, 0x80) epoll_ctl$EPOLL_CTL_MOD(r0, 0x3, r4, &(0x7f00000011c0)) 03:14:32 executing program 3: r0 = fcntl$dupfd(0xffffffffffffffff, 0x0, 0xffffffffffffffff) ioctl$SNDRV_CTL_IOCTL_RAWMIDI_PREFER_SUBDEVICE(r0, 0x40045542, &(0x7f0000000000)=0x1) socket$netlink(0x10, 0x3, 0x15) (async) openat$vga_arbiter(0xffffffffffffff9c, 0x0, 0x199640, 0x0) (async) r1 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r1, &(0x7f00000000c0)={0x0, 0x0, &(0x7f00000001c0)={&(0x7f0000000100)={{0x14}, [@NFT_MSG_NEWTABLE={0x20, 0x0, 0xa, 0x3, 0x0, 0x0, {}, [@NFTA_TABLE_NAME={0x9, 0x1, 'syz0\x00'}]}, @NFT_MSG_NEWSET={0x68, 0x9, 0xa, 0x401, 0x0, 0x0, {}, [@NFTA_SET_ID={0x8}, @NFTA_SET_NAME={0x9, 0x2, 'syz1\x00'}, @NFTA_SET_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_SET_KEY_LEN={0x8, 0x5, 0x1, 0x0, 0x2}, @NFTA_SET_EXPR={0x2c, 0x11, 0x0, 0x1, @cmp={{0x8}, @val={0x20, 0x2, 0x0, 0x1, [@NFTA_CMP_DATA={0xc, 0x3, 0x0, 0x1, [@NFTA_DATA_VALUE={0x5, 0x1, "ef"}]}, @NFTA_CMP_SREG={0x8}, @NFTA_CMP_OP={0x8}]}}}]}], {0x14, 0x10}}, 0xb0}}, 0x0) (async) newfstatat(0xffffffffffffff9c, &(0x7f0000000040)='./file0\x00', &(0x7f0000000080)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}, 0x6000) setsockopt$sock_cred(r1, 0x1, 0x11, &(0x7f0000000100)={0x0, 0xee00, r2}, 0xc) syz_clone(0xc18e0100, &(0x7f0000000380)="d2120d257f166295", 0x8, &(0x7f00000003c0), &(0x7f0000000400), &(0x7f0000000440)="7304c6ea55efb22f0d426e0ae003f746b7662cc60a317843562f0f0752724e21552d515c7548595acb1b6c28f5ba179d892c930c2abab1f4268854a1d010a46db12c6e7fc15bb6a1b0a2f4f65240649936b74af84bf77ea95ed04c3de901eec7996435ab4941e172343443e203ef5c4177bf4fc2569f1ebc9b9a3aa881e4febe9d6779ae0ea6f35f1a30174e3f17dfad3e") [ 403.672814][ T3553] usb 3-1: skipping empty audio interface (v1) [ 403.673014][ T3559] usb 5-1: config 0 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 0, changing to 7 [ 403.679991][ T3553] snd-usb-audio: probe of 3-1:1.0 failed with error -22 [ 403.690602][ T3559] usb 5-1: config 0 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 403.707745][ T3559] usb 5-1: New USB device found, idVendor=5543, idProduct=0081, bcdDevice= 0.00 [ 403.717753][ T3559] usb 5-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 403.749438][ T8235] xt_NFQUEUE: number of total queues is 0 [ 403.794742][ T3553] usb 3-1: USB disconnect, device number 20 [ 403.879481][ T3559] usb 5-1: config 0 descriptor?? [ 403.923429][ T3545] usb 2-1: reset high-speed USB device number 45 using dummy_hcd [ 404.212061][ T3545] usb 2-1: Using ep0 maxpacket: 8 [ 404.353460][ T8262] raw-gadget gadget: fail, usb_ep_enable returned -22 [ 404.360633][ T8262] raw-gadget gadget: fail, usb_ep_enable returned -22 [ 404.462029][ T3559] usbhid 5-1:0.0: can't add hid device: -71 [ 404.468304][ T3559] usbhid: probe of 5-1:0.0 failed with error -71 [ 404.485790][ T3559] usb 5-1: USB disconnect, device number 40 [ 404.612125][ T3545] usb 2-1: failed to restore interface 228 altsetting 255 (error=-71) [ 404.627137][ T3545] usb 2-1: USB disconnect, device number 45 [ 404.650529][ T3545] usblp0: removed 03:14:34 executing program 1: mkdir(&(0x7f0000000400)='./file0\x00', 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000042c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r0, @ANYBLOB=',rootmode=00000000000000000040000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r0, &(0x7f0000004300)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000000)={0x50, 0x0, r1}, 0x50) timer_create(0x0, &(0x7f0000066000)={0x0, 0x12, 0x0, @thr={0x0, 0x0}}, &(0x7f00009b1ffc)) timer_settime(0x0, 0x0, &(0x7f0000000040)={{0x0, 0x989680}, {0x0, 0x9}}, 0x0) chown(&(0x7f0000000140)='./file0/../file0/file0\x00', 0xee01, 0x0) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f00000001c0)={0x50, 0xffffffffffffffda, r2, {0x7, 0x24, 0x9, 0x30a800, 0xf2, 0x0, 0x8, 0x5}}, 0x50) timer_create(0x0, &(0x7f0000000000)={0x0, 0x14, 0x0, @thr={0x0, 0x0}}, &(0x7f0000000240)=0x0) timer_settime(r3, 0x0, &(0x7f0000000180)={{0x0, 0x989680}, {0x0, 0x1c9c380}}, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r4, &(0x7f0000008600)=[{{0x0, 0x7f}, 0x4}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}}], 0x2, 0x0) syz_fuse_handle_req(r0, &(0x7f000000a6c0)="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", 0x2000, &(0x7f0000000f00)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f00000005c0)={0x90}, 0x0, 0x0, 0x0, 0x0}) 03:14:34 executing program 4: r0 = socket(0x5, 0x80000, 0x1) r1 = socket$inet(0x2, 0x80001, 0x84) getsockopt$inet_sctp_SCTP_MAX_BURST(r1, 0x84, 0x14, &(0x7f0000000000)=@assoc_value={0x0}, &(0x7f0000000040)=0x8) r3 = socket$inet6_sctp(0xa, 0x5, 0x84) getsockopt$inet_sctp6_SCTP_RECONFIG_SUPPORTED(r3, 0x84, 0x1b, &(0x7f0000000100)={r2}, &(0x7f0000000140)=0x8) setsockopt$inet_sctp6_SCTP_PR_SUPPORTED(r0, 0x84, 0x71, &(0x7f0000000000)={r2, 0x40}, 0x8) r4 = openat$proc_capi20ncci(0xffffffffffffff9c, 0x0, 0x80000, 0x0) write$P9_RSETATTR(r4, &(0x7f0000000280)={0x7, 0x1b, 0x2}, 0x7) syz_clone(0x60000200, &(0x7f0000000000), 0x0, &(0x7f0000000100), &(0x7f0000000140), &(0x7f0000000180)="6f67352bd8eb61cff43f0f34968466e644654468f81c0656e663d3bf6b13a219de6666cf768c690223075d41e067e7d2d283aed62dda8ee56ae8050117fb090c28202661ee1fc4663ba5c6d834651cf33dde268d777c4b4ffbbb237867aadb63f11816dbe1db82db6a78e076ee8671c31e2560654bee76887780a39a51") 03:14:34 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000080)=ANY=[@ANYBLOB="12010000b359c2088205590127dd010203010902120001000000000904000000010191e1d5e36ef7047050ced226ecf1382df281cd42c09ab98dac40befaeebe09bf6d15af056c02464dab08fa8e02f93b56a19acd766d9e9a5b4365ba1eb1b574d9b78fdabfc307ed734ddd8b2fb1471e95051eb8ad663446de8017655ae7aa94f2254c7a642a1ecae6413d798585cef264a5692eb3884f9b3e71bc693819b337"], 0x0) syz_usb_control_io(r0, &(0x7f00000002c0)={0x2c, &(0x7f0000000000)=ANY=[@ANYBLOB="201024000000242364dfdd3592327d1060e0686ad6ed0c595d3d56b7f1f305000000bb4d6e43119b2293"], &(0x7f0000000140)={0x0, 0x3, 0xd6, @string={0xd6, 0x3, "14ef72d2661c86bf2e947a9107abbfb672791bc4b0ab225faa0564dd2ab4eac205bb8028c8db174dc55bcf4a3adf66ac2dc648c54e370ffc2ef8e5bdc1c4780b9ad673c6d68b1b5211ec23f6e32ae614870004091d067a8c2e551db61c4aa450d103edb31dcbe5324cb5c6194e185192ee9bbd3c422859eca48114abc6312c9ad809155d19966483fd06d9fc8467f402c63545e95136bc96614733ae78119acb71275f54f591f34b1e2955ae020ddd67e2619e2452e5b017a68b11f001313ca03908ea0bd839286e739b7996571e18f9d1ac267d"}}, &(0x7f0000000040)={0x0, 0xf, 0x5, {0x5, 0xf, 0x5}}, &(0x7f0000000240)={0x20, 0x29, 0xf, {0xf, 0x29, 0x7, 0x8, 0x0, 0xa, "e76e6b92", "7a6f0d10"}}, &(0x7f0000000280)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x8, 0x2, 0x20, 0x20, 0xff, 0x7fff, 0x1ff}}}, &(0x7f0000000780)={0x84, &(0x7f0000000300)={0x20, 0x31, 0x9c, "829e5277d5faab4c333f2cfa7586ea151fff5973683eaf3ebc362ed46f6ce536cadace4bc1e6de425361f30b01f2e2333eb58b5c3900850fe3529fe527930b92073bbd4e84b9104572afe16d16ca4e88f9bfcd2bd0f01df9ff3208b701aee16d93174ccd0041dc5cfd5fa179c8e5b405f154f52278c59001dce677d7b843c9a6e2a517cfa7c632859550b03b13d237733f481cc719a5b05af077e2ab"}, &(0x7f00000003c0)={0x0, 0xa, 0x1, 0x6}, &(0x7f0000000400)={0x0, 0x8, 0x1, 0x81}, &(0x7f0000000440)={0x20, 0x0, 0x4, {0x1, 0x2}}, &(0x7f0000000480)={0x20, 0x0, 0x8, {0x5503b2cac5e3eaba, 0x20, [0x0]}}, &(0x7f00000004c0)={0x40, 0x7, 0x2, 0xffe5}, &(0x7f0000000500)={0x40, 0x9, 0x1, 0x3}, &(0x7f0000000540)={0x40, 0xb, 0x2, 'SP'}, &(0x7f0000000580)={0x40, 0xf, 0x2, 0x8}, &(0x7f00000005c0)={0x40, 0x13, 0x6, @local}, &(0x7f0000000600)={0x40, 0x17, 0x6, @remote}, &(0x7f0000000640)={0x40, 0x19, 0x2, "9975"}, &(0x7f0000000680)={0x40, 0x1a, 0x2, 0x9}, &(0x7f00000006c0)={0x40, 0x1c, 0x1}, &(0x7f0000000700)={0x40, 0x1e, 0x1, 0xf7}, &(0x7f0000000740)={0x40, 0x21, 0x1, 0x2}}) 03:14:34 executing program 2: r0 = syz_usb_connect$uac1(0x0, 0x96, &(0x7f0000000240)=ANY=[@ANYBLOB="12010000000000086b1d01014000010203010902840003010000000904000000010100000a2401"], 0x0) syz_usb_control_io$uac1(r0, &(0x7f0000000140)={0x14, &(0x7f0000000000)={0x40, 0x24, 0xf4, {0xf4, 0x23, "fbe058800c7a15997a8c2bac4b0df22a5014eb805ce91c2af622b80a0b9fa03bf3857c02a458c2f8595c865e0ace8251e66b4c0bb4c788fe81f90b38910eeaaf61fa2f014de86fbf29c78c32185cd3a4741e1ac15b77b8f8e0e4c4188978f37b13da222012583c18138d670bedee6e8fa88ee0113f0b64e18e1de2e9c04159fd8b9696906ecb7fd4dd5c2095ff5afb4111b2b013d639b46a9e69edb6074478f318ec0cfcc2ff011041f2b730a2bbc4ba2769dea1b2f1b5bc13a4562ba5d3b647d0c3f149dfbb891e4b29e08ba8d8a4b6cb8c727e173a9b76b1cdc3bfc378e47615295824d9e1450dbbe20b6d4f33f70ecdd9"}}, &(0x7f0000000100)={0x0, 0x3, 0x33, @string={0x33, 0x3, "8f2d14e9805d7414f72d0a960ff22f647e1bb87454ecb18a3bd7ffa764749e7a6bd12b7b1ae0c42aac10b19817dd71f4ff"}}}, &(0x7f0000000400)={0x44, &(0x7f0000000180)={0x0, 0x14, 0x3f, "423f77d1947848cf8945a459999e8689c1401a0810340c070086e436371b997e0872c5901827eda488d2b20e0a372804a83d6ae82ed9b46ba6da9525556297"}, &(0x7f0000000200)={0x0, 0xa, 0x1, 0x5}, &(0x7f0000000280)={0x0, 0x8, 0x1, 0x9}, &(0x7f00000002c0)={0x20, 0x81, 0x3, "eba7b5"}, &(0x7f0000000300)={0x20, 0x82, 0x1, '8'}, &(0x7f0000000340)={0x20, 0x83, 0x1, 'E'}, &(0x7f0000000380)={0x20, 0x84, 0x1, "ce"}, &(0x7f00000003c0)={0x20, 0x85, 0x3, "f785ca"}}) (async) syz_usb_control_io(0xffffffffffffffff, &(0x7f0000000640)={0x2c, &(0x7f0000000480)={0x20, 0x23, 0x34, {0x34, 0xf, "27a13757be83b6f6cc5d6e5370828f0f800e9c6245d8e11015329445f46a111a3fed7b06fd4405d91706d3ceb918b554a985"}}, &(0x7f00000004c0)={0x0, 0x3, 0x86, @string={0x86, 0x3, "dbea471f469c0f29da1a8604784ddf8affb19d06d8287b7d8d6d5ea3b1c3c3ffea7e35cc5070fa28201b30cffc0e2bfc7998e022feee3b7644c3d4c11786905ff0d2672a79237dbc26aee0f5d4483c6e994084e33f1a611918a7da1ef053b794aad2dcdf1cbdfbd1a1c978a45a08ce81c48fb8271493a2ed52bbc572fa96b322c7f98a94"}}, &(0x7f0000000580)={0x0, 0xf, 0x5, {0x5, 0xf, 0x5}}, &(0x7f00000005c0)={0x20, 0x29, 0xf, {0xf, 0x29, 0x3, 0x3, 0x93, 0x67, "bd1d7c01", "3711fea8"}}, &(0x7f0000000600)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x9, 0x0, 0x0, 0x0, 0xd3, 0xfffe, 0x5}}}, &(0x7f0000000b00)={0x84, &(0x7f0000000680)={0x0, 0x11, 0xc2, "aeb0328f26c64e2c45d6dd9d79b75210adf16acfdebb426477a7a5a060c5c8476e3ad4ecd6013e56b7892bdc0c8324b71e425f8c59c08d1ba3e7e6091e48ad501c914b29c03c0806f4dd5350f88de48f21e3990476680a94c2dbc81487d98e455200a7d9a8a0c4f689eb17f85c9964ec430783983afbfda68949f7400cbe31399e321b3028cc560948569c5177a2aa8f2e01273793054ae59f41e2ce0f813c178a151d6555e544a5806cf32cc58efe7419ced6a6372443c3d460116805072024e51b"}, &(0x7f0000000780)={0x0, 0xa, 0x1}, &(0x7f00000007c0)={0x0, 0x8, 0x1, 0x7f}, 0xffffffffffffffff, &(0x7f0000000800)={0x20, 0x0, 0x8, {0x80, 0x20, [0xf]}}, &(0x7f0000000840)={0x40, 0x7, 0x2, 0x8000}, &(0x7f0000000880)={0x40, 0x9, 0x1, 0x20}, &(0x7f00000008c0)={0x40, 0xb, 0x2, "b7fb"}, &(0x7f0000000900)={0x40, 0xf, 0x2, 0x7}, &(0x7f0000000940)={0x40, 0x13, 0x6, @dev={'\xaa\xaa\xaa\xaa\xaa', 0x2d}}, &(0x7f0000000980)={0x40, 0x17, 0x6, @random="75a5e5ce1073"}, &(0x7f00000009c0)={0x40, 0x19, 0x2, "2b1b"}, &(0x7f0000000a00)={0x40, 0x1a, 0x2, 0xfff}, &(0x7f0000000a40)={0x40, 0x1c, 0x1, 0x1f}, &(0x7f0000000a80)={0x40, 0x1e, 0x1, 0x20}, &(0x7f0000000ac0)={0x40, 0x21, 0x1, 0x6}}) 03:14:34 executing program 0: syz_usb_connect(0x0, 0x36, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0xab, 0x32, 0xec, 0x10, 0x15c2, 0x39, 0x5df, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x2, 0xa7, 0xbc, 0x34, 0x0, [], [{{0x9, 0x5, 0x3}}, {{0x9, 0x5, 0xd}}]}}]}}]}}, 0x0) syz_usb_connect(0x5, 0xa36, &(0x7f0000000040)={{0x12, 0x1, 0x310, 0xe0, 0x41, 0xd6, 0x20, 0x2001, 0x7e35, 0xde96, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0xa24, 0x3, 0x81, 0x40, 0x0, 0x6, [{{0x9, 0x4, 0x2b, 0x2, 0xc, 0xff, 0xb4, 0xf7, 0x81, [@hid_hid={0x9, 0x21, 0x2, 0x20, 0x1, {0x22, 0xe58}}, @uac_control={{0xa, 0x24, 0x1, 0xf1c4, 0x51}, [@feature_unit={0xb, 0x24, 0x6, 0x2, 0x5, 0x2, [0x0, 0x2], 0x4}, @input_terminal={0xc, 0x24, 0x2, 0x2, 0x202, 0x5, 0x81, 0x8, 0x6, 0x7}, @selector_unit={0x9, 0x24, 0x5, 0x1, 0x6, "33cb221b"}]}], [{{0x9, 0x5, 0x4, 0x0, 0x40, 0x1, 0x0, 0x40, [@generic={0x86, 0x23, "cd1b466d2b0b41c1e452e537117f20e16d43078a402cb43a759c6e0d372a87836dcfda9284600f6650c098b5a332615b4b31bb7b725d560daff74df7fe2e162545f4d656a74d52f437a699527f864b63381da5b87efbf1c778f92ae4d18cb3e0a64ef0e06eb99ede6b70d78a1296075a0b69cb1f0db0206f0f74ab858181648fb62a9ee1"}, @generic={0xfc, 0x3, "4754c084c14db9a5437fbbf0829501accb43b60d983b865a98970083820315b973359aeab79f1961c9182fcc1a9bcc642bbe040f725d2418956b668c31c198671cf0ed163e0b97de7ba1de7bd3d9acdec1c96a0d896be0dcf66bca6700d6b107641f98d29a7bb62366de6d6633c0012969c13d282f7d23ab7bf8498d5fd5a5c94430ab2fc0ada15c298e8e7714d5ed3a2cfe6d342c5025776b627bd9135df8a2e3a0481e58ff3cf4eb8e1603e3cf78d105adfcdc7ea7d1ce760e91109ab824abe13c2674df350579b544743b774bb2d447e43c1f32427d74f226c14b835d36b37698cce5b989929947d87992c005b706835419b3a2adaaaf89f6"}]}}, {{0x9, 0x5, 0xb, 0x2, 0x20, 0x7, 0x93, 0x2}}, {{0x9, 0x5, 0x1, 0x2, 0x8, 0xb6, 0x0, 0x3f, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x9, 0x8}]}}, {{0x9, 0x5, 0x1, 0x10, 0x40, 0x8f, 0x80, 0x1}}, {{0x9, 0x5, 0xe, 0x0, 0x200, 0x7f, 0x81, 0x20}}, {{0x9, 0x5, 0xc, 0x0, 0x200, 0x5, 0x3f, 0x1, [@generic={0xa2, 0x21, "ce37e2c07bb4b3dafd6492dae588c1d167d36b180c486835c488eab0c6c3951de58eba5e8710f059a7deec812a5149cef12c1146faf9dc4b094a2b4eea0adaee0c377281f5b26fc152a4f7a687259e831dbacff81dc89575234493877b642265a2ad16fdf89bfd9bd1be1b87c068c922f11003bf19e77cbbcddb94d091e1ae23c3c080cbc9dbeeed474fed196311a7a73b10680c0adb670bfd15734de184af0c"}, @uac_iso={0x7, 0x25, 0x1, 0x82, 0x20, 0x3}]}}, {{0x9, 0x5, 0x9, 0x10, 0x3ff, 0x80, 0x9, 0x1f, [@generic={0x26, 0x24, "78f95e804fa3f37c2d60ac6264833532f5057f7473ca919fd9ebde35750ce02a639ac489"}, @generic={0x93, 0x30, "50668cafd45011a9c91df3f8a1a3fda3e431fea8df8b2473e7967631189bc33c0815d721b1783f3ed76b0a1fbab8ccbc3c417e1f494efd0364a6c69410b18f1951dc88fe35ba64eeeeaa66de3d207ed1301792201b063798bf57a7c89b0b1f92a7ca7a9cf9d8fbb6dc6d1876f4fb3a17ce401946b141490bec2759a5869c8cfea0064e66226c4924a2b3dac943d4e47c7d"}]}}, {{0x9, 0x5, 0x7, 0x0, 0x20, 0x80, 0x0, 0x5, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x9, 0x800}, @uac_iso={0x7, 0x25, 0x1, 0x0, 0x81, 0x6d}]}}, {{0x9, 0x5, 0x80, 0x1, 0x200, 0x8d, 0x40, 0x27, [@uac_iso={0x7, 0x25, 0x1, 0x81, 0x6}, @generic={0x29, 0x3, "339e404eab0c9f9213c6fef1748acd7f0cb7391586138ba1d3a906cdfca7e7ef64c13bd95c8f3a"}]}}, {{0x9, 0x5, 0x7, 0x10, 0x20, 0x4, 0x4, 0xec, [@generic={0x8a, 0x22, "bde2499fb8d431ac2d7b25fb008ef869272b12e1a85387c76c53654dc09b90d3c1fbd2d57c0b2b71761e05c7db9292dade536aec8196694aaa5079b50d772412afeb4d4b3986543dd0408f9c6109404407276fd6a4abe400ea4999b88cb6464890ec9462ae79ddeb76afc804f22d8d8fb882f48d7a31eaf2f1dae63449389e9a3425e94ee4704fea"}]}}, {{0x9, 0x5, 0xf, 0x10, 0x400, 0x0, 0x6, 0x9}}, {{0x9, 0x5, 0x6, 0x10, 0x20, 0x2, 0x4, 0x0, [@uac_iso={0x7, 0x25, 0x1, 0xc1, 0x8, 0xa17}, @generic={0xc9, 0x30, "78d4479bc725be0f8e843f401e8bfab6385d177018197a9eb61248def53fa32f7407627aa2b9e17b8d1f4cb42218ded3b583ec9d5cb963aad65bb12073768fe05943ada4e55c9d9f8d05de8dfe73fcdee1b68aa138486cf0966854c5def739a072641b7b9be845d3a986331afb65ce788d286dca0bb76cd0f15b96d4f9c997ebcfc37bf53753af7b874371b44392b36006e18f9cc6481d04bc600a4dfe1ab4e95e09911a79d1f04058fd537d6ddb5274fe69be3361eeeb8230feb285c1f98374a80e9e9b50fa4e"}]}}]}}, {{0x9, 0x4, 0x8, 0x3, 0x0, 0xd, 0x73, 0xbe, 0x0, [@hid_hid={0x9, 0x21, 0x8000, 0x1, 0x1, {0x22, 0x9f1}}, @cdc_ncm={{0x8, 0x24, 0x6, 0x0, 0x1, "cdfdc2"}, {0x5, 0x24, 0x0, 0x8}, {0xd, 0x24, 0xf, 0x1, 0x8, 0x3, 0x81, 0x40}, {0x6, 0x24, 0x1a, 0xbc, 0x9d6ee7d72d14da9d}, [@mdlm_detail={0xc5, 0x24, 0x13, 0xff, "0472077e0915937becfea8e56dfa318eaa6e5341de57fbe43b01bbf064ee1b203212b2148d69a1348fa4d6713f7636772f5b26407236c748a86fe0bfb82cd94eaff6bc220c7f0a74992f0ff5d31b9dafd49ba99fdfdea5aed483f68ac690ce4cff82dcdc22b0c12ce042fcb7e4e7f6ec5c25cd63f1c2feb16ccf7cffbf03e1d4030d451754af32db5d9bc737be3b0a843b9ce0d8f808534b8b2784c37b41b90d0acaa17f8a3dc24aa244889fbe2c12cd5fbcc50500aae37fb34a7f50e59838e4e9"}, @call_mgmt={0x5, 0x24, 0x1, 0x3, 0x81}, @obex={0x5, 0x24, 0x15, 0xffff}, @mbim={0xc, 0x24, 0x1b, 0x9, 0xff, 0xc8, 0x20, 0x9, 0x9}, @mbim={0xc, 0x24, 0x1b, 0x32d, 0x3ff, 0x9, 0x7, 0x7}, @mdlm={0x15, 0x24, 0x12, 0x5}]}]}}, {{0x9, 0x4, 0xe6, 0x8, 0xe, 0x76, 0x3e, 0xf3, 0x1f, [], [{{0x9, 0x5, 0xb, 0x2, 0x8, 0x85, 0xfe}}, {{0x9, 0x5, 0x6, 0x0, 0x200, 0x96, 0x1f, 0x9, [@uac_iso={0x7, 0x25, 0x1, 0x82, 0x7, 0x1}]}}, {{0x9, 0x5, 0x2, 0x0, 0x40, 0x3, 0x40, 0x1, [@generic={0x5e, 0x7, "6b6d6ec7260fc99725c7ed27062f70f818653014aefcf470af87a8a159855cf1d06e5f74ea9d2fcc6cdba9992d658e70be18bb0576791ef21cf55a2a671d17b4dae11cc58883b405be535701fcf5be0cf52a3b276013fa594b8f43b7"}]}}, {{0x9, 0x5, 0x4, 0x0, 0x200, 0x6, 0x0, 0x5, [@generic={0x15, 0x1, "c3ab42ead2cdd9e9b2f592204067da11be3e10"}]}}, {{0x9, 0x5, 0x5, 0x0, 0x3ff, 0x81, 0x7, 0xb5, [@generic={0xf7, 0x1, "b58c964b8bcb6bc0c9208d97b684c518d854e10bb9a4c9382109e467a2c62073e22db3352924510cc3539faf3ad59931720434100d930a92af1b3510e346779bb7b61e248dc1ffaaffec8c8bb74fc50478faf3b974f81f1941f2bdb0d65a6571c76f04e35220ba4446721065e0dc679ce3cd6fbc761ff081da2ef1ea5d33ad40dfb7de44b93da39a7556cdad375afca031f70b40152007256c44494cfff5becc5e22a37b80fbce3fcd80afffd2266c18efff7cce837ffda2197eaba48d76098d06e5acfd5a6de9e808059c535681695b4bd1b9063f83cd95e17f9dae194b96a4cf8146a9b5ff8dfbf44f28972497eef5d96d733d7a"}, @uac_iso={0x7, 0x25, 0x1, 0x82, 0x81, 0xdc}]}}, {{0x9, 0x5, 0x4, 0x0, 0x400, 0x8, 0x1, 0x5}}, {{0x9, 0x5, 0xf, 0x10, 0x3ff, 0x8, 0x5, 0x8}}, {{0x9, 0x5, 0xd, 0x1, 0x40, 0x3, 0x3, 0xf2, [@uac_iso={0x7, 0x25, 0x1, 0x83, 0xff, 0x2}, @uac_iso={0x7, 0x25, 0x1, 0x80, 0x9}]}}, {{0x9, 0x5, 0x80, 0x0, 0x20, 0x5, 0x1, 0xe1, [@uac_iso={0x7, 0x25, 0x1, 0x101, 0x20, 0x100}, @generic={0x4f, 0x30, "c053ed5c16041bdb71f951b326334a3a6e1985a5ee7e7ebb467277c385b2eed1ba1965ea5a6a0351b4d89eeea5cb7704b5ab2ac822546323f16f2731aca1f048569df4dd1ba75dbbdfbe32dbfa"}]}}, {{0x9, 0x5, 0x3, 0x2, 0x3ff, 0x3f, 0x8}}, {{0x9, 0x5, 0x42, 0x0, 0x8, 0x6, 0x0, 0x82, [@generic={0x98, 0x21, "c4a1689367411c49eb9ae45a55ff744e8fe5520000302620d15bd69cecad261e34e3e53618bbad33a84561cc9213dec3765e0a53d5b80d3b3d51ff45f323c105c6e13999a24da497f2519b917656de06ff1ed89ace69aa432a6d4996841cf848a4342edda87065e15c81d71e92e32905fbf8b0d4c78b08ee790e1e3a306a0577047711b3fbfcbb2cb6154313a9ba91f304f1c13fd22e"}, @generic={0x21, 0x23, "4847f8ff83ebf748d25435495e881631287073c753400d5bc64beb31e94b38"}]}}, {{0x9, 0x5, 0x9, 0x0, 0x20, 0x5, 0x40, 0x3f, [@generic={0xa0, 0x2, "fd71819566637940cc961c809e0eae5fab9edf6c8436889fe4e163dc7dd6256f4cef915a61413894d7e11a630005ea8db9d46a6ddc1eb19da4b7862af8d3bd2600760c4bd3a7fd418addd62044146e6f943e84f2f0f600611eb775896ce3d46efd24caf468e11968499170785b9702997f5b295482bab734ce4623b92c1e8fc7fe00dc87983ff95378c9096c27b7dcce8996eb2cded5a9f7a1e6c8454cf4"}, @uac_iso={0x7, 0x25, 0x1, 0x80, 0x9, 0xfffc}]}}, {{0x9, 0x5, 0xa, 0x0, 0x200, 0x6, 0x4}}, {{0x9, 0x5, 0x0, 0x10, 0x10, 0xe1, 0x3f, 0x1}}]}}]}}]}}, &(0x7f0000000d80)={0xa, &(0x7f0000000a80)={0xa, 0x6, 0x100, 0x1f, 0x0, 0x6, 0x20, 0xff}, 0x4e, &(0x7f0000000ac0)={0x5, 0xf, 0x4e, 0x5, [@ss_cap={0xa, 0x10, 0x3, 0x2, 0x7, 0x0, 0x0, 0x1487}, @ssp_cap={0x10, 0x10, 0xa, 0x52, 0x1, 0x2, 0x0, 0xae10, [0x0]}, @ext_cap={0x7, 0x10, 0x2, 0x6, 0xb, 0x2, 0x80}, @ss_container_id={0x14, 0x10, 0x4, 0x5, "9e186907eb8dbdc06e9e290e9dd38af5"}, @ssp_cap={0x14, 0x10, 0xa, 0x4, 0x2, 0xac, 0x0, 0x101, [0xffc000, 0xff0000]}]}, 0x4, [{0x55, &(0x7f0000000b40)=@string={0x55, 0x3, "76e30f585389aff4e7c33fb441b7b62d50fb0f973413486d979d6c79964e772a1bd362f720df590e67292dd2b3727e2f6b2ee4adb88c61e480d1b672fe910980830dfb6c91b6965d18ae670a384ef43ed08596"}}, {0x62, &(0x7f0000000bc0)=@string={0x62, 0x3, "fb9bf847dd67500757ad076740a533dc1a3c1abd52359f1fa7b60274c1bbd9b96ae2ed19f799411727780094490893cdbf1f05691219255aaf3733adf240965479644f0bfa53154d3e6bc5e20558d34b04aaa6ab25adc785bc43f9cb30ff8a94"}}, {0x91, &(0x7f0000000c40)=@string={0x91, 0x3, "c338bd678add305134dbe86d9a478bae07dab50b351b028746b7124229555edce4f74321fb8b0cd485fcd53a15a2009eadc7f4624886d61a805935d17afe1899829ecfa2606a6d172d476e20737614fa67fdc6aa9c950373c553b62ba4c682c7e0b5ac7307a894156d3fadef5037947db6e7d98d778ef358a21fccd3deede4ca27b4dee56d5eab6268e3735c228e9b"}}, {0x5d, &(0x7f0000000e00)=ANY=[@ANYBLOB="5d03634ed12089e250e7b6ac0b18ab0e004875f86a151d798b030009d5a391042650785d908d1b4ec74a756083aaf833eab7767a5665b77a139b3d890334a81b083415f3373dc221d59259c27be5178900000000000000000100000000c3"]}]}) 03:14:34 executing program 3: r0 = syz_usb_connect(0x0, 0x36, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000bc61b408b4070a0102010000000109022400010000005b090400000219a4b40009050e02001bc4000009058202"], 0x0) syz_usb_control_io(r0, &(0x7f0000000180)={0x2c, &(0x7f0000000040)={0x0, 0x2a, 0x22, {0x22, 0x9, "bc645f93ef12c89f2742beeed651012fc9baa0eff5e3f3ea0a403ac7c3d7ecaf"}}, &(0x7f0000000080)={0x0, 0x3, 0x4, @lang_id={0x4, 0x3, 0x414}}, &(0x7f00000000c0)={0x0, 0xf, 0x23, {0x5, 0xf, 0x23, 0x2, [@ss_container_id={0x14, 0x10, 0x4, 0x1, "b21b60f9d6448f24265ea8c3c2a04086"}, @ss_cap={0xa, 0x10, 0x3, 0x0, 0x6, 0xfb, 0x3, 0xdf12}]}}, &(0x7f0000000100)={0x20, 0x29, 0xf, {0xf, 0x29, 0x7f, 0x1, 0x1f, 0xff, "b161d1a7", "c76c50a0"}}, &(0x7f0000000140)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x57, 0x8, 0x1, 0x0, 0x0, 0x8, 0xfffb}}}, &(0x7f0000000640)={0x84, &(0x7f00000001c0)={0x40, 0xe, 0xb8, "31d47338b0e629ab9b0c0bc625eaed1365d7176c84a5db24f2d7c69e3a16b5f47c0489010ce7c01d295f507aa76cecbafaad73f89a33c64a5cb33730531e7fc374f2c94e035553d3446d644fe5d8a337705ea1d1870089852deb46eb4104da867ad540cff34fb9fcb878a2c75c7e1322551ab5edd8747c4ac6292d89a5b35b263aa64de0c169ec9d2e79ec3540f9baac33486568a38fb4bf47773d2915d356d2567b099f80ae9ffc14ead8de1439a45ebe4d47461fc5b8e7"}, &(0x7f0000000280)={0x0, 0xa, 0x1, 0x6}, &(0x7f00000002c0)={0x0, 0x8, 0x1, 0xc4}, &(0x7f0000000300)={0x20, 0x0, 0x4, {0x2, 0x2}}, &(0x7f0000000340)={0x20, 0x0, 0x4, {0xa0, 0x44}}, &(0x7f0000000380)={0x40, 0x7, 0x2, 0x1}, &(0x7f00000003c0)={0x40, 0x9, 0x1, 0x3f}, &(0x7f0000000400)={0x40, 0xb, 0x2, "d794"}, &(0x7f0000000440)={0x40, 0xf, 0x2, 0x9}, &(0x7f0000000480)={0x40, 0x13, 0x6, @multicast}, &(0x7f00000004c0)={0x40, 0x17, 0x6, @local}, &(0x7f0000000500)={0x40, 0x19, 0x2, "067f"}, &(0x7f0000000540)={0x40, 0x1a, 0x2, 0x1}, &(0x7f0000000580)={0x40, 0x1c, 0x1, 0x9}, &(0x7f00000005c0)={0x40, 0x1e, 0x1, 0x4}, &(0x7f0000000600)={0x40, 0x21, 0x1, 0xff}}) r1 = syz_usb_connect$hid(0x5, 0x3f, &(0x7f0000000700)={{0x12, 0x1, 0x310, 0x0, 0x0, 0x0, 0x10, 0x56a, 0xda, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x2d, 0x1, 0x1, 0x1f, 0x30, 0xfb, [{{0x9, 0x4, 0x0, 0x3, 0x2, 0x3, 0x1, 0x1, 0x17, {0x9, 0x21, 0x7fff, 0x3, 0x1, {0x22, 0x2bc}}, {{{0x9, 0x5, 0x81, 0x3, 0x8, 0x7f, 0x0, 0x5}}, [{{0x9, 0x5, 0x2, 0x3, 0x400, 0x5, 0xff}}]}}}]}}]}}, &(0x7f0000000a00)={0xa, &(0x7f0000000740)={0xa, 0x6, 0x201, 0x81, 0x1f, 0x7f, 0x40, 0x2}, 0xdb, &(0x7f0000000780)={0x5, 0xf, 0xdb, 0x6, [@generic={0xaa, 0x10, 0x3, "62be6f1bf1a3aa22cfd13f73318719e5c4422e41e74158710288a928d04ee3476b73d9753119e990acc3d85ad7f9630aa4fb91c752a2077c30a89a7308e2151eb70116d4057dcfffc22013254e1971e6841b01b2764c8bbd27752590a5ff1520db1732d92e7764379e0411d2e2b7c2b112faa2f9e1c8d0dcdcbb42d25796366f175387f8a39c5e96805c0cdf62433605c422be517db8b1582bfe37bea811d9e7bff6207e8d30ac"}, @ptm_cap={0x3}, @ssp_cap={0x20, 0x10, 0xa, 0xff, 0x5, 0xbec, 0x0, 0x6, [0xff3f00, 0x3f30, 0x0, 0xc0c0, 0xff]}, @ptm_cap={0x3}, @ptm_cap={0x3}, @ptm_cap={0x3}]}, 0x5, [{0x4f, &(0x7f0000000880)=@string={0x4f, 0x3, "cffe0c55c3bcb1caf1a89c0998392d4926b1884859d82958b41e89da74dc8fafde0c394808ffba6d749124e18ef7c4b4948fb9e7a5e3f594cdd817177bbca3ff1ff8c711cdc07ba6771e782279"}}, {0x4, &(0x7f0000000900)=@lang_id={0x4, 0x3, 0x42a}}, {0x4, &(0x7f0000000940)=@lang_id={0x4, 0x3, 0x4001}}, {0x4, &(0x7f0000000980)=@lang_id={0x4, 0x3, 0x81a}}, {0x4, &(0x7f00000009c0)=@lang_id={0x4, 0x3, 0x443}}]}) syz_usb_control_io(r1, &(0x7f0000000c80)={0x2c, &(0x7f0000000a80)={0x0, 0xf, 0x2e, {0x2e, 0x22, "f7b7d8707fb942b7e3174e505c9fa878717f5e6181384a8dd96a86ada574a111c7305a9567ab3510985e2374"}}, &(0x7f0000000ac0)={0x0, 0x3, 0xcc, @string={0xcc, 0x3, "d7f14be730ff23e2c3447f8d18d1bdd9e19c4930a36a0107a1867058808c2c1bf74cc436c97746ae4644de348e77a519701832dec4c950ca9ee828a1ebdb032689d36a05e9458b9adefa2d88e889a90598b561ae7e8e1a8ad7b05095339fe0449be1bed9ad3520c56dfa16bd28e518aeee77e54a0ca3e1ed8ff2c6a8087aa37c253d213814443471669656f803afdff0d782c264d236bdb016f36aeac177e63e249aa3801fbb13e2c05a77b63396a74a1d39f2d7fb3a96ec97db9488e4f3e7c9c26cf6debd4be6a36bea"}}, &(0x7f0000000bc0)={0x0, 0xf, 0x5, {0x5, 0xf, 0x5}}, &(0x7f0000000c00)={0x20, 0x29, 0xf, {0xf, 0x29, 0x7f, 0x1c, 0x1f, 0xc0, "23f14b80", "fbf4402c"}}, &(0x7f0000000c40)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x0, 0x0, 0x40, 0x9, 0x80, 0x7fff}}}, &(0x7f0000001180)={0x84, &(0x7f0000000cc0)={0x20, 0x3, 0xe0, "8112516255fcf8d4080609200e7c1d5d98652c311403b0f0743fbd260d86d0620ae7f26994b47eb4d2a2c3925664829df04d0675ba56a2ce8e817079f8ca4b0d15b22c8970654203dda0f5d4242e4608356cac18c18fcf9a4d64fe0442f1b392971a4df5573b235f47a3ed1db60bc2dd56fedb44b7f3a122a9b8569d618dcbff1824880b845295b762b986b347ce5b093547b43fec6a06cf03e38097432e26c425ba3a6d3b40a2ebc5abfc47265a7ffa9aedf5328f36c2d9069c8e7ddf17a258da2e92d80686c57ef86a21f0a9b7d691ba6adaeb03194069567f1a6d63a67911"}, &(0x7f0000000dc0)={0x0, 0xa, 0x1, 0x81}, &(0x7f0000000e00)={0x0, 0x8, 0x1, 0x5}, &(0x7f0000000e40)={0x20, 0x0, 0x4, {0x2}}, &(0x7f0000000e80)={0x20, 0x0, 0x4, {0x200, 0x20}}, &(0x7f0000000ec0)={0x40, 0x7, 0x2, 0xe6}, &(0x7f0000000f00)={0x40, 0x9, 0x1, 0x9}, &(0x7f0000000f40)={0x40, 0xb, 0x2, "5196"}, &(0x7f0000000f80)={0x40, 0xf, 0x2, 0x6}, &(0x7f0000000fc0)={0x40, 0x13, 0x6, @random="5e46582074cd"}, &(0x7f0000001000)={0x40, 0x17, 0x6, @broadcast}, &(0x7f0000001040)={0x40, 0x19, 0x2, "7f91"}, &(0x7f0000001080)={0x40, 0x1a, 0x2, 0x9d}, &(0x7f00000010c0)={0x40, 0x1c, 0x1}, &(0x7f0000001100)={0x40, 0x1e, 0x1, 0xa7}, &(0x7f0000001140)={0x40, 0x21, 0x1, 0x4}}) [ 405.582943][ T3545] usb 1-1: new high-speed USB device number 23 using dummy_hcd [ 405.623087][ T115] usb 6-1: new high-speed USB device number 19 using dummy_hcd [ 405.664547][ T3612] usb 3-1: new high-speed USB device number 21 using dummy_hcd [ 405.672701][ T7] usb 4-1: new high-speed USB device number 46 using dummy_hcd 03:14:34 executing program 4: r0 = socket(0x5, 0x80000, 0x1) (async) r1 = socket$inet(0x2, 0x80001, 0x84) getsockopt$inet_sctp_SCTP_MAX_BURST(r1, 0x84, 0x14, &(0x7f0000000000)=@assoc_value={0x0}, &(0x7f0000000040)=0x8) r3 = socket$inet6_sctp(0xa, 0x5, 0x84) getsockopt$inet_sctp6_SCTP_RECONFIG_SUPPORTED(r3, 0x84, 0x1b, &(0x7f0000000100)={r2}, &(0x7f0000000140)=0x8) (async) setsockopt$inet_sctp6_SCTP_PR_SUPPORTED(r0, 0x84, 0x71, &(0x7f0000000000)={r2, 0x40}, 0x8) (async) r4 = openat$proc_capi20ncci(0xffffffffffffff9c, 0x0, 0x80000, 0x0) write$P9_RSETATTR(r4, &(0x7f0000000280)={0x7, 0x1b, 0x2}, 0x7) (async) syz_clone(0x60000200, &(0x7f0000000000), 0x0, &(0x7f0000000100), &(0x7f0000000140), &(0x7f0000000180)="6f67352bd8eb61cff43f0f34968466e644654468f81c0656e663d3bf6b13a219de6666cf768c690223075d41e067e7d2d283aed62dda8ee56ae8050117fb090c28202661ee1fc4663ba5c6d834651cf33dde268d777c4b4ffbbb237867aadb63f11816dbe1db82db6a78e076ee8671c31e2560654bee76887780a39a51") 03:14:34 executing program 1: mkdir(&(0x7f0000000400)='./file0\x00', 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000042c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r0, @ANYBLOB=',rootmode=00000000000000000040000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) (async) read$FUSE(r0, &(0x7f0000004300)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000000)={0x50, 0x0, r1}, 0x50) (async) timer_create(0x0, &(0x7f0000066000)={0x0, 0x12, 0x0, @thr={0x0, 0x0}}, &(0x7f00009b1ffc)) timer_settime(0x0, 0x0, &(0x7f0000000040)={{0x0, 0x989680}, {0x0, 0x9}}, 0x0) (async) chown(&(0x7f0000000140)='./file0/../file0/file0\x00', 0xee01, 0x0) (async) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f00000001c0)={0x50, 0xffffffffffffffda, r2, {0x7, 0x24, 0x9, 0x30a800, 0xf2, 0x0, 0x8, 0x5}}, 0x50) (async) timer_create(0x0, &(0x7f0000000000)={0x0, 0x14, 0x0, @thr={0x0, 0x0}}, &(0x7f0000000240)=0x0) timer_settime(r3, 0x0, &(0x7f0000000180)={{0x0, 0x989680}, {0x0, 0x1c9c380}}, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r4, &(0x7f0000008600)=[{{0x0, 0x7f}, 0x4}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}}], 0x2, 0x0) (async) syz_fuse_handle_req(r0, &(0x7f000000a6c0)="21a30ccb4da61ac7852a22ca85a06474ceaa4a0c2182d8b769b6c2bad60a3f6dbe3abd7f74296361c2cfa8eb73398e2a2e43901fdee3e484efece48a41a64c49d83debe3cc3b734e1a1735a2eeb2faf34f014a7c955b8a5d90459cf45434a0c96e69967a9992d6f6dd6bc6dd44c654ceb3bea84299b9c128f1d1869419de18a50d18324f4cdb25fe19e809974773e3965987c1f54fb0fff3fe49d6b91078fe60ceb48d248a1c036c20792b38f8587b552a6dea3c3306412ee26dd34796bd61544dccf433eb9f262005691c2b45ca4fe790b87b2810e3cb6234479bb9fb121611e66899233cd63028523a54bd0e57bac3682e497c634df2d39957cdfe83a4c85068dafd490a40e84383d1972862fbaf073554853aa40ce24205294c22f2a7d1c01ff3724d97c3fc4c867573b59efa0849453cf102fefd86939a40055b114757f05180e7bd582833406fabf2ed5d954db48ec183cd95d7db0a96dafbaf2896611889887b0066c3086eeb7b9a4936fb13869c666f337c1d5b401b33e56b100a078adb39f05799089df1b2121d6dd357c02cd42b91276cc991d5158a41f18f23b7411ffbc958fae98d674d6402f267a423250c0aa6d2733163fa6542ed1589b9b5dca2c8ed6e4cd3a385610d2d67ce8148e8fafc73d46061067d2662afbbb20ccd52fb7be2897ea9335a9e07ecb18781dff99d45e0933fb34c74a4bee139a248174124bf1259352a3e7c50cf9ff6dc3585643cfbac2f917904d6bb073d0f008f46a6ba6459fe467cd6aa5e7836495b529160ef0592453efc1bcae6a6c355ef94387189ed67ac54ee1f5e7b6a3cffdd5652784370c5d0085f23bf50f01e0778a5696f589a8cd073b09e6035b8a4804f8c0a30c3d4959c833f7b7224ae3670547669d2c05ae5e35de07e1e0fc41f58a59f3536cd6c59cf3a7e8d8ddd969c5f1320a09869c00f5e63df17acec4b2c2fa48916064369775eb484471dacea595627076d918ea28440654a2741652c2032dcdd87689b4a0a87270efb9456e8a24746e62656012c4cc0b0866020652ffaf72e96a326d9bc7132397e9d31a375c1fd2985e1adae2a6a6bb043206cedd0f7d9921e9d42ae0efb455f765921ea241635b33e8ad230312f98ceb899093fd73383d95076d75e358d6ae112df0af1f932315c726478c98828cf1c6e256d053b63d2adaa58a0a0d369cbf946c2b9b64969c0a3a5a6ba5341bacf11f0a3a790e718810328ac9ec3ddd531dd55f3a48a81db9727214d2a2336222d5f808b02e79864d46a5dbfae0eb15ce4e0e374185e151f30a0fc8f87b7b529b2f86ebefd38cf83faf461d52f5aec8ea5353eeaad86e7fb54c506a2a08fbe69de22bdf33299463390523a8e0af7fdd6015dc08b43744a98303de46763a28ba1a48fc5ffada67455759e0613fb829354b889415b76aaa621891be5d7c222a3156b1cc7e5ea95a66729a24daaedb854fddd9c143e85afdbe6819348fa400a9b7c481802fcbb2e178be4b6fc2684cfa3068ffad535c06bef93e590a7a2725241bf0c14156c7d12deabb9b33c6b02bea91c688369e2c92ce675061ac4eb7c5fb22c9ef638c31288553f46f6280ae3b600704c4915c75eafcef7191049ce67031ddb1caeb300511dc0cb36eab9813c2fb67c602a7a4a71a8abee5469a2cf499c765eb1d20042f0839b14fd87f275d3f77c8532111c2d0fed56d7fca05dd02dc9e7bc0155d39e20662a684ae1d0366574245bed1e9e2f18e1cfb78806bc0d4f64dde134e2dcdf9a0794a33dd0721a35cffc5bdc231d53dd4443d368953f9f7cbc91f1b797a0ed48c1d6d5c51232ec1b2b9a02caf064e591d4eefc8e310fde38903d956228c355a3b2cf0dc25f1bb1958b1523a456149753a3d05ed2cbdd5327b11b64b6155af59bef65e7c17b33cd7c2fc373f88ab14610f2d3dcd53f94ea1a2c2814ccaca3d6d09a23c00724b8069a8b5bf78c155059ad369f8af38480fb3a15e5993f05e21e0736e252d06987202c9c9187bd2e8dbdf24b4ff227a84e314ac5588698ce4fe84fac0b7d9bfec2426f1c054258f894baf839ec5cb8a22dadc814b24c9723b4c3bdf606d7da254cfc940a9f199957487828a141c18f6c2efb5a9b9752ba6da9a017b045a422e6c56b1c01c1c1c21756f53db026c4433a5ff9d2ebf9ae544ae21b8badc83dc8ca6b6efa684a3626f5824e7330bc2b9bcbb4d27bda95782487e4977ed8087e4fc9bf1ad636673ef222b307caee4a004aa7c77e54212cb9b36956c23ec7f846fdd9ded9d6bb05d3edf871d0a04111ef03a753f5ef1f452f90c0709cdbb659fd7e22e982a26e2906b0e1ea2985ab80a554501ef9103607a30f8f110b87c5c28441f0aa05cbde1b7593143ba38af7c9c8e2780bfa736e5c9d9cd29a0bcfd1f609a5641451f0f4e1c00902b119143621119860ea3fa22149a43890bba7434d783253e1ad1164c985fe96acea12728bed1fe824f3b300b1e6bbc84c589a95e96d78ab49ee6a2b1018f77c35b71a49c29f990dc4b50e0792607294c35a4678d9c5234b36b033f14f712cbf7077f108e285c5de259a9838e1254c8cfa94a2b49e98070c6c021a47a0358297c2b7fc784d9091840bd70f7eb3189b21ce024d0e5e9187d0bdc2fc4e507d09ddd0bc3406819a61dc3d785b9568acbc6b8ee5ba927717d60135936f2189210f20f4a50270cab3e82e8e002213df038c2e74368ddb55b943046f01b4842559abfa242664ae582494501f44d2b6e642d18bed0ad693f0de8a441545882936e255284d19aafda3adcb483a4e2e7d1808ae6cadd881b438f72936516684cafd18d6ba89ea69dee2d86cb7d3dce8264962c40479caf24855ddbe896f4420509139a4e9560a4ae6e4db9520fa7b39308396b0c6cb1e07c754403a98d007242a0dd4b86a287d537f65367dc679e47847a49b084f743434e80fb95fea2a222ea3d7fbbea3d573bc44f66028743a675cb5def2f0ef4063ceacbef1b2774a77f3103f74dab377c33ffe3522d5c230b794e848ffd153bfeb48e2cfbf622b47ab73c3df9fd3b2eedc59ea4ea2b3a2b5080f49b7024697048b24da794902b2eea8d6587a1ef6afe17c007b255504ebcbee55d6b1df0c1551e6652ca69e216d2ff81a8dbbba30c9fcbeaa18a4dfe232980b35a76e66721598e9f46157a02bee32bdf09da71fb98f56d65d2d34dd4b7a554a77bad1a02865cc94722ea067195c62d9a7c641bff5d93f1c54e9111ec0369569b78ead2fd5778461d91bddee81f9344b6b2d1243a0b377e5333ee966d89a820fa94574b10d4926d0c0a1f898895e748b4841cda331b43986a7c0d52ea284dede23e08a93c30bfb6f08a6b16e0c7163a5926ccdd66e26a75bac914c57ff772c587f8570b2089e0dbd3c19c3596e0b7263a9e35974be41cbb1f42a67949d88c5dfe455e82b2bce469190f88356af1fa4cf50af7e3999094e0004726b0ace0e89fd278970153fc5b12f8b5e0dad25c1adc83da653babcddd285ece7c6077b5ee68a8d823a7826beafb75021307be017c6072cbec87e8767bb9a5abf1440cfbaee54d0d0a1334d2ec5e4b2b2e678e2bb7b09ce9c147d9b4c8c3142ca5235b838a1348f8e09134ae2e315af45c89c62d57c1d93ee70025723902f5f7dc8a837bc4763c98436c0af4d8bf9996b35139c290106d9a65e4a6c96338faea379644f5d3b5cb192a492a7aa7f2bcffd9e94b811c8fe2fb5192f7d19154655ed82468f07ed9cd615037e9c3a44af2201d186f0cf7e51838c5a291d311b603ca14f4120e7f0ebab4d7f61c33376009903e4c77ec8abf483bc50a7cf004103d58659accbe98601852ea26f29d96c1dadc2631d8218857c0d67fe4bc8b688f02dc181e270567842b085a5240e7cc91b68bca72932c20a5a4e6c210d2a149a09a29fc3e31e9620d550e3c10f168bf2df75d30816f2bd63c5ad4aef97e22fabc65fd707eb64ada9d1df7f7c2093ec33f25de96974a3e731bbc24b483add47a18e2e5324aacffbe1b1c40255e317f00d8590b71ef4966a79380071a3f0500624e826ce49808df27478c1aa4787b0382ba5be4f0a93c1b136e323f351996ce6c7d415e11b5fca4d7b808ddce4efa6027bef6132db0440c45258cae859a332132077ed0c67aa2172df2897f73e68724301dc013439d8a09472382d79f0b116fdb91dc16e32864b5da7ced40d4f14b3ca7049a987f5651d2e8f196d53041195d03519aff91a6394ae7004027e1906e9d674c50af11aefeee3b9212609fa6466d92dbd45cf5045b1f8a2efaf37984ddb70a52b0225f57203c3667084cf1d5b18658cf651e0ded6257cbb8a4f1e602e5c945e36256d852dac1275e777323939fd657220d923f1e4d38a72be3efcc36ef88dfef1af5ece04c295020e708d81dc4ad28a6844a5f8edaf85be4909a1a5f01f16ead69b0d472b3c09fc4215d528e2d43d696e701085c3cc62e6c5712d079b7c4ffc7619dd7e7e34466a0e6ffedf3c133731db6d2adb13c088271cdc01a809f1bd0db9e3221f04d42379b8cef642aaffa6d2b07f7b998835dbf024cad10b985c9529891a6003ea98145bd783ee3ccc2ec911c6362bce846c0acb652e71d2a14bda7f571a81800a331300f3915ca736380537b16659333bd7dece656fa66bbd97dcdac7c12a96cf0e5ced25ab00df674e1d747bb15bd1c15c8ac4eb9f263f4635858339b8b5fe60eeabf8c9f24d6928e5e5848cf7a74ff5842554266c1d5747b5c681dadc804c24a7dee4c02b600aee839296a0907342ec9be26a53f1319d97683db2003b4cbbeaab124b6c94f9398820cadc4aaea3f8abd9e5dadbc191f6bbcf072fc657da8528aafd1ea811b967e4e21ddd2bc93d874d7916f82f10c4e1f62c21bcc2928b1f727d39c1278e7ff2f80f15a633872ca3adf7489b3a63167df3e22a4c90008a0f5a396cbe14181b6b777f23693e6b36bfcd381394e9b468dee3cfaab972f9122f0e48bf63964d871504b46c516c64822ca27c123b97ebcfc51a7a39e139e5a032d3cf4a17fcde605782bb51fa9b35f3b1e22cb52bc233fb149232be661d02a6c0efefeca3313fa437d4176a9e3233d318930e5fb0fa470b5453ff5aaa8f7e12963ed83e477b306681bd21e384c6aa2cc6fe1c49cd94f7c4ade65f29bd98c686e645af0152ef230f8db5fcf43303e01a23e166669d9a8af427951c7e0102b733d1a5bd4ecc520efbcaf421422709ea49bf58b31cbc5ca5d4285f71e10e8d89984249e37c2468bd92a02cc9b78900688a79cef83c83cbc93370110ffaec4141ce58dfb6f38ab1108bf952304b99bca1854ddcbd203230411fb7e7c77c99831ce9b5f414a22204e893a5f21a0185269dd426f0966fdc3f97f69106c1c225348f838700c5f6cb0a8d2d7ac4c04cea60b47f2868afc06ee2cdeaf8b418e4da15fb38de49f6888dafb170c8435c43849d49d02b2dfabb52d2a3124583af97c2b8b4b3dd4ca60f534157ee428c58360b11e8b14d6e1da7a3b7219b24189afbaa45f140fbd45b0a6f7994d62bfb03d3a46ff4984743cf73edf1166256c23a7f2eecb8df7a128c5f2f8fe8f31d0d7ba10bf9a9072955ca1274396f664380bba21c038a376d663798dde8bcb0c2acf208819e01cb85dd2c4dd0169a5a2909697bb7c54d247525e143a817c402bc90dceb449ae5397a31c591cf4c430747c85a6012f19726aef8d9dbc943e9a8049905a5a763c0a4372e0a0cd233c8c98ad113d7c317b2bc47a42958966abf89efa01c68f5ad6133307e4961312e200b205cef0cddeaebc4d962d46ed487fd386277d78223464bba06165d8b724118905497ed15b67db079de73ed5d51a05ab816faef0004263be0565a5fe2a63e101c09bdc6396ff1b11a851db834a38117791edf699496e039a223288a86cca374a9695eba6af1a616b587373072a52c954e376d2cd9b261bfd17389713c59779b3d471c672630890b40450294128da4a0f12365634069c730503589af042cd4ac6a9622d5afa14725e71135de7e3df325270f26fd9d7e98df7005dc8b7ede330ceb97d80fddeefcceb7de377f92e4de480dfb680a826afc3a567f611596386e171207757a133330fc02ee1aab2e758fc569f653899dd72ca22c8b3fe0ad235f9e2f9bfe9a08aa367cb912e771d41341ba195e51a888c97f6f38a09782069e15a6b42029f371053f2f00ae1bb97f8f5ad5bd826e98723b5352d66975e2c99de896ebbed8bc153593a9c2e01b502aec346c8ae1c90ab19a2470da8235dd2716716c0f2d37c444a9921918eb19b017367d133cecc5a23e073a7641e382f28244493edad1e604ee9166159d1e1a98c47db166deca9f527337193b59ae63aa37c9af40c409bd600e855a082c2590931f7dc0abd8659af5791f95df6c2be0a9cc7053567be94aa52b8a37785982913bbb0dc72729f9137a1bd69703f80ca949f4cc54448b8eb70a2786f433a7bcb6f9f27ef51fdabef97efcb234fc81e01a687b440fe9ee725fce4062255017516c8389c5e7615ac078eadfa28f70f6ead8794120564901e2b8b2bb7960a61d317a724b4988bdab4e25cf9ad5c5e3672fb8288bb257245f8f95465c8aee10997a93cd79345c72868bdb40b1c396c7da41297d81590d408de7d1d5e79b85e84a3c57a3b2ae14aace8705b9e78af54c202e48a422594e0d68d3d9da59bcb8b455b4d0e043229e0155d5c1e70915cd466bf77dfc3f038ece6f9b91d9c164e833c07666984eb9e0285fa47352f7eebffd2b54af1760c2f26914361f04e620354b67a35b534ff805a18ee91623578d4909ebe2f4cd9532a9046e456139aaa5e2d849fba1bcd7996c4567f1f2f774eeb64569316cbb25c72a5fb6fc93a91f44d71c0db6bf98a56f6a37f91470fc4dd9419555f4dceb61bcd1d3f932d056d8db71464f2d6a6a5d1f69634469ed3a1cb7b3b7257f4610abba619ac520c93903cbd1c8d181dae79d1a78c11ab218331e882d1a9bd95b41f0d9d28c0e8426e3ebe83f50d9c2b3fd90c313279edf90599e670bbe88e1bf5d42c755c9cbf77b77be27baf6fa3be8c8337c2f0b90d76c4c16ac0f8f1eca97997d2cb98ac02d91d71c9dbf90278748a8e9746f19af38a54274e5d4c2b6f6538eaec025f0569668b8fb8908d7e84404c74dded62e56d9d95e0c2197772ee996dd979837e07375ee05b5bda2e727057bb84b479887ce0e98d470c3e03b86aca5d88586da304f2551007dcef6e26afac58c39e67202c550f10dc9b5244447ed608b08f9c5e62044ba9b8684519b18e72f98f928e3facc0dadec2c70de6953f9775f36b163f4f2fc7414c010eb5b73dc79388ea0da3b59bf9fc6eb37c853a9ebea562bad0467347981599543d8456b085ce506d73a2c4d866f05867a59353ab23bf41ee60c150957914bd3ff0d29ef02540ba6ca850018f0c7164d840b2225b363737b8ba08e9a261dc8d704bda809b19810cdda9f40159b6e439ab36499d15105c901f6b4fd00800d8dddface1fff0d99063dc36af3775c4f5043f1d6280631e827219beb3e0230b3321bc4d5ec2cfec9d900369a8cb5345cf71a83040edea401babcd91507225fe85a3859f7a1b1ed44f39266af39d4c27749ef3ccb21371a11254e2b508639e555d82dfe008ce7a241af840e9a54cf37671229d08d3c7e0cb4c5caa22c52402e4657910f482864f4b54ef8a3f6cbe6e4f3e8037756558ce0979f00b9ff9038ec69bf0ac354d50a68848fc596e4fe7ca476ce32d7d92e3948f3bea1d7ab345f1edc48e1f7c1a863a51d9813874a517ff692ad0287b632a83512ffc607654b21e12acae11e4ddca3009a018ef34cbb41b3593b50041e598265f389e9a067adc43c7e592cd9033e5b854f958d151e53dc91daacf4e9b95600be6bdccd928c36ebf3d30e77fee7d164eef91ca450a67b156e7ccade2a54f4b7c3984706bac15aba460c7995d9132b96274ceb2e2bf3c53fc8a25c3f0d1bae97057bf3b3b75705d03d7a73d3f714b4663be25e03255aa660124361f9c4762f767d80d947bbef0dc9be3c1dd0ccb7b541f9c5000c6229fb60d902b71b724acfd50acd3ad4d2d345f037e470b7fa5ac04f516dd18572bbc4e02af932742f079bc36af38d888a7c04423640fd538373f11569e0ede685d278410c0a09b8c06015a1da04534a767c3c4ef30b53beeee22a0f226ea1a0a043be0ac0a81502a971f6c88d56c6ae925a8134c30cee7e29498a61df4af96124ccb433344204d4850f3ef096796d7c9a2a28ab6ddcaeac0212a85955ca11a65393a426b309209382200d84c86275a744df41a1323654ce9cd35ea650d36621a5fad7f62989a62df973e57c9bf04ee1e328f4454d5680570d2c1abc310bb8b61eabce621f8dbe64a9201be64e6303f9ef635dd614445e26cfb1a22e40578010e148a44226b657a0d4da965943b381d9216a4c5b48333b101313fcbc19fe5fa1840ca8086881d3ad821b91db6076bbfdd6f9f4aa3502273175bbef3e0419789c23df4c3caa4969cde0c0f1fdc92eab8f13d813a688649b1801e8c5095728fcf74142622041dc0eca14436e0ba7c16e5a9f307bab737fb3d9567f7c5e4d1d1b5d9290d725aa913fc6575782d2559decd10c592bd2107c23fc962e72e30d2f8df5c6eba91f3d1bdf356234bade6b5490190c9fb486517dea3fe05fc40d1fc9f068f4ff21f5fb6e8758edf555c399d9bc068853bf137583b67f3137cf6acb317e630036058520fa4a6910642dd9270dd94b435496c2362e05bc4b7b8409df6a0754a06b79cb53de23887ac36865c6875e3ad13c02eb4ea04b31b4ff71bda62ee2accde6aa15fea572482bc1ab02380db116f88adce683db55cbc23782cdbdbf7ae0577c5a07ed97fceba7a5316dbedd61338b31a80a79c71935859502eac3ca3a0eb90f38eca93f7f0d63d0bdcfd792fdcfca87339a05b2930824d593bf787847e1156410a5a5a6f4e2e0f142e652dba6a6d997d30d65a7e4c339496cecb3428372a3849a38dd1935e35dabd04de86179047203399d2a90dace178d44dd4d0df5305ccbaa752f667f94b1d7285164e67882c37534e402e9ecb0a17757340e923ae0d33d86f3557a573b8f702866e439ad188e28e27261cea64c7bb9bd82a439b42ddee87b49cb56c288dc58004d6b55124c34e20dac02a7acbf5bd869b8382da90434a8322c75f5ce44a4997c0daef510c5d5c12cf76b7efd8075467ddd0ef1c4d0c2c7ecfc734140ffecdd1dd030c1b96944b4536e4b4cbdcc9c49d371c53eed437b3074cfc1d068ed101cef459e44a45c78056b811fe4509221608dd7cd363382dc738f9b1cd9601624b6b1f43634751ddb0a0e46a8ccd76a9ed03047ffca77ca8526d47d57ce75c5832a47351a5ed2974ab72a239c783236cc22b52776e458ba46d5237b79395bef118698eb9ebc679461d8761ef5e240d6132d36766f80430b139a9adf7641b04407244aacd1fa634639ff10966f7976ed6901543595712f740437471f8e40030981369829d73452c0cc936eccc7b596a3e7a0661f175cbd064c55d8081a8aff9ab4b19c0292f5343157f3bba8af2b75ff7c7303483e2d5810fcdb464df2b6e70de5a220a5eae83c07255889222b78fe8b7a71e7fd3b37155ad4be80a64c6fd5388f05af9af92379bf7f7e1bc26f0c021ced81da967481f17143221b6927922d7b54cd63c5e57f4d2b0cb959137771a4224da6836eb3b015cd9a3511fcdda5b87e0fd03dee2560fe02fb2e314d457003fb5b85150a00556c8ba682a3d4631b8695078af28cb974b423417970282e0c4280c4a5461064e59e7df9727d1cc4eac521c85613885116261da3e7a8ff875b05e98d7310fdef556c07cbd437edb0a55cd3983a4e3c970f75a1773ed472b0680e1b96c613e636cc65ae8b77089e33474a477a10f2ca068fdf817b803adaf2073aa1120ce6aa95ccd6076fdee711220667046fa4dae781ec45e639de4ea81b980363c7a224c4de9f161befa1a25479ed01dae47abe53524e83493ce16a834ef92e3111f9bee8c7a227ae67b2aa91b83d51a6b66a8f898b8447c732701a86e72413a2c2d2aa71b7a9500ff3f9b6cd54b77091006b77b32f6c195982eda35d489b7155c8e242022d12a37a336ffdf21eae5e13174bcbb44ea4b2e4911104c2b8b3aea7f69461c0c3ecf69196d1148c018e22673675997c44d903798808b826e89b5463f1f89d1353c9e0ac447764ffcefd208914d4a92b5cc2ecb7bef6f8d365e2db28f64e61c521efc9bb779bba4dab0c5720a22eb1b2a29e60c7860295fe80741d5d75b086624a4a9af31b1560b01ca24c42c65cb8b7a5f91310077f75568a99f4d106c3d96f59b161491e80fedce81fa285995fccfbf9305fe3ae5e6d6a0a0f90e87b4b9ad5af1054d09f14e9850d4d94e3e2adfc50ddfcd47ea2235a59bd2d3dcd1ab9b2ceb6e49543a278bd0c3dcf31f844e377945af3b075687c1be1465243ea02e768b3ea45c6ee180294f0cc1ed4015a777c3b26a6d123f94f326dff20cb4c95f2e13f353f15f507ac7a2b55e62f0274595a46401018024af0e48cfea6b1700eb9e44d2d5aa79dae9a0596cca11964342fe6c27451ccdbda75c4aa70d2f87c0f29dfa980c84d933a62ca5befeb78e3b2e9da1a39d6130b34e7c4e85aee8c1cc6e93f1598411c5a39041e59e84595e5b38939d87871673ddac415e70b218bd9b5d75aa80d1200dfe43e6c25fbe76c8d0b5400717fce53d988f3f2af45f73b384bad4503c75fdfeb5ab7fca1b154570bf96d16a0648f91cf51d9efdb8c47efa6efc858911db404435c89347d602431ad71f5a134bafbcbce22f020d9a54032f89b69a75534700fa0160bd194cf472d2765c03bbb016e8f03d08286e2e9fb4fe5fa196044de4d5539d6a3e0eaab50c781b6247b6dc47d0747662133624f6888f1d41ecb11f090200cfc00bd39436e37b2db856c14b947b3298b51f74e313df6e246763113d518ccff4bd868e358cee610c7dade2d4d33addfa53e25830e9e936e7714a99d072f67a9dc4974c6777d55c3925f6584ef9bbaa463f22b07f43da987dd519d8da1591dabb53fc3e4e52cab9fae5f02b66afac9bfd5dd12d207d71063db6d1a21604989ca7442419ebb9c18de67fd5fe8a1282665eeefb67d15ceb2cdbc80d061eaff82ace5b18a0b7ed989b502a880fd8a31c40bc3d1e0bf1654f537714b65fae51c45ea4f21cc09f847c5cb586864a0869453befe8475dba46030a873ff95ebcb553f2f18b90893307ddf3193f7693e40b2ccf75c30fd9e18e81de23b68f2315159c0a9c0232e70318161cdd44cb32605a005888817e2038c8659399a4439575a8035cc2c1f42c46c053f515ac3b257baa73bc54e7d466704241a7b02a81a146d6229b48b1f6a7a693ea008c8d15be9ae2032b29ac1d5726d28ed647c76ac93de9639683e6888f7a80ea1ddb6c31311df6d34f8217ae933b6dda8bac98d08837fb88d03219f3802be7fbac24cb68853f77354beb2392a9b8d48b9dec7a712f729be835f6a0c499ec3abb46556f65848d2523d5c70fb9996", 0x2000, &(0x7f0000000f00)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f00000005c0)={0x90}, 0x0, 0x0, 0x0, 0x0}) [ 405.832921][ T3545] usb 1-1: Using ep0 maxpacket: 16 [ 405.862100][ T115] usb 6-1: Using ep0 maxpacket: 8 [ 405.984306][ T7] usb 4-1: Using ep0 maxpacket: 8 [ 405.989701][ T3612] usb 3-1: Using ep0 maxpacket: 8 [ 405.992468][ T3545] usb 1-1: config 0 interface 0 altsetting 0 endpoint 0x3 has invalid wMaxPacketSize 0 [ 406.005351][ T3545] usb 1-1: config 0 interface 0 altsetting 0 endpoint 0xD has invalid wMaxPacketSize 0 [ 406.133761][ T7] usb 4-1: config 0 interface 0 altsetting 0 endpoint 0xE has invalid maxpacket 6912, setting to 1024 [ 406.145068][ T7] usb 4-1: config 0 interface 0 altsetting 0 bulk endpoint 0xE has invalid maxpacket 1024 [ 406.155322][ T7] usb 4-1: config 0 interface 0 altsetting 0 endpoint 0x82 has invalid wMaxPacketSize 0 [ 406.165326][ T7] usb 4-1: config 0 interface 0 altsetting 0 bulk endpoint 0x82 has invalid maxpacket 0 [ 406.175365][ T7] usb 4-1: New USB device found, idVendor=07b4, idProduct=010a, bcdDevice= 1.02 [ 406.184670][ T7] usb 4-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 406.194572][ T3612] usb 3-1: config 1 has an invalid descriptor of length 0, skipping remainder of the config [ 406.204868][ T3612] usb 3-1: config 1 has 1 interface, different from the descriptor's value: 3 [ 406.213163][ T3545] usb 1-1: New USB device found, idVendor=15c2, idProduct=0039, bcdDevice= 5.df [ 406.223311][ T3545] usb 1-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 03:14:35 executing program 4: r0 = socket(0x5, 0x80000, 0x1) r1 = socket$inet(0x2, 0x80001, 0x84) getsockopt$inet_sctp_SCTP_MAX_BURST(r1, 0x84, 0x14, &(0x7f0000000000)=@assoc_value={0x0}, &(0x7f0000000040)=0x8) r3 = socket$inet6_sctp(0xa, 0x5, 0x84) getsockopt$inet_sctp6_SCTP_RECONFIG_SUPPORTED(r3, 0x84, 0x1b, &(0x7f0000000100)={r2}, &(0x7f0000000140)=0x8) setsockopt$inet_sctp6_SCTP_PR_SUPPORTED(r0, 0x84, 0x71, &(0x7f0000000000)={r2, 0x40}, 0x8) r4 = openat$proc_capi20ncci(0xffffffffffffff9c, 0x0, 0x80000, 0x0) write$P9_RSETATTR(r4, &(0x7f0000000280)={0x7, 0x1b, 0x2}, 0x7) syz_clone(0x60000200, &(0x7f0000000000), 0x0, &(0x7f0000000100), &(0x7f0000000140), &(0x7f0000000180)="6f67352bd8eb61cff43f0f34968466e644654468f81c0656e663d3bf6b13a219de6666cf768c690223075d41e067e7d2d283aed62dda8ee56ae8050117fb090c28202661ee1fc4663ba5c6d834651cf33dde268d777c4b4ffbbb237867aadb63f11816dbe1db82db6a78e076ee8671c31e2560654bee76887780a39a51") socket(0x5, 0x80000, 0x1) (async) socket$inet(0x2, 0x80001, 0x84) (async) getsockopt$inet_sctp_SCTP_MAX_BURST(r1, 0x84, 0x14, &(0x7f0000000000)=@assoc_value, &(0x7f0000000040)=0x8) (async) socket$inet6_sctp(0xa, 0x5, 0x84) (async) getsockopt$inet_sctp6_SCTP_RECONFIG_SUPPORTED(r3, 0x84, 0x1b, &(0x7f0000000100)={r2}, &(0x7f0000000140)=0x8) (async) setsockopt$inet_sctp6_SCTP_PR_SUPPORTED(r0, 0x84, 0x71, &(0x7f0000000000)={r2, 0x40}, 0x8) (async) openat$proc_capi20ncci(0xffffffffffffff9c, 0x0, 0x80000, 0x0) (async) write$P9_RSETATTR(r4, &(0x7f0000000280)={0x7, 0x1b, 0x2}, 0x7) (async) syz_clone(0x60000200, &(0x7f0000000000), 0x0, &(0x7f0000000100), &(0x7f0000000140), &(0x7f0000000180)="6f67352bd8eb61cff43f0f34968466e644654468f81c0656e663d3bf6b13a219de6666cf768c690223075d41e067e7d2d283aed62dda8ee56ae8050117fb090c28202661ee1fc4663ba5c6d834651cf33dde268d777c4b4ffbbb237867aadb63f11816dbe1db82db6a78e076ee8671c31e2560654bee76887780a39a51") (async) [ 406.231448][ T3545] usb 1-1: Product: syz [ 406.236276][ T3545] usb 1-1: Manufacturer: syz [ 406.241223][ T3545] usb 1-1: SerialNumber: syz [ 406.245148][ T7] usb 4-1: config 0 descriptor?? [ 406.265936][ T8283] raw-gadget gadget: fail, usb_ep_enable returned -22 03:14:35 executing program 1: mkdir(&(0x7f0000000400)='./file0\x00', 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000042c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r0, @ANYBLOB=',rootmode=00000000000000000040000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r0, &(0x7f0000004300)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000000)={0x50, 0x0, r1}, 0x50) timer_create(0x0, &(0x7f0000066000)={0x0, 0x12, 0x0, @thr={0x0, 0x0}}, &(0x7f00009b1ffc)) timer_settime(0x0, 0x0, &(0x7f0000000040)={{0x0, 0x989680}, {0x0, 0x9}}, 0x0) chown(&(0x7f0000000140)='./file0/../file0/file0\x00', 0xee01, 0x0) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f00000001c0)={0x50, 0xffffffffffffffda, r2, {0x7, 0x24, 0x9, 0x30a800, 0xf2, 0x0, 0x8, 0x5}}, 0x50) timer_create(0x0, &(0x7f0000000000)={0x0, 0x14, 0x0, @thr={0x0, 0x0}}, &(0x7f0000000240)=0x0) timer_settime(r3, 0x0, &(0x7f0000000180)={{0x0, 0x989680}, {0x0, 0x1c9c380}}, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r4, &(0x7f0000008600)=[{{0x0, 0x7f}, 0x4}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}}], 0x2, 0x0) syz_fuse_handle_req(r0, &(0x7f000000a6c0)="21a30ccb4da61ac7852a22ca85a06474ceaa4a0c2182d8b769b6c2bad60a3f6dbe3abd7f74296361c2cfa8eb73398e2a2e43901fdee3e484efece48a41a64c49d83debe3cc3b734e1a1735a2eeb2faf34f014a7c955b8a5d90459cf45434a0c96e69967a9992d6f6dd6bc6dd44c654ceb3bea84299b9c128f1d1869419de18a50d18324f4cdb25fe19e809974773e3965987c1f54fb0fff3fe49d6b91078fe60ceb48d248a1c036c20792b38f8587b552a6dea3c3306412ee26dd34796bd61544dccf433eb9f262005691c2b45ca4fe790b87b2810e3cb6234479bb9fb121611e66899233cd63028523a54bd0e57bac3682e497c634df2d39957cdfe83a4c85068dafd490a40e84383d1972862fbaf073554853aa40ce24205294c22f2a7d1c01ff3724d97c3fc4c867573b59efa0849453cf102fefd86939a40055b114757f05180e7bd582833406fabf2ed5d954db48ec183cd95d7db0a96dafbaf2896611889887b0066c3086eeb7b9a4936fb13869c666f337c1d5b401b33e56b100a078adb39f05799089df1b2121d6dd357c02cd42b91276cc991d5158a41f18f23b7411ffbc958fae98d674d6402f267a423250c0aa6d2733163fa6542ed1589b9b5dca2c8ed6e4cd3a385610d2d67ce8148e8fafc73d46061067d2662afbbb20ccd52fb7be2897ea9335a9e07ecb18781dff99d45e0933fb34c74a4bee139a248174124bf1259352a3e7c50cf9ff6dc3585643cfbac2f917904d6bb073d0f008f46a6ba6459fe467cd6aa5e7836495b529160ef0592453efc1bcae6a6c355ef94387189ed67ac54ee1f5e7b6a3cffdd5652784370c5d0085f23bf50f01e0778a5696f589a8cd073b09e6035b8a4804f8c0a30c3d4959c833f7b7224ae3670547669d2c05ae5e35de07e1e0fc41f58a59f3536cd6c59cf3a7e8d8ddd969c5f1320a09869c00f5e63df17acec4b2c2fa48916064369775eb484471dacea595627076d918ea28440654a2741652c2032dcdd87689b4a0a87270efb9456e8a24746e62656012c4cc0b0866020652ffaf72e96a326d9bc7132397e9d31a375c1fd2985e1adae2a6a6bb043206cedd0f7d9921e9d42ae0efb455f765921ea241635b33e8ad230312f98ceb899093fd73383d95076d75e358d6ae112df0af1f932315c726478c98828cf1c6e256d053b63d2adaa58a0a0d369cbf946c2b9b64969c0a3a5a6ba5341bacf11f0a3a790e718810328ac9ec3ddd531dd55f3a48a81db9727214d2a2336222d5f808b02e79864d46a5dbfae0eb15ce4e0e374185e151f30a0fc8f87b7b529b2f86ebefd38cf83faf461d52f5aec8ea5353eeaad86e7fb54c506a2a08fbe69de22bdf33299463390523a8e0af7fdd6015dc08b43744a98303de46763a28ba1a48fc5ffada67455759e0613fb829354b889415b76aaa621891be5d7c222a3156b1cc7e5ea95a66729a24daaedb854fddd9c143e85afdbe6819348fa400a9b7c481802fcbb2e178be4b6fc2684cfa3068ffad535c06bef93e590a7a2725241bf0c14156c7d12deabb9b33c6b02bea91c688369e2c92ce675061ac4eb7c5fb22c9ef638c31288553f46f6280ae3b600704c4915c75eafcef7191049ce67031ddb1caeb300511dc0cb36eab9813c2fb67c602a7a4a71a8abee5469a2cf499c765eb1d20042f0839b14fd87f275d3f77c8532111c2d0fed56d7fca05dd02dc9e7bc0155d39e20662a684ae1d0366574245bed1e9e2f18e1cfb78806bc0d4f64dde134e2dcdf9a0794a33dd0721a35cffc5bdc231d53dd4443d368953f9f7cbc91f1b797a0ed48c1d6d5c51232ec1b2b9a02caf064e591d4eefc8e310fde38903d956228c355a3b2cf0dc25f1bb1958b1523a456149753a3d05ed2cbdd5327b11b64b6155af59bef65e7c17b33cd7c2fc373f88ab14610f2d3dcd53f94ea1a2c2814ccaca3d6d09a23c00724b8069a8b5bf78c155059ad369f8af38480fb3a15e5993f05e21e0736e252d06987202c9c9187bd2e8dbdf24b4ff227a84e314ac5588698ce4fe84fac0b7d9bfec2426f1c054258f894baf839ec5cb8a22dadc814b24c9723b4c3bdf606d7da254cfc940a9f199957487828a141c18f6c2efb5a9b9752ba6da9a017b045a422e6c56b1c01c1c1c21756f53db026c4433a5ff9d2ebf9ae544ae21b8badc83dc8ca6b6efa684a3626f5824e7330bc2b9bcbb4d27bda95782487e4977ed8087e4fc9bf1ad636673ef222b307caee4a004aa7c77e54212cb9b36956c23ec7f846fdd9ded9d6bb05d3edf871d0a04111ef03a753f5ef1f452f90c0709cdbb659fd7e22e982a26e2906b0e1ea2985ab80a554501ef9103607a30f8f110b87c5c28441f0aa05cbde1b7593143ba38af7c9c8e2780bfa736e5c9d9cd29a0bcfd1f609a5641451f0f4e1c00902b119143621119860ea3fa22149a43890bba7434d783253e1ad1164c985fe96acea12728bed1fe824f3b300b1e6bbc84c589a95e96d78ab49ee6a2b1018f77c35b71a49c29f990dc4b50e0792607294c35a4678d9c5234b36b033f14f712cbf7077f108e285c5de259a9838e1254c8cfa94a2b49e98070c6c021a47a0358297c2b7fc784d9091840bd70f7eb3189b21ce024d0e5e9187d0bdc2fc4e507d09ddd0bc3406819a61dc3d785b9568acbc6b8ee5ba927717d60135936f2189210f20f4a50270cab3e82e8e002213df038c2e74368ddb55b943046f01b4842559abfa242664ae582494501f44d2b6e642d18bed0ad693f0de8a441545882936e255284d19aafda3adcb483a4e2e7d1808ae6cadd881b438f72936516684cafd18d6ba89ea69dee2d86cb7d3dce8264962c40479caf24855ddbe896f4420509139a4e9560a4ae6e4db9520fa7b39308396b0c6cb1e07c754403a98d007242a0dd4b86a287d537f65367dc679e47847a49b084f743434e80fb95fea2a222ea3d7fbbea3d573bc44f66028743a675cb5def2f0ef4063ceacbef1b2774a77f3103f74dab377c33ffe3522d5c230b794e848ffd153bfeb48e2cfbf622b47ab73c3df9fd3b2eedc59ea4ea2b3a2b5080f49b7024697048b24da794902b2eea8d6587a1ef6afe17c007b255504ebcbee55d6b1df0c1551e6652ca69e216d2ff81a8dbbba30c9fcbeaa18a4dfe232980b35a76e66721598e9f46157a02bee32bdf09da71fb98f56d65d2d34dd4b7a554a77bad1a02865cc94722ea067195c62d9a7c641bff5d93f1c54e9111ec0369569b78ead2fd5778461d91bddee81f9344b6b2d1243a0b377e5333ee966d89a820fa94574b10d4926d0c0a1f898895e748b4841cda331b43986a7c0d52ea284dede23e08a93c30bfb6f08a6b16e0c7163a5926ccdd66e26a75bac914c57ff772c587f8570b2089e0dbd3c19c3596e0b7263a9e35974be41cbb1f42a67949d88c5dfe455e82b2bce469190f88356af1fa4cf50af7e3999094e0004726b0ace0e89fd278970153fc5b12f8b5e0dad25c1adc83da653babcddd285ece7c6077b5ee68a8d823a7826beafb75021307be017c6072cbec87e8767bb9a5abf1440cfbaee54d0d0a1334d2ec5e4b2b2e678e2bb7b09ce9c147d9b4c8c3142ca5235b838a1348f8e09134ae2e315af45c89c62d57c1d93ee70025723902f5f7dc8a837bc4763c98436c0af4d8bf9996b35139c290106d9a65e4a6c96338faea379644f5d3b5cb192a492a7aa7f2bcffd9e94b811c8fe2fb5192f7d19154655ed82468f07ed9cd615037e9c3a44af2201d186f0cf7e51838c5a291d311b603ca14f4120e7f0ebab4d7f61c33376009903e4c77ec8abf483bc50a7cf004103d58659accbe98601852ea26f29d96c1dadc2631d8218857c0d67fe4bc8b688f02dc181e270567842b085a5240e7cc91b68bca72932c20a5a4e6c210d2a149a09a29fc3e31e9620d550e3c10f168bf2df75d30816f2bd63c5ad4aef97e22fabc65fd707eb64ada9d1df7f7c2093ec33f25de96974a3e731bbc24b483add47a18e2e5324aacffbe1b1c40255e317f00d8590b71ef4966a79380071a3f0500624e826ce49808df27478c1aa4787b0382ba5be4f0a93c1b136e323f351996ce6c7d415e11b5fca4d7b808ddce4efa6027bef6132db0440c45258cae859a332132077ed0c67aa2172df2897f73e68724301dc013439d8a09472382d79f0b116fdb91dc16e32864b5da7ced40d4f14b3ca7049a987f5651d2e8f196d53041195d03519aff91a6394ae7004027e1906e9d674c50af11aefeee3b9212609fa6466d92dbd45cf5045b1f8a2efaf37984ddb70a52b0225f57203c3667084cf1d5b18658cf651e0ded6257cbb8a4f1e602e5c945e36256d852dac1275e777323939fd657220d923f1e4d38a72be3efcc36ef88dfef1af5ece04c295020e708d81dc4ad28a6844a5f8edaf85be4909a1a5f01f16ead69b0d472b3c09fc4215d528e2d43d696e701085c3cc62e6c5712d079b7c4ffc7619dd7e7e34466a0e6ffedf3c133731db6d2adb13c088271cdc01a809f1bd0db9e3221f04d42379b8cef642aaffa6d2b07f7b998835dbf024cad10b985c9529891a6003ea98145bd783ee3ccc2ec911c6362bce846c0acb652e71d2a14bda7f571a81800a331300f3915ca736380537b16659333bd7dece656fa66bbd97dcdac7c12a96cf0e5ced25ab00df674e1d747bb15bd1c15c8ac4eb9f263f4635858339b8b5fe60eeabf8c9f24d6928e5e5848cf7a74ff5842554266c1d5747b5c681dadc804c24a7dee4c02b600aee839296a0907342ec9be26a53f1319d97683db2003b4cbbeaab124b6c94f9398820cadc4aaea3f8abd9e5dadbc191f6bbcf072fc657da8528aafd1ea811b967e4e21ddd2bc93d874d7916f82f10c4e1f62c21bcc2928b1f727d39c1278e7ff2f80f15a633872ca3adf7489b3a63167df3e22a4c90008a0f5a396cbe14181b6b777f23693e6b36bfcd381394e9b468dee3cfaab972f9122f0e48bf63964d871504b46c516c64822ca27c123b97ebcfc51a7a39e139e5a032d3cf4a17fcde605782bb51fa9b35f3b1e22cb52bc233fb149232be661d02a6c0efefeca3313fa437d4176a9e3233d318930e5fb0fa470b5453ff5aaa8f7e12963ed83e477b306681bd21e384c6aa2cc6fe1c49cd94f7c4ade65f29bd98c686e645af0152ef230f8db5fcf43303e01a23e166669d9a8af427951c7e0102b733d1a5bd4ecc520efbcaf421422709ea49bf58b31cbc5ca5d4285f71e10e8d89984249e37c2468bd92a02cc9b78900688a79cef83c83cbc93370110ffaec4141ce58dfb6f38ab1108bf952304b99bca1854ddcbd203230411fb7e7c77c99831ce9b5f414a22204e893a5f21a0185269dd426f0966fdc3f97f69106c1c225348f838700c5f6cb0a8d2d7ac4c04cea60b47f2868afc06ee2cdeaf8b418e4da15fb38de49f6888dafb170c8435c43849d49d02b2dfabb52d2a3124583af97c2b8b4b3dd4ca60f534157ee428c58360b11e8b14d6e1da7a3b7219b24189afbaa45f140fbd45b0a6f7994d62bfb03d3a46ff4984743cf73edf1166256c23a7f2eecb8df7a128c5f2f8fe8f31d0d7ba10bf9a9072955ca1274396f664380bba21c038a376d663798dde8bcb0c2acf208819e01cb85dd2c4dd0169a5a2909697bb7c54d247525e143a817c402bc90dceb449ae5397a31c591cf4c430747c85a6012f19726aef8d9dbc943e9a8049905a5a763c0a4372e0a0cd233c8c98ad113d7c317b2bc47a42958966abf89efa01c68f5ad6133307e4961312e200b205cef0cddeaebc4d962d46ed487fd386277d78223464bba06165d8b724118905497ed15b67db079de73ed5d51a05ab816faef0004263be0565a5fe2a63e101c09bdc6396ff1b11a851db834a38117791edf699496e039a223288a86cca374a9695eba6af1a616b587373072a52c954e376d2cd9b261bfd17389713c59779b3d471c672630890b40450294128da4a0f12365634069c730503589af042cd4ac6a9622d5afa14725e71135de7e3df325270f26fd9d7e98df7005dc8b7ede330ceb97d80fddeefcceb7de377f92e4de480dfb680a826afc3a567f611596386e171207757a133330fc02ee1aab2e758fc569f653899dd72ca22c8b3fe0ad235f9e2f9bfe9a08aa367cb912e771d41341ba195e51a888c97f6f38a09782069e15a6b42029f371053f2f00ae1bb97f8f5ad5bd826e98723b5352d66975e2c99de896ebbed8bc153593a9c2e01b502aec346c8ae1c90ab19a2470da8235dd2716716c0f2d37c444a9921918eb19b017367d133cecc5a23e073a7641e382f28244493edad1e604ee9166159d1e1a98c47db166deca9f527337193b59ae63aa37c9af40c409bd600e855a082c2590931f7dc0abd8659af5791f95df6c2be0a9cc7053567be94aa52b8a37785982913bbb0dc72729f9137a1bd69703f80ca949f4cc54448b8eb70a2786f433a7bcb6f9f27ef51fdabef97efcb234fc81e01a687b440fe9ee725fce4062255017516c8389c5e7615ac078eadfa28f70f6ead8794120564901e2b8b2bb7960a61d317a724b4988bdab4e25cf9ad5c5e3672fb8288bb257245f8f95465c8aee10997a93cd79345c72868bdb40b1c396c7da41297d81590d408de7d1d5e79b85e84a3c57a3b2ae14aace8705b9e78af54c202e48a422594e0d68d3d9da59bcb8b455b4d0e043229e0155d5c1e70915cd466bf77dfc3f038ece6f9b91d9c164e833c07666984eb9e0285fa47352f7eebffd2b54af1760c2f26914361f04e620354b67a35b534ff805a18ee91623578d4909ebe2f4cd9532a9046e456139aaa5e2d849fba1bcd7996c4567f1f2f774eeb64569316cbb25c72a5fb6fc93a91f44d71c0db6bf98a56f6a37f91470fc4dd9419555f4dceb61bcd1d3f932d056d8db71464f2d6a6a5d1f69634469ed3a1cb7b3b7257f4610abba619ac520c93903cbd1c8d181dae79d1a78c11ab218331e882d1a9bd95b41f0d9d28c0e8426e3ebe83f50d9c2b3fd90c313279edf90599e670bbe88e1bf5d42c755c9cbf77b77be27baf6fa3be8c8337c2f0b90d76c4c16ac0f8f1eca97997d2cb98ac02d91d71c9dbf90278748a8e9746f19af38a54274e5d4c2b6f6538eaec025f0569668b8fb8908d7e84404c74dded62e56d9d95e0c2197772ee996dd979837e07375ee05b5bda2e727057bb84b479887ce0e98d470c3e03b86aca5d88586da304f2551007dcef6e26afac58c39e67202c550f10dc9b5244447ed608b08f9c5e62044ba9b8684519b18e72f98f928e3facc0dadec2c70de6953f9775f36b163f4f2fc7414c010eb5b73dc79388ea0da3b59bf9fc6eb37c853a9ebea562bad0467347981599543d8456b085ce506d73a2c4d866f05867a59353ab23bf41ee60c150957914bd3ff0d29ef02540ba6ca850018f0c7164d840b2225b363737b8ba08e9a261dc8d704bda809b19810cdda9f40159b6e439ab36499d15105c901f6b4fd00800d8dddface1fff0d99063dc36af3775c4f5043f1d6280631e827219beb3e0230b3321bc4d5ec2cfec9d900369a8cb5345cf71a83040edea401babcd91507225fe85a3859f7a1b1ed44f39266af39d4c27749ef3ccb21371a11254e2b508639e555d82dfe008ce7a241af840e9a54cf37671229d08d3c7e0cb4c5caa22c52402e4657910f482864f4b54ef8a3f6cbe6e4f3e8037756558ce0979f00b9ff9038ec69bf0ac354d50a68848fc596e4fe7ca476ce32d7d92e3948f3bea1d7ab345f1edc48e1f7c1a863a51d9813874a517ff692ad0287b632a83512ffc607654b21e12acae11e4ddca3009a018ef34cbb41b3593b50041e598265f389e9a067adc43c7e592cd9033e5b854f958d151e53dc91daacf4e9b95600be6bdccd928c36ebf3d30e77fee7d164eef91ca450a67b156e7ccade2a54f4b7c3984706bac15aba460c7995d9132b96274ceb2e2bf3c53fc8a25c3f0d1bae97057bf3b3b75705d03d7a73d3f714b4663be25e03255aa660124361f9c4762f767d80d947bbef0dc9be3c1dd0ccb7b541f9c5000c6229fb60d902b71b724acfd50acd3ad4d2d345f037e470b7fa5ac04f516dd18572bbc4e02af932742f079bc36af38d888a7c04423640fd538373f11569e0ede685d278410c0a09b8c06015a1da04534a767c3c4ef30b53beeee22a0f226ea1a0a043be0ac0a81502a971f6c88d56c6ae925a8134c30cee7e29498a61df4af96124ccb433344204d4850f3ef096796d7c9a2a28ab6ddcaeac0212a85955ca11a65393a426b309209382200d84c86275a744df41a1323654ce9cd35ea650d36621a5fad7f62989a62df973e57c9bf04ee1e328f4454d5680570d2c1abc310bb8b61eabce621f8dbe64a9201be64e6303f9ef635dd614445e26cfb1a22e40578010e148a44226b657a0d4da965943b381d9216a4c5b48333b101313fcbc19fe5fa1840ca8086881d3ad821b91db6076bbfdd6f9f4aa3502273175bbef3e0419789c23df4c3caa4969cde0c0f1fdc92eab8f13d813a688649b1801e8c5095728fcf74142622041dc0eca14436e0ba7c16e5a9f307bab737fb3d9567f7c5e4d1d1b5d9290d725aa913fc6575782d2559decd10c592bd2107c23fc962e72e30d2f8df5c6eba91f3d1bdf356234bade6b5490190c9fb486517dea3fe05fc40d1fc9f068f4ff21f5fb6e8758edf555c399d9bc068853bf137583b67f3137cf6acb317e630036058520fa4a6910642dd9270dd94b435496c2362e05bc4b7b8409df6a0754a06b79cb53de23887ac36865c6875e3ad13c02eb4ea04b31b4ff71bda62ee2accde6aa15fea572482bc1ab02380db116f88adce683db55cbc23782cdbdbf7ae0577c5a07ed97fceba7a5316dbedd61338b31a80a79c71935859502eac3ca3a0eb90f38eca93f7f0d63d0bdcfd792fdcfca87339a05b2930824d593bf787847e1156410a5a5a6f4e2e0f142e652dba6a6d997d30d65a7e4c339496cecb3428372a3849a38dd1935e35dabd04de86179047203399d2a90dace178d44dd4d0df5305ccbaa752f667f94b1d7285164e67882c37534e402e9ecb0a17757340e923ae0d33d86f3557a573b8f702866e439ad188e28e27261cea64c7bb9bd82a439b42ddee87b49cb56c288dc58004d6b55124c34e20dac02a7acbf5bd869b8382da90434a8322c75f5ce44a4997c0daef510c5d5c12cf76b7efd8075467ddd0ef1c4d0c2c7ecfc734140ffecdd1dd030c1b96944b4536e4b4cbdcc9c49d371c53eed437b3074cfc1d068ed101cef459e44a45c78056b811fe4509221608dd7cd363382dc738f9b1cd9601624b6b1f43634751ddb0a0e46a8ccd76a9ed03047ffca77ca8526d47d57ce75c5832a47351a5ed2974ab72a239c783236cc22b52776e458ba46d5237b79395bef118698eb9ebc679461d8761ef5e240d6132d36766f80430b139a9adf7641b04407244aacd1fa634639ff10966f7976ed6901543595712f740437471f8e40030981369829d73452c0cc936eccc7b596a3e7a0661f175cbd064c55d8081a8aff9ab4b19c0292f5343157f3bba8af2b75ff7c7303483e2d5810fcdb464df2b6e70de5a220a5eae83c07255889222b78fe8b7a71e7fd3b37155ad4be80a64c6fd5388f05af9af92379bf7f7e1bc26f0c021ced81da967481f17143221b6927922d7b54cd63c5e57f4d2b0cb959137771a4224da6836eb3b015cd9a3511fcdda5b87e0fd03dee2560fe02fb2e314d457003fb5b85150a00556c8ba682a3d4631b8695078af28cb974b423417970282e0c4280c4a5461064e59e7df9727d1cc4eac521c85613885116261da3e7a8ff875b05e98d7310fdef556c07cbd437edb0a55cd3983a4e3c970f75a1773ed472b0680e1b96c613e636cc65ae8b77089e33474a477a10f2ca068fdf817b803adaf2073aa1120ce6aa95ccd6076fdee711220667046fa4dae781ec45e639de4ea81b980363c7a224c4de9f161befa1a25479ed01dae47abe53524e83493ce16a834ef92e3111f9bee8c7a227ae67b2aa91b83d51a6b66a8f898b8447c732701a86e72413a2c2d2aa71b7a9500ff3f9b6cd54b77091006b77b32f6c195982eda35d489b7155c8e242022d12a37a336ffdf21eae5e13174bcbb44ea4b2e4911104c2b8b3aea7f69461c0c3ecf69196d1148c018e22673675997c44d903798808b826e89b5463f1f89d1353c9e0ac447764ffcefd208914d4a92b5cc2ecb7bef6f8d365e2db28f64e61c521efc9bb779bba4dab0c5720a22eb1b2a29e60c7860295fe80741d5d75b086624a4a9af31b1560b01ca24c42c65cb8b7a5f91310077f75568a99f4d106c3d96f59b161491e80fedce81fa285995fccfbf9305fe3ae5e6d6a0a0f90e87b4b9ad5af1054d09f14e9850d4d94e3e2adfc50ddfcd47ea2235a59bd2d3dcd1ab9b2ceb6e49543a278bd0c3dcf31f844e377945af3b075687c1be1465243ea02e768b3ea45c6ee180294f0cc1ed4015a777c3b26a6d123f94f326dff20cb4c95f2e13f353f15f507ac7a2b55e62f0274595a46401018024af0e48cfea6b1700eb9e44d2d5aa79dae9a0596cca11964342fe6c27451ccdbda75c4aa70d2f87c0f29dfa980c84d933a62ca5befeb78e3b2e9da1a39d6130b34e7c4e85aee8c1cc6e93f1598411c5a39041e59e84595e5b38939d87871673ddac415e70b218bd9b5d75aa80d1200dfe43e6c25fbe76c8d0b5400717fce53d988f3f2af45f73b384bad4503c75fdfeb5ab7fca1b154570bf96d16a0648f91cf51d9efdb8c47efa6efc858911db404435c89347d602431ad71f5a134bafbcbce22f020d9a54032f89b69a75534700fa0160bd194cf472d2765c03bbb016e8f03d08286e2e9fb4fe5fa196044de4d5539d6a3e0eaab50c781b6247b6dc47d0747662133624f6888f1d41ecb11f090200cfc00bd39436e37b2db856c14b947b3298b51f74e313df6e246763113d518ccff4bd868e358cee610c7dade2d4d33addfa53e25830e9e936e7714a99d072f67a9dc4974c6777d55c3925f6584ef9bbaa463f22b07f43da987dd519d8da1591dabb53fc3e4e52cab9fae5f02b66afac9bfd5dd12d207d71063db6d1a21604989ca7442419ebb9c18de67fd5fe8a1282665eeefb67d15ceb2cdbc80d061eaff82ace5b18a0b7ed989b502a880fd8a31c40bc3d1e0bf1654f537714b65fae51c45ea4f21cc09f847c5cb586864a0869453befe8475dba46030a873ff95ebcb553f2f18b90893307ddf3193f7693e40b2ccf75c30fd9e18e81de23b68f2315159c0a9c0232e70318161cdd44cb32605a005888817e2038c8659399a4439575a8035cc2c1f42c46c053f515ac3b257baa73bc54e7d466704241a7b02a81a146d6229b48b1f6a7a693ea008c8d15be9ae2032b29ac1d5726d28ed647c76ac93de9639683e6888f7a80ea1ddb6c31311df6d34f8217ae933b6dda8bac98d08837fb88d03219f3802be7fbac24cb68853f77354beb2392a9b8d48b9dec7a712f729be835f6a0c499ec3abb46556f65848d2523d5c70fb9996", 0x2000, &(0x7f0000000f00)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f00000005c0)={0x90}, 0x0, 0x0, 0x0, 0x0}) mkdir(&(0x7f0000000400)='./file0\x00', 0x0) (async) openat$fuse(0xffffffffffffff9c, &(0x7f0000002080), 0x42, 0x0) (async) mount$fuse(0x0, &(0x7f00000042c0)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000002140)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r0, @ANYBLOB=',rootmode=00000000000000000040000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) (async) read$FUSE(r0, &(0x7f0000004300)={0x2020}, 0x2020) (async) write$FUSE_INIT(r0, &(0x7f0000000000)={0x50, 0x0, r1}, 0x50) (async) timer_create(0x0, &(0x7f0000066000)={0x0, 0x12, 0x0, @thr={0x0, 0x0}}, &(0x7f00009b1ffc)) (async) timer_settime(0x0, 0x0, &(0x7f0000000040)={{0x0, 0x989680}, {0x0, 0x9}}, 0x0) (async) chown(&(0x7f0000000140)='./file0/../file0/file0\x00', 0xee01, 0x0) (async) read$FUSE(r0, &(0x7f00000021c0)={0x2020}, 0x2020) (async) write$FUSE_INIT(r0, &(0x7f00000001c0)={0x50, 0xffffffffffffffda, r2, {0x7, 0x24, 0x9, 0x30a800, 0xf2, 0x0, 0x8, 0x5}}, 0x50) (async) timer_create(0x0, &(0x7f0000000000)={0x0, 0x14, 0x0, @thr={0x0, 0x0}}, &(0x7f0000000240)) (async) timer_settime(r3, 0x0, &(0x7f0000000180)={{0x0, 0x989680}, {0x0, 0x1c9c380}}, 0x0) (async) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) (async) sendmmsg(r4, &(0x7f0000008600)=[{{0x0, 0x7f}, 0x4}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}}], 0x2, 0x0) (async) syz_fuse_handle_req(r0, &(0x7f000000a6c0)="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", 0x2000, &(0x7f0000000f00)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f00000005c0)={0x90}, 0x0, 0x0, 0x0, 0x0}) (async) [ 406.282679][ T115] usb 6-1: New USB device found, idVendor=0582, idProduct=0159, bcdDevice=dd.27 [ 406.292277][ T115] usb 6-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 406.303181][ T115] usb 6-1: Product: syz [ 406.307475][ T115] usb 6-1: Manufacturer: syz [ 406.312281][ T115] usb 6-1: SerialNumber: syz [ 406.316516][ T7] ums-alauda 4-1:0.0: USB Mass Storage device detected [ 406.343522][ T3545] usb 1-1: config 0 descriptor?? [ 406.351084][ T115] usb 6-1: config 0 descriptor?? [ 406.388812][ T3545] imon:imon_find_endpoints: no valid input (IR) endpoint found [ 406.397011][ T3545] imon 1-1:0.0: unable to initialize intf0, err -19 [ 406.405235][ T7] scsi host1: usb-storage 4-1:0.0 [ 406.411839][ T3545] imon:imon_probe: failed to initialize context! [ 406.418265][ T3545] imon 1-1:0.0: unable to register, err -19 [ 406.422076][ T3612] usb 3-1: New USB device found, idVendor=1d6b, idProduct=0101, bcdDevice= 0.40 [ 406.433773][ T3612] usb 3-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 406.441998][ T3612] usb 3-1: Product: syz [ 406.446274][ T3612] usb 3-1: Manufacturer: syz [ 406.450979][ T3612] usb 3-1: SerialNumber: syz [ 406.633449][ T8281] UDC core: couldn't find an available UDC or it's busy: -16 [ 406.640953][ T8281] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 406.722346][ T19] usb 1-1: USB disconnect, device number 23 [ 406.879682][ T8329] UDC core: couldn't find an available UDC or it's busy: -16 [ 406.890427][ T8329] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 03:14:36 executing program 4: socketpair$unix(0x1, 0x0, 0x0, &(0x7f0000000100)) r0 = socket$nl_route(0x10, 0x3, 0x0) r1 = socket(0x1, 0x803, 0x0) getsockname$packet(r1, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f00000002c0)=0x14) preadv(0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0) r2 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_netfilter(r2, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000002d80)={0x28, 0x12, 0x0, 0x401, 0x0, 0x0, {}, [@typed={0x8, 0x0, 0x0, 0x0, @uid=0xffffffffffffffff}, @nested={0xc, 0x0, 0x0, 0x1, [@typed={0x8, 0x31, 0x0, 0x0, @binary="1caff8c2"}]}]}, 0x28}}, 0x0) r3 = socket$nl_route(0x10, 0x3, 0x0) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(0xffffffffffffffff, 0x8933, &(0x7f0000000040)={'batadv_slave_1\x00', 0x0}) r5 = accept$packet(0xffffffffffffffff, &(0x7f0000000340)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @local}, &(0x7f0000000380)=0x14) r7 = socket$inet6_tcp(0xa, 0x1, 0x0) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r7, 0x8933, &(0x7f0000000080)={'batadv_slave_1\x00', 0x0}) ioctl$sock_inet6_SIOCADDRT(r7, 0x890b, &(0x7f00000002c0)={@mcast1={0xff, 0x5}, @private0, @private1={0xfc, 0x1, '\x00', 0x1}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x100043, r8}) r9 = socket$inet6_tcp(0xa, 0x1, 0x0) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r9, 0x8933, &(0x7f0000000080)={'batadv_slave_1\x00'}) sendmsg$nl_route(r3, &(0x7f00000000c0)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x4000000}, 0xc, &(0x7f0000000180)={&(0x7f00000008c0)=ANY=[@ANYBLOB="58010000550000082cbd7000fedbdf2507000000", @ANYRES32=0x0, @ANYBLOB="20000100", @ANYRES32=r5, @ANYRESDEC=r8, @ANYRES32=r6, @ANYBLOB="01030000ac14140d0000002000010000000000000000000000000000e5b83bfc6973b68f9ce3c2a4cc15c985d5137fa35da0c000d8465a4c763092220c90203635115bceca52435df03be544f8f5623ef4a1b2d4fedfe85aee0327fe45d4f0fd168c26effe253f876bc1375975a3674f99e9bff7f712d04b27a8dcadcbe6e196083146c6855651847b2385a2069523caab552de14521cc908d075ced9171f2bc831ec69ab1db09000416a94eed1ef77ef4703d59dd254efdd22cbbe596f03775cdb7c740c9c14196c16c0776c196f3df8a589e4f6e9d5717154c09f0b67072881a02eb337b32d33c12898d19e4c63774fd98a416944950e5de64dfb1b4c9325266bab17feb8dfb2b33b71610611fd31a8b8ff46dd9e8c391f71bf01238f726409654997bac7afa3bdf7fdd8a482fb1ff67c6fbf864072d577b3993222475f35e938fe2e911fa6cfff9089e99f6ab5943159c13d8f83536f06ffeaa9fa1f3215511c0f2d42ec20dc91f494dfa0407b8f56d1cc65cca6b4e40c24a", @ANYRES32, @ANYBLOB="01030300e00000010000000000000000000000000800000020000100", @ANYRES32=0x0, @ANYBLOB="59a171ab4e8da395ae1354743bce69bcbe5fe9cabf5834056c55e5f1416a91406bc4ab32ffcb159a6d68509ff9091bfe0224b71594b7aae81ce3b6fa92ab5c63b514898ac45c0f8cc94b", @ANYRES32, @ANYRES32=r5, @ANYRES32=r4, @ANYRES16, @ANYRES32=r8, @ANYBLOB="3b56c4a9e887b8722317a1e70020000100", @ANYRES16=r6, @ANYBLOB="00030200ac1414aa00000000000000000000000086dd000020000100", @ANYRES32=r4, @ANYRESHEX=r9, @ANYRESOCT, @ANYBLOB="00020300200100000000000000000000000186dd0000"], 0x158}, 0x1, 0x0, 0x0, 0x10}, 0x4040004) sendmsg$nl_route(r2, &(0x7f0000000180)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x800}, 0xc, &(0x7f00000000c0)={&(0x7f0000000040)=ANY=[@ANYBLOB="500000001400000128bd7000ffdbdf250a800900", @ANYRES32=r6, @ANYBLOB="140000000000000000000000009541c4c16930d3380002000000008a4809000000000000000008000a0402000000"], 0x50}, 0x1, 0x0, 0x0, 0x80}, 0x800) sendmsg$nl_route(r0, &(0x7f0000000280)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000400)=@newlink={0x20, 0x10, 0x403, 0x0, 0x0, {0x0, 0x0, 0x0, 0x0, 0x9a1}}, 0x20}}, 0x40408c4) [ 406.991804][ T115] usb 6-1: USB disconnect, device number 19 03:14:36 executing program 4: socketpair$unix(0x1, 0x0, 0x0, &(0x7f0000000100)) (async) r0 = socket$nl_route(0x10, 0x3, 0x0) (async) r1 = socket(0x1, 0x803, 0x0) getsockname$packet(r1, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f00000002c0)=0x14) (async) preadv(0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0) (async) r2 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_netfilter(r2, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000002d80)={0x28, 0x12, 0x0, 0x401, 0x0, 0x0, {}, [@typed={0x8, 0x0, 0x0, 0x0, @uid=0xffffffffffffffff}, @nested={0xc, 0x0, 0x0, 0x1, [@typed={0x8, 0x31, 0x0, 0x0, @binary="1caff8c2"}]}]}, 0x28}}, 0x0) (async) r3 = socket$nl_route(0x10, 0x3, 0x0) (async) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(0xffffffffffffffff, 0x8933, &(0x7f0000000040)={'batadv_slave_1\x00', 0x0}) r5 = accept$packet(0xffffffffffffffff, &(0x7f0000000340)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @local}, &(0x7f0000000380)=0x14) (async) r7 = socket$inet6_tcp(0xa, 0x1, 0x0) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r7, 0x8933, &(0x7f0000000080)={'batadv_slave_1\x00', 0x0}) ioctl$sock_inet6_SIOCADDRT(r7, 0x890b, &(0x7f00000002c0)={@mcast1={0xff, 0x5}, @private0, @private1={0xfc, 0x1, '\x00', 0x1}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x100043, r8}) (async) r9 = socket$inet6_tcp(0xa, 0x1, 0x0) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r9, 0x8933, &(0x7f0000000080)={'batadv_slave_1\x00'}) sendmsg$nl_route(r3, &(0x7f00000000c0)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x4000000}, 0xc, &(0x7f0000000180)={&(0x7f00000008c0)=ANY=[@ANYBLOB="58010000550000082cbd7000fedbdf2507000000", @ANYRES32=0x0, @ANYBLOB="20000100", @ANYRES32=r5, @ANYRESDEC=r8, @ANYRES32=r6, @ANYBLOB="01030000ac14140d0000002000010000000000000000000000000000e5b83bfc6973b68f9ce3c2a4cc15c985d5137fa35da0c000d8465a4c763092220c90203635115bceca52435df03be544f8f5623ef4a1b2d4fedfe85aee0327fe45d4f0fd168c26effe253f876bc1375975a3674f99e9bff7f712d04b27a8dcadcbe6e196083146c6855651847b2385a2069523caab552de14521cc908d075ced9171f2bc831ec69ab1db09000416a94eed1ef77ef4703d59dd254efdd22cbbe596f03775cdb7c740c9c14196c16c0776c196f3df8a589e4f6e9d5717154c09f0b67072881a02eb337b32d33c12898d19e4c63774fd98a416944950e5de64dfb1b4c9325266bab17feb8dfb2b33b71610611fd31a8b8ff46dd9e8c391f71bf01238f726409654997bac7afa3bdf7fdd8a482fb1ff67c6fbf864072d577b3993222475f35e938fe2e911fa6cfff9089e99f6ab5943159c13d8f83536f06ffeaa9fa1f3215511c0f2d42ec20dc91f494dfa0407b8f56d1cc65cca6b4e40c24a", @ANYRES32, @ANYBLOB="01030300e00000010000000000000000000000000800000020000100", @ANYRES32=0x0, @ANYBLOB="59a171ab4e8da395ae1354743bce69bcbe5fe9cabf5834056c55e5f1416a91406bc4ab32ffcb159a6d68509ff9091bfe0224b71594b7aae81ce3b6fa92ab5c63b514898ac45c0f8cc94b", @ANYRES32, @ANYRES32=r5, @ANYRES32=r4, @ANYRES16, @ANYRES32=r8, @ANYBLOB="3b56c4a9e887b8722317a1e70020000100", @ANYRES16=r6, @ANYBLOB="00030200ac1414aa00000000000000000000000086dd000020000100", @ANYRES32=r4, @ANYRESHEX=r9, @ANYRESOCT, @ANYBLOB="00020300200100000000000000000000000186dd0000"], 0x158}, 0x1, 0x0, 0x0, 0x10}, 0x4040004) (async) sendmsg$nl_route(r2, &(0x7f0000000180)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x800}, 0xc, &(0x7f00000000c0)={&(0x7f0000000040)=ANY=[@ANYBLOB="500000001400000128bd7000ffdbdf250a800900", @ANYRES32=r6, @ANYBLOB="140000000000000000000000009541c4c16930d3380002000000008a4809000000000000000008000a0402000000"], 0x50}, 0x1, 0x0, 0x0, 0x80}, 0x800) (async) sendmsg$nl_route(r0, &(0x7f0000000280)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000400)=@newlink={0x20, 0x10, 0x403, 0x0, 0x0, {0x0, 0x0, 0x0, 0x0, 0x9a1}}, 0x20}}, 0x40408c4) [ 407.242784][ T3612] usb 3-1: skipping empty audio interface (v1) [ 407.249881][ T3612] snd-usb-audio: probe of 3-1:1.0 failed with error -22 [ 407.266962][ T3612] usb 3-1: USB disconnect, device number 21 [ 407.450260][ T7941] scsi 1:0:0:0: Direct-Access Olympus MAUSB-10 (Alauda 0102 PQ: 0 ANSI: 0 CCS 03:14:36 executing program 0: syz_usb_connect(0x0, 0x36, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0xab, 0x32, 0xec, 0x10, 0x15c2, 0x39, 0x5df, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x2, 0xa7, 0xbc, 0x34, 0x0, [], [{{0x9, 0x5, 0x3}}, {{0x9, 0x5, 0xd}}]}}]}}]}}, 0x0) syz_usb_connect(0x5, 0xa36, &(0x7f0000000040)={{0x12, 0x1, 0x310, 0xe0, 0x41, 0xd6, 0x20, 0x2001, 0x7e35, 0xde96, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0xa24, 0x3, 0x81, 0x40, 0x0, 0x6, [{{0x9, 0x4, 0x2b, 0x2, 0xc, 0xff, 0xb4, 0xf7, 0x81, [@hid_hid={0x9, 0x21, 0x2, 0x20, 0x1, {0x22, 0xe58}}, @uac_control={{0xa, 0x24, 0x1, 0xf1c4, 0x51}, [@feature_unit={0xb, 0x24, 0x6, 0x2, 0x5, 0x2, [0x0, 0x2], 0x4}, @input_terminal={0xc, 0x24, 0x2, 0x2, 0x202, 0x5, 0x81, 0x8, 0x6, 0x7}, @selector_unit={0x9, 0x24, 0x5, 0x1, 0x6, "33cb221b"}]}], [{{0x9, 0x5, 0x4, 0x0, 0x40, 0x1, 0x0, 0x40, [@generic={0x86, 0x23, "cd1b466d2b0b41c1e452e537117f20e16d43078a402cb43a759c6e0d372a87836dcfda9284600f6650c098b5a332615b4b31bb7b725d560daff74df7fe2e162545f4d656a74d52f437a699527f864b63381da5b87efbf1c778f92ae4d18cb3e0a64ef0e06eb99ede6b70d78a1296075a0b69cb1f0db0206f0f74ab858181648fb62a9ee1"}, @generic={0xfc, 0x3, "4754c084c14db9a5437fbbf0829501accb43b60d983b865a98970083820315b973359aeab79f1961c9182fcc1a9bcc642bbe040f725d2418956b668c31c198671cf0ed163e0b97de7ba1de7bd3d9acdec1c96a0d896be0dcf66bca6700d6b107641f98d29a7bb62366de6d6633c0012969c13d282f7d23ab7bf8498d5fd5a5c94430ab2fc0ada15c298e8e7714d5ed3a2cfe6d342c5025776b627bd9135df8a2e3a0481e58ff3cf4eb8e1603e3cf78d105adfcdc7ea7d1ce760e91109ab824abe13c2674df350579b544743b774bb2d447e43c1f32427d74f226c14b835d36b37698cce5b989929947d87992c005b706835419b3a2adaaaf89f6"}]}}, {{0x9, 0x5, 0xb, 0x2, 0x20, 0x7, 0x93, 0x2}}, {{0x9, 0x5, 0x1, 0x2, 0x8, 0xb6, 0x0, 0x3f, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x9, 0x8}]}}, {{0x9, 0x5, 0x1, 0x10, 0x40, 0x8f, 0x80, 0x1}}, {{0x9, 0x5, 0xe, 0x0, 0x200, 0x7f, 0x81, 0x20}}, {{0x9, 0x5, 0xc, 0x0, 0x200, 0x5, 0x3f, 0x1, [@generic={0xa2, 0x21, "ce37e2c07bb4b3dafd6492dae588c1d167d36b180c486835c488eab0c6c3951de58eba5e8710f059a7deec812a5149cef12c1146faf9dc4b094a2b4eea0adaee0c377281f5b26fc152a4f7a687259e831dbacff81dc89575234493877b642265a2ad16fdf89bfd9bd1be1b87c068c922f11003bf19e77cbbcddb94d091e1ae23c3c080cbc9dbeeed474fed196311a7a73b10680c0adb670bfd15734de184af0c"}, @uac_iso={0x7, 0x25, 0x1, 0x82, 0x20, 0x3}]}}, {{0x9, 0x5, 0x9, 0x10, 0x3ff, 0x80, 0x9, 0x1f, [@generic={0x26, 0x24, "78f95e804fa3f37c2d60ac6264833532f5057f7473ca919fd9ebde35750ce02a639ac489"}, @generic={0x93, 0x30, "50668cafd45011a9c91df3f8a1a3fda3e431fea8df8b2473e7967631189bc33c0815d721b1783f3ed76b0a1fbab8ccbc3c417e1f494efd0364a6c69410b18f1951dc88fe35ba64eeeeaa66de3d207ed1301792201b063798bf57a7c89b0b1f92a7ca7a9cf9d8fbb6dc6d1876f4fb3a17ce401946b141490bec2759a5869c8cfea0064e66226c4924a2b3dac943d4e47c7d"}]}}, {{0x9, 0x5, 0x7, 0x0, 0x20, 0x80, 0x0, 0x5, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x9, 0x800}, @uac_iso={0x7, 0x25, 0x1, 0x0, 0x81, 0x6d}]}}, {{0x9, 0x5, 0x80, 0x1, 0x200, 0x8d, 0x40, 0x27, [@uac_iso={0x7, 0x25, 0x1, 0x81, 0x6}, @generic={0x29, 0x3, "339e404eab0c9f9213c6fef1748acd7f0cb7391586138ba1d3a906cdfca7e7ef64c13bd95c8f3a"}]}}, {{0x9, 0x5, 0x7, 0x10, 0x20, 0x4, 0x4, 0xec, [@generic={0x8a, 0x22, "bde2499fb8d431ac2d7b25fb008ef869272b12e1a85387c76c53654dc09b90d3c1fbd2d57c0b2b71761e05c7db9292dade536aec8196694aaa5079b50d772412afeb4d4b3986543dd0408f9c6109404407276fd6a4abe400ea4999b88cb6464890ec9462ae79ddeb76afc804f22d8d8fb882f48d7a31eaf2f1dae63449389e9a3425e94ee4704fea"}]}}, {{0x9, 0x5, 0xf, 0x10, 0x400, 0x0, 0x6, 0x9}}, {{0x9, 0x5, 0x6, 0x10, 0x20, 0x2, 0x4, 0x0, [@uac_iso={0x7, 0x25, 0x1, 0xc1, 0x8, 0xa17}, @generic={0xc9, 0x30, "78d4479bc725be0f8e843f401e8bfab6385d177018197a9eb61248def53fa32f7407627aa2b9e17b8d1f4cb42218ded3b583ec9d5cb963aad65bb12073768fe05943ada4e55c9d9f8d05de8dfe73fcdee1b68aa138486cf0966854c5def739a072641b7b9be845d3a986331afb65ce788d286dca0bb76cd0f15b96d4f9c997ebcfc37bf53753af7b874371b44392b36006e18f9cc6481d04bc600a4dfe1ab4e95e09911a79d1f04058fd537d6ddb5274fe69be3361eeeb8230feb285c1f98374a80e9e9b50fa4e"}]}}]}}, {{0x9, 0x4, 0x8, 0x3, 0x0, 0xd, 0x73, 0xbe, 0x0, [@hid_hid={0x9, 0x21, 0x8000, 0x1, 0x1, {0x22, 0x9f1}}, @cdc_ncm={{0x8, 0x24, 0x6, 0x0, 0x1, "cdfdc2"}, {0x5, 0x24, 0x0, 0x8}, {0xd, 0x24, 0xf, 0x1, 0x8, 0x3, 0x81, 0x40}, {0x6, 0x24, 0x1a, 0xbc, 0x9d6ee7d72d14da9d}, [@mdlm_detail={0xc5, 0x24, 0x13, 0xff, "0472077e0915937becfea8e56dfa318eaa6e5341de57fbe43b01bbf064ee1b203212b2148d69a1348fa4d6713f7636772f5b26407236c748a86fe0bfb82cd94eaff6bc220c7f0a74992f0ff5d31b9dafd49ba99fdfdea5aed483f68ac690ce4cff82dcdc22b0c12ce042fcb7e4e7f6ec5c25cd63f1c2feb16ccf7cffbf03e1d4030d451754af32db5d9bc737be3b0a843b9ce0d8f808534b8b2784c37b41b90d0acaa17f8a3dc24aa244889fbe2c12cd5fbcc50500aae37fb34a7f50e59838e4e9"}, @call_mgmt={0x5, 0x24, 0x1, 0x3, 0x81}, @obex={0x5, 0x24, 0x15, 0xffff}, @mbim={0xc, 0x24, 0x1b, 0x9, 0xff, 0xc8, 0x20, 0x9, 0x9}, @mbim={0xc, 0x24, 0x1b, 0x32d, 0x3ff, 0x9, 0x7, 0x7}, @mdlm={0x15, 0x24, 0x12, 0x5}]}]}}, {{0x9, 0x4, 0xe6, 0x8, 0xe, 0x76, 0x3e, 0xf3, 0x1f, [], [{{0x9, 0x5, 0xb, 0x2, 0x8, 0x85, 0xfe}}, {{0x9, 0x5, 0x6, 0x0, 0x200, 0x96, 0x1f, 0x9, [@uac_iso={0x7, 0x25, 0x1, 0x82, 0x7, 0x1}]}}, {{0x9, 0x5, 0x2, 0x0, 0x40, 0x3, 0x40, 0x1, [@generic={0x5e, 0x7, "6b6d6ec7260fc99725c7ed27062f70f818653014aefcf470af87a8a159855cf1d06e5f74ea9d2fcc6cdba9992d658e70be18bb0576791ef21cf55a2a671d17b4dae11cc58883b405be535701fcf5be0cf52a3b276013fa594b8f43b7"}]}}, {{0x9, 0x5, 0x4, 0x0, 0x200, 0x6, 0x0, 0x5, [@generic={0x15, 0x1, "c3ab42ead2cdd9e9b2f592204067da11be3e10"}]}}, {{0x9, 0x5, 0x5, 0x0, 0x3ff, 0x81, 0x7, 0xb5, [@generic={0xf7, 0x1, "b58c964b8bcb6bc0c9208d97b684c518d854e10bb9a4c9382109e467a2c62073e22db3352924510cc3539faf3ad59931720434100d930a92af1b3510e346779bb7b61e248dc1ffaaffec8c8bb74fc50478faf3b974f81f1941f2bdb0d65a6571c76f04e35220ba4446721065e0dc679ce3cd6fbc761ff081da2ef1ea5d33ad40dfb7de44b93da39a7556cdad375afca031f70b40152007256c44494cfff5becc5e22a37b80fbce3fcd80afffd2266c18efff7cce837ffda2197eaba48d76098d06e5acfd5a6de9e808059c535681695b4bd1b9063f83cd95e17f9dae194b96a4cf8146a9b5ff8dfbf44f28972497eef5d96d733d7a"}, @uac_iso={0x7, 0x25, 0x1, 0x82, 0x81, 0xdc}]}}, {{0x9, 0x5, 0x4, 0x0, 0x400, 0x8, 0x1, 0x5}}, {{0x9, 0x5, 0xf, 0x10, 0x3ff, 0x8, 0x5, 0x8}}, {{0x9, 0x5, 0xd, 0x1, 0x40, 0x3, 0x3, 0xf2, [@uac_iso={0x7, 0x25, 0x1, 0x83, 0xff, 0x2}, @uac_iso={0x7, 0x25, 0x1, 0x80, 0x9}]}}, {{0x9, 0x5, 0x80, 0x0, 0x20, 0x5, 0x1, 0xe1, [@uac_iso={0x7, 0x25, 0x1, 0x101, 0x20, 0x100}, @generic={0x4f, 0x30, "c053ed5c16041bdb71f951b326334a3a6e1985a5ee7e7ebb467277c385b2eed1ba1965ea5a6a0351b4d89eeea5cb7704b5ab2ac822546323f16f2731aca1f048569df4dd1ba75dbbdfbe32dbfa"}]}}, {{0x9, 0x5, 0x3, 0x2, 0x3ff, 0x3f, 0x8}}, {{0x9, 0x5, 0x42, 0x0, 0x8, 0x6, 0x0, 0x82, [@generic={0x98, 0x21, "c4a1689367411c49eb9ae45a55ff744e8fe5520000302620d15bd69cecad261e34e3e53618bbad33a84561cc9213dec3765e0a53d5b80d3b3d51ff45f323c105c6e13999a24da497f2519b917656de06ff1ed89ace69aa432a6d4996841cf848a4342edda87065e15c81d71e92e32905fbf8b0d4c78b08ee790e1e3a306a0577047711b3fbfcbb2cb6154313a9ba91f304f1c13fd22e"}, @generic={0x21, 0x23, "4847f8ff83ebf748d25435495e881631287073c753400d5bc64beb31e94b38"}]}}, {{0x9, 0x5, 0x9, 0x0, 0x20, 0x5, 0x40, 0x3f, [@generic={0xa0, 0x2, "fd71819566637940cc961c809e0eae5fab9edf6c8436889fe4e163dc7dd6256f4cef915a61413894d7e11a630005ea8db9d46a6ddc1eb19da4b7862af8d3bd2600760c4bd3a7fd418addd62044146e6f943e84f2f0f600611eb775896ce3d46efd24caf468e11968499170785b9702997f5b295482bab734ce4623b92c1e8fc7fe00dc87983ff95378c9096c27b7dcce8996eb2cded5a9f7a1e6c8454cf4"}, @uac_iso={0x7, 0x25, 0x1, 0x80, 0x9, 0xfffc}]}}, {{0x9, 0x5, 0xa, 0x0, 0x200, 0x6, 0x4}}, {{0x9, 0x5, 0x0, 0x10, 0x10, 0xe1, 0x3f, 0x1}}]}}]}}]}}, &(0x7f0000000d80)={0xa, &(0x7f0000000a80)={0xa, 0x6, 0x100, 0x1f, 0x0, 0x6, 0x20, 0xff}, 0x4e, &(0x7f0000000ac0)={0x5, 0xf, 0x4e, 0x5, [@ss_cap={0xa, 0x10, 0x3, 0x2, 0x7, 0x0, 0x0, 0x1487}, @ssp_cap={0x10, 0x10, 0xa, 0x52, 0x1, 0x2, 0x0, 0xae10, [0x0]}, @ext_cap={0x7, 0x10, 0x2, 0x6, 0xb, 0x2, 0x80}, @ss_container_id={0x14, 0x10, 0x4, 0x5, "9e186907eb8dbdc06e9e290e9dd38af5"}, @ssp_cap={0x14, 0x10, 0xa, 0x4, 0x2, 0xac, 0x0, 0x101, [0xffc000, 0xff0000]}]}, 0x4, [{0x55, &(0x7f0000000b40)=@string={0x55, 0x3, "76e30f585389aff4e7c33fb441b7b62d50fb0f973413486d979d6c79964e772a1bd362f720df590e67292dd2b3727e2f6b2ee4adb88c61e480d1b672fe910980830dfb6c91b6965d18ae670a384ef43ed08596"}}, {0x62, &(0x7f0000000bc0)=@string={0x62, 0x3, "fb9bf847dd67500757ad076740a533dc1a3c1abd52359f1fa7b60274c1bbd9b96ae2ed19f799411727780094490893cdbf1f05691219255aaf3733adf240965479644f0bfa53154d3e6bc5e20558d34b04aaa6ab25adc785bc43f9cb30ff8a94"}}, {0x91, &(0x7f0000000c40)=@string={0x91, 0x3, "c338bd678add305134dbe86d9a478bae07dab50b351b028746b7124229555edce4f74321fb8b0cd485fcd53a15a2009eadc7f4624886d61a805935d17afe1899829ecfa2606a6d172d476e20737614fa67fdc6aa9c950373c553b62ba4c682c7e0b5ac7307a894156d3fadef5037947db6e7d98d778ef358a21fccd3deede4ca27b4dee56d5eab6268e3735c228e9b"}}, {0x5d, &(0x7f0000000e00)=ANY=[@ANYBLOB="5d03634ed12089e250e7b6ac0b18ab0e004875f86a151d798b030009d5a391042650785d908d1b4ec74a756083aaf833eab7767a5665b77a139b3d890334a81b083415f3373dc221d59259c27be5178900000000000000000100000000c3"]}]}) syz_usb_connect(0x0, 0x36, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0xab, 0x32, 0xec, 0x10, 0x15c2, 0x39, 0x5df, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x2, 0xa7, 0xbc, 0x34, 0x0, [], [{{0x9, 0x5, 0x3}}, {{0x9, 0x5, 0xd}}]}}]}}]}}, 0x0) (async) syz_usb_connect(0x5, 0xa36, &(0x7f0000000040)={{0x12, 0x1, 0x310, 0xe0, 0x41, 0xd6, 0x20, 0x2001, 0x7e35, 0xde96, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0xa24, 0x3, 0x81, 0x40, 0x0, 0x6, [{{0x9, 0x4, 0x2b, 0x2, 0xc, 0xff, 0xb4, 0xf7, 0x81, [@hid_hid={0x9, 0x21, 0x2, 0x20, 0x1, {0x22, 0xe58}}, @uac_control={{0xa, 0x24, 0x1, 0xf1c4, 0x51}, [@feature_unit={0xb, 0x24, 0x6, 0x2, 0x5, 0x2, [0x0, 0x2], 0x4}, @input_terminal={0xc, 0x24, 0x2, 0x2, 0x202, 0x5, 0x81, 0x8, 0x6, 0x7}, @selector_unit={0x9, 0x24, 0x5, 0x1, 0x6, "33cb221b"}]}], [{{0x9, 0x5, 0x4, 0x0, 0x40, 0x1, 0x0, 0x40, [@generic={0x86, 0x23, "cd1b466d2b0b41c1e452e537117f20e16d43078a402cb43a759c6e0d372a87836dcfda9284600f6650c098b5a332615b4b31bb7b725d560daff74df7fe2e162545f4d656a74d52f437a699527f864b63381da5b87efbf1c778f92ae4d18cb3e0a64ef0e06eb99ede6b70d78a1296075a0b69cb1f0db0206f0f74ab858181648fb62a9ee1"}, @generic={0xfc, 0x3, "4754c084c14db9a5437fbbf0829501accb43b60d983b865a98970083820315b973359aeab79f1961c9182fcc1a9bcc642bbe040f725d2418956b668c31c198671cf0ed163e0b97de7ba1de7bd3d9acdec1c96a0d896be0dcf66bca6700d6b107641f98d29a7bb62366de6d6633c0012969c13d282f7d23ab7bf8498d5fd5a5c94430ab2fc0ada15c298e8e7714d5ed3a2cfe6d342c5025776b627bd9135df8a2e3a0481e58ff3cf4eb8e1603e3cf78d105adfcdc7ea7d1ce760e91109ab824abe13c2674df350579b544743b774bb2d447e43c1f32427d74f226c14b835d36b37698cce5b989929947d87992c005b706835419b3a2adaaaf89f6"}]}}, {{0x9, 0x5, 0xb, 0x2, 0x20, 0x7, 0x93, 0x2}}, {{0x9, 0x5, 0x1, 0x2, 0x8, 0xb6, 0x0, 0x3f, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x9, 0x8}]}}, {{0x9, 0x5, 0x1, 0x10, 0x40, 0x8f, 0x80, 0x1}}, {{0x9, 0x5, 0xe, 0x0, 0x200, 0x7f, 0x81, 0x20}}, {{0x9, 0x5, 0xc, 0x0, 0x200, 0x5, 0x3f, 0x1, [@generic={0xa2, 0x21, "ce37e2c07bb4b3dafd6492dae588c1d167d36b180c486835c488eab0c6c3951de58eba5e8710f059a7deec812a5149cef12c1146faf9dc4b094a2b4eea0adaee0c377281f5b26fc152a4f7a687259e831dbacff81dc89575234493877b642265a2ad16fdf89bfd9bd1be1b87c068c922f11003bf19e77cbbcddb94d091e1ae23c3c080cbc9dbeeed474fed196311a7a73b10680c0adb670bfd15734de184af0c"}, @uac_iso={0x7, 0x25, 0x1, 0x82, 0x20, 0x3}]}}, {{0x9, 0x5, 0x9, 0x10, 0x3ff, 0x80, 0x9, 0x1f, [@generic={0x26, 0x24, "78f95e804fa3f37c2d60ac6264833532f5057f7473ca919fd9ebde35750ce02a639ac489"}, @generic={0x93, 0x30, "50668cafd45011a9c91df3f8a1a3fda3e431fea8df8b2473e7967631189bc33c0815d721b1783f3ed76b0a1fbab8ccbc3c417e1f494efd0364a6c69410b18f1951dc88fe35ba64eeeeaa66de3d207ed1301792201b063798bf57a7c89b0b1f92a7ca7a9cf9d8fbb6dc6d1876f4fb3a17ce401946b141490bec2759a5869c8cfea0064e66226c4924a2b3dac943d4e47c7d"}]}}, {{0x9, 0x5, 0x7, 0x0, 0x20, 0x80, 0x0, 0x5, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x9, 0x800}, @uac_iso={0x7, 0x25, 0x1, 0x0, 0x81, 0x6d}]}}, {{0x9, 0x5, 0x80, 0x1, 0x200, 0x8d, 0x40, 0x27, [@uac_iso={0x7, 0x25, 0x1, 0x81, 0x6}, @generic={0x29, 0x3, "339e404eab0c9f9213c6fef1748acd7f0cb7391586138ba1d3a906cdfca7e7ef64c13bd95c8f3a"}]}}, {{0x9, 0x5, 0x7, 0x10, 0x20, 0x4, 0x4, 0xec, [@generic={0x8a, 0x22, "bde2499fb8d431ac2d7b25fb008ef869272b12e1a85387c76c53654dc09b90d3c1fbd2d57c0b2b71761e05c7db9292dade536aec8196694aaa5079b50d772412afeb4d4b3986543dd0408f9c6109404407276fd6a4abe400ea4999b88cb6464890ec9462ae79ddeb76afc804f22d8d8fb882f48d7a31eaf2f1dae63449389e9a3425e94ee4704fea"}]}}, {{0x9, 0x5, 0xf, 0x10, 0x400, 0x0, 0x6, 0x9}}, {{0x9, 0x5, 0x6, 0x10, 0x20, 0x2, 0x4, 0x0, [@uac_iso={0x7, 0x25, 0x1, 0xc1, 0x8, 0xa17}, @generic={0xc9, 0x30, "78d4479bc725be0f8e843f401e8bfab6385d177018197a9eb61248def53fa32f7407627aa2b9e17b8d1f4cb42218ded3b583ec9d5cb963aad65bb12073768fe05943ada4e55c9d9f8d05de8dfe73fcdee1b68aa138486cf0966854c5def739a072641b7b9be845d3a986331afb65ce788d286dca0bb76cd0f15b96d4f9c997ebcfc37bf53753af7b874371b44392b36006e18f9cc6481d04bc600a4dfe1ab4e95e09911a79d1f04058fd537d6ddb5274fe69be3361eeeb8230feb285c1f98374a80e9e9b50fa4e"}]}}]}}, {{0x9, 0x4, 0x8, 0x3, 0x0, 0xd, 0x73, 0xbe, 0x0, [@hid_hid={0x9, 0x21, 0x8000, 0x1, 0x1, {0x22, 0x9f1}}, @cdc_ncm={{0x8, 0x24, 0x6, 0x0, 0x1, "cdfdc2"}, {0x5, 0x24, 0x0, 0x8}, {0xd, 0x24, 0xf, 0x1, 0x8, 0x3, 0x81, 0x40}, {0x6, 0x24, 0x1a, 0xbc, 0x9d6ee7d72d14da9d}, [@mdlm_detail={0xc5, 0x24, 0x13, 0xff, "0472077e0915937becfea8e56dfa318eaa6e5341de57fbe43b01bbf064ee1b203212b2148d69a1348fa4d6713f7636772f5b26407236c748a86fe0bfb82cd94eaff6bc220c7f0a74992f0ff5d31b9dafd49ba99fdfdea5aed483f68ac690ce4cff82dcdc22b0c12ce042fcb7e4e7f6ec5c25cd63f1c2feb16ccf7cffbf03e1d4030d451754af32db5d9bc737be3b0a843b9ce0d8f808534b8b2784c37b41b90d0acaa17f8a3dc24aa244889fbe2c12cd5fbcc50500aae37fb34a7f50e59838e4e9"}, @call_mgmt={0x5, 0x24, 0x1, 0x3, 0x81}, @obex={0x5, 0x24, 0x15, 0xffff}, @mbim={0xc, 0x24, 0x1b, 0x9, 0xff, 0xc8, 0x20, 0x9, 0x9}, @mbim={0xc, 0x24, 0x1b, 0x32d, 0x3ff, 0x9, 0x7, 0x7}, @mdlm={0x15, 0x24, 0x12, 0x5}]}]}}, {{0x9, 0x4, 0xe6, 0x8, 0xe, 0x76, 0x3e, 0xf3, 0x1f, [], [{{0x9, 0x5, 0xb, 0x2, 0x8, 0x85, 0xfe}}, {{0x9, 0x5, 0x6, 0x0, 0x200, 0x96, 0x1f, 0x9, [@uac_iso={0x7, 0x25, 0x1, 0x82, 0x7, 0x1}]}}, {{0x9, 0x5, 0x2, 0x0, 0x40, 0x3, 0x40, 0x1, [@generic={0x5e, 0x7, "6b6d6ec7260fc99725c7ed27062f70f818653014aefcf470af87a8a159855cf1d06e5f74ea9d2fcc6cdba9992d658e70be18bb0576791ef21cf55a2a671d17b4dae11cc58883b405be535701fcf5be0cf52a3b276013fa594b8f43b7"}]}}, {{0x9, 0x5, 0x4, 0x0, 0x200, 0x6, 0x0, 0x5, [@generic={0x15, 0x1, "c3ab42ead2cdd9e9b2f592204067da11be3e10"}]}}, {{0x9, 0x5, 0x5, 0x0, 0x3ff, 0x81, 0x7, 0xb5, [@generic={0xf7, 0x1, "b58c964b8bcb6bc0c9208d97b684c518d854e10bb9a4c9382109e467a2c62073e22db3352924510cc3539faf3ad59931720434100d930a92af1b3510e346779bb7b61e248dc1ffaaffec8c8bb74fc50478faf3b974f81f1941f2bdb0d65a6571c76f04e35220ba4446721065e0dc679ce3cd6fbc761ff081da2ef1ea5d33ad40dfb7de44b93da39a7556cdad375afca031f70b40152007256c44494cfff5becc5e22a37b80fbce3fcd80afffd2266c18efff7cce837ffda2197eaba48d76098d06e5acfd5a6de9e808059c535681695b4bd1b9063f83cd95e17f9dae194b96a4cf8146a9b5ff8dfbf44f28972497eef5d96d733d7a"}, @uac_iso={0x7, 0x25, 0x1, 0x82, 0x81, 0xdc}]}}, {{0x9, 0x5, 0x4, 0x0, 0x400, 0x8, 0x1, 0x5}}, {{0x9, 0x5, 0xf, 0x10, 0x3ff, 0x8, 0x5, 0x8}}, {{0x9, 0x5, 0xd, 0x1, 0x40, 0x3, 0x3, 0xf2, [@uac_iso={0x7, 0x25, 0x1, 0x83, 0xff, 0x2}, @uac_iso={0x7, 0x25, 0x1, 0x80, 0x9}]}}, {{0x9, 0x5, 0x80, 0x0, 0x20, 0x5, 0x1, 0xe1, [@uac_iso={0x7, 0x25, 0x1, 0x101, 0x20, 0x100}, @generic={0x4f, 0x30, "c053ed5c16041bdb71f951b326334a3a6e1985a5ee7e7ebb467277c385b2eed1ba1965ea5a6a0351b4d89eeea5cb7704b5ab2ac822546323f16f2731aca1f048569df4dd1ba75dbbdfbe32dbfa"}]}}, {{0x9, 0x5, 0x3, 0x2, 0x3ff, 0x3f, 0x8}}, {{0x9, 0x5, 0x42, 0x0, 0x8, 0x6, 0x0, 0x82, [@generic={0x98, 0x21, "c4a1689367411c49eb9ae45a55ff744e8fe5520000302620d15bd69cecad261e34e3e53618bbad33a84561cc9213dec3765e0a53d5b80d3b3d51ff45f323c105c6e13999a24da497f2519b917656de06ff1ed89ace69aa432a6d4996841cf848a4342edda87065e15c81d71e92e32905fbf8b0d4c78b08ee790e1e3a306a0577047711b3fbfcbb2cb6154313a9ba91f304f1c13fd22e"}, @generic={0x21, 0x23, "4847f8ff83ebf748d25435495e881631287073c753400d5bc64beb31e94b38"}]}}, {{0x9, 0x5, 0x9, 0x0, 0x20, 0x5, 0x40, 0x3f, [@generic={0xa0, 0x2, "fd71819566637940cc961c809e0eae5fab9edf6c8436889fe4e163dc7dd6256f4cef915a61413894d7e11a630005ea8db9d46a6ddc1eb19da4b7862af8d3bd2600760c4bd3a7fd418addd62044146e6f943e84f2f0f600611eb775896ce3d46efd24caf468e11968499170785b9702997f5b295482bab734ce4623b92c1e8fc7fe00dc87983ff95378c9096c27b7dcce8996eb2cded5a9f7a1e6c8454cf4"}, @uac_iso={0x7, 0x25, 0x1, 0x80, 0x9, 0xfffc}]}}, {{0x9, 0x5, 0xa, 0x0, 0x200, 0x6, 0x4}}, {{0x9, 0x5, 0x0, 0x10, 0x10, 0xe1, 0x3f, 0x1}}]}}]}}]}}, &(0x7f0000000d80)={0xa, &(0x7f0000000a80)={0xa, 0x6, 0x100, 0x1f, 0x0, 0x6, 0x20, 0xff}, 0x4e, &(0x7f0000000ac0)={0x5, 0xf, 0x4e, 0x5, [@ss_cap={0xa, 0x10, 0x3, 0x2, 0x7, 0x0, 0x0, 0x1487}, @ssp_cap={0x10, 0x10, 0xa, 0x52, 0x1, 0x2, 0x0, 0xae10, [0x0]}, @ext_cap={0x7, 0x10, 0x2, 0x6, 0xb, 0x2, 0x80}, @ss_container_id={0x14, 0x10, 0x4, 0x5, "9e186907eb8dbdc06e9e290e9dd38af5"}, @ssp_cap={0x14, 0x10, 0xa, 0x4, 0x2, 0xac, 0x0, 0x101, [0xffc000, 0xff0000]}]}, 0x4, [{0x55, &(0x7f0000000b40)=@string={0x55, 0x3, "76e30f585389aff4e7c33fb441b7b62d50fb0f973413486d979d6c79964e772a1bd362f720df590e67292dd2b3727e2f6b2ee4adb88c61e480d1b672fe910980830dfb6c91b6965d18ae670a384ef43ed08596"}}, {0x62, &(0x7f0000000bc0)=@string={0x62, 0x3, "fb9bf847dd67500757ad076740a533dc1a3c1abd52359f1fa7b60274c1bbd9b96ae2ed19f799411727780094490893cdbf1f05691219255aaf3733adf240965479644f0bfa53154d3e6bc5e20558d34b04aaa6ab25adc785bc43f9cb30ff8a94"}}, {0x91, &(0x7f0000000c40)=@string={0x91, 0x3, "c338bd678add305134dbe86d9a478bae07dab50b351b028746b7124229555edce4f74321fb8b0cd485fcd53a15a2009eadc7f4624886d61a805935d17afe1899829ecfa2606a6d172d476e20737614fa67fdc6aa9c950373c553b62ba4c682c7e0b5ac7307a894156d3fadef5037947db6e7d98d778ef358a21fccd3deede4ca27b4dee56d5eab6268e3735c228e9b"}}, {0x5d, &(0x7f0000000e00)=ANY=[@ANYBLOB="5d03634ed12089e250e7b6ac0b18ab0e004875f86a151d798b030009d5a391042650785d908d1b4ec74a756083aaf833eab7767a5665b77a139b3d890334a81b083415f3373dc221d59259c27be5178900000000000000000100000000c3"]}]}) (async) 03:14:36 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000080)=ANY=[@ANYBLOB="12010000b359c2088205590127dd010203010902120001000000000904000000010191e1d5e36ef7047050ced226ecf1382df281cd42c09ab98dac40befaeebe09bf6d15af056c02464dab08fa8e02f93b56a19acd766d9e9a5b4365ba1eb1b574d9b78fdabfc307ed734ddd8b2fb1471e95051eb8ad663446de8017655ae7aa94f2254c7a642a1ecae6413d798585cef264a5692eb3884f9b3e71bc693819b337"], 0x0) syz_usb_control_io(r0, &(0x7f00000002c0)={0x2c, &(0x7f0000000000)=ANY=[@ANYBLOB="201024000000242364dfdd3592327d1060e0686ad6ed0c595d3d56b7f1f305000000bb4d6e43119b2293"], &(0x7f0000000140)={0x0, 0x3, 0xd6, @string={0xd6, 0x3, "14ef72d2661c86bf2e947a9107abbfb672791bc4b0ab225faa0564dd2ab4eac205bb8028c8db174dc55bcf4a3adf66ac2dc648c54e370ffc2ef8e5bdc1c4780b9ad673c6d68b1b5211ec23f6e32ae614870004091d067a8c2e551db61c4aa450d103edb31dcbe5324cb5c6194e185192ee9bbd3c422859eca48114abc6312c9ad809155d19966483fd06d9fc8467f402c63545e95136bc96614733ae78119acb71275f54f591f34b1e2955ae020ddd67e2619e2452e5b017a68b11f001313ca03908ea0bd839286e739b7996571e18f9d1ac267d"}}, &(0x7f0000000040)={0x0, 0xf, 0x5, {0x5, 0xf, 0x5}}, &(0x7f0000000240)={0x20, 0x29, 0xf, {0xf, 0x29, 0x7, 0x8, 0x0, 0xa, "e76e6b92", "7a6f0d10"}}, &(0x7f0000000280)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x8, 0x2, 0x20, 0x20, 0xff, 0x7fff, 0x1ff}}}, &(0x7f0000000780)={0x84, &(0x7f0000000300)={0x20, 0x31, 0x9c, "829e5277d5faab4c333f2cfa7586ea151fff5973683eaf3ebc362ed46f6ce536cadace4bc1e6de425361f30b01f2e2333eb58b5c3900850fe3529fe527930b92073bbd4e84b9104572afe16d16ca4e88f9bfcd2bd0f01df9ff3208b701aee16d93174ccd0041dc5cfd5fa179c8e5b405f154f52278c59001dce677d7b843c9a6e2a517cfa7c632859550b03b13d237733f481cc719a5b05af077e2ab"}, &(0x7f00000003c0)={0x0, 0xa, 0x1, 0x6}, &(0x7f0000000400)={0x0, 0x8, 0x1, 0x81}, &(0x7f0000000440)={0x20, 0x0, 0x4, {0x1, 0x2}}, &(0x7f0000000480)={0x20, 0x0, 0x8, {0x5503b2cac5e3eaba, 0x20, [0x0]}}, &(0x7f00000004c0)={0x40, 0x7, 0x2, 0xffe5}, &(0x7f0000000500)={0x40, 0x9, 0x1, 0x3}, &(0x7f0000000540)={0x40, 0xb, 0x2, 'SP'}, &(0x7f0000000580)={0x40, 0xf, 0x2, 0x8}, &(0x7f00000005c0)={0x40, 0x13, 0x6, @local}, &(0x7f0000000600)={0x40, 0x17, 0x6, @remote}, &(0x7f0000000640)={0x40, 0x19, 0x2, "9975"}, &(0x7f0000000680)={0x40, 0x1a, 0x2, 0x9}, &(0x7f00000006c0)={0x40, 0x1c, 0x1}, &(0x7f0000000700)={0x40, 0x1e, 0x1, 0xf7}, &(0x7f0000000740)={0x40, 0x21, 0x1, 0x2}}) [ 407.492828][ T7941] scsi 1:0:0:1: Direct-Access Olympus MAUSB-10 (Alauda 0102 PQ: 0 ANSI: 0 CCS 03:14:36 executing program 4: socketpair$unix(0x1, 0x0, 0x0, &(0x7f0000000100)) r0 = socket$nl_route(0x10, 0x3, 0x0) r1 = socket(0x1, 0x803, 0x0) getsockname$packet(r1, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f00000002c0)=0x14) preadv(0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0) r2 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_netfilter(r2, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000002d80)={0x28, 0x12, 0x0, 0x401, 0x0, 0x0, {}, [@typed={0x8, 0x0, 0x0, 0x0, @uid=0xffffffffffffffff}, @nested={0xc, 0x0, 0x0, 0x1, [@typed={0x8, 0x31, 0x0, 0x0, @binary="1caff8c2"}]}]}, 0x28}}, 0x0) r3 = socket$nl_route(0x10, 0x3, 0x0) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(0xffffffffffffffff, 0x8933, &(0x7f0000000040)={'batadv_slave_1\x00', 0x0}) r5 = accept$packet(0xffffffffffffffff, &(0x7f0000000340)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @local}, &(0x7f0000000380)=0x14) r7 = socket$inet6_tcp(0xa, 0x1, 0x0) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r7, 0x8933, &(0x7f0000000080)={'batadv_slave_1\x00', 0x0}) ioctl$sock_inet6_SIOCADDRT(r7, 0x890b, &(0x7f00000002c0)={@mcast1={0xff, 0x5}, @private0, @private1={0xfc, 0x1, '\x00', 0x1}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x100043, r8}) r9 = socket$inet6_tcp(0xa, 0x1, 0x0) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r9, 0x8933, &(0x7f0000000080)={'batadv_slave_1\x00'}) sendmsg$nl_route(r3, &(0x7f00000000c0)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x4000000}, 0xc, &(0x7f0000000180)={&(0x7f00000008c0)=ANY=[@ANYBLOB="58010000550000082cbd7000fedbdf2507000000", @ANYRES32=0x0, @ANYBLOB="20000100", @ANYRES32=r5, @ANYRESDEC=r8, @ANYRES32=r6, @ANYBLOB="01030000ac14140d0000002000010000000000000000000000000000e5b83bfc6973b68f9ce3c2a4cc15c985d5137fa35da0c000d8465a4c763092220c90203635115bceca52435df03be544f8f5623ef4a1b2d4fedfe85aee0327fe45d4f0fd168c26effe253f876bc1375975a3674f99e9bff7f712d04b27a8dcadcbe6e196083146c6855651847b2385a2069523caab552de14521cc908d075ced9171f2bc831ec69ab1db09000416a94eed1ef77ef4703d59dd254efdd22cbbe596f03775cdb7c740c9c14196c16c0776c196f3df8a589e4f6e9d5717154c09f0b67072881a02eb337b32d33c12898d19e4c63774fd98a416944950e5de64dfb1b4c9325266bab17feb8dfb2b33b71610611fd31a8b8ff46dd9e8c391f71bf01238f726409654997bac7afa3bdf7fdd8a482fb1ff67c6fbf864072d577b3993222475f35e938fe2e911fa6cfff9089e99f6ab5943159c13d8f83536f06ffeaa9fa1f3215511c0f2d42ec20dc91f494dfa0407b8f56d1cc65cca6b4e40c24a", @ANYRES32, @ANYBLOB="01030300e00000010000000000000000000000000800000020000100", @ANYRES32=0x0, @ANYBLOB="59a171ab4e8da395ae1354743bce69bcbe5fe9cabf5834056c55e5f1416a91406bc4ab32ffcb159a6d68509ff9091bfe0224b71594b7aae81ce3b6fa92ab5c63b514898ac45c0f8cc94b", @ANYRES32, @ANYRES32=r5, @ANYRES32=r4, @ANYRES16, @ANYRES32=r8, @ANYBLOB="3b56c4a9e887b8722317a1e70020000100", @ANYRES16=r6, @ANYBLOB="00030200ac1414aa00000000000000000000000086dd000020000100", @ANYRES32=r4, @ANYRESHEX=r9, @ANYRESOCT, @ANYBLOB="00020300200100000000000000000000000186dd0000"], 0x158}, 0x1, 0x0, 0x0, 0x10}, 0x4040004) sendmsg$nl_route(r2, &(0x7f0000000180)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x800}, 0xc, &(0x7f00000000c0)={&(0x7f0000000040)=ANY=[@ANYBLOB="500000001400000128bd7000ffdbdf250a800900", @ANYRES32=r6, @ANYBLOB="140000000000000000000000009541c4c16930d3380002000000008a4809000000000000000008000a0402000000"], 0x50}, 0x1, 0x0, 0x0, 0x80}, 0x800) sendmsg$nl_route(r0, &(0x7f0000000280)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000400)=@newlink={0x20, 0x10, 0x403, 0x0, 0x0, {0x0, 0x0, 0x0, 0x0, 0x9a1}}, 0x20}}, 0x40408c4) socketpair$unix(0x1, 0x0, 0x0, &(0x7f0000000100)) (async) socket$nl_route(0x10, 0x3, 0x0) (async) socket(0x1, 0x803, 0x0) (async) getsockname$packet(r1, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f00000002c0)=0x14) (async) preadv(0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0) (async) socket$netlink(0x10, 0x3, 0x0) (async) sendmsg$nl_netfilter(r2, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000002d80)={0x28, 0x12, 0x0, 0x401, 0x0, 0x0, {}, [@typed={0x8, 0x0, 0x0, 0x0, @uid=0xffffffffffffffff}, @nested={0xc, 0x0, 0x0, 0x1, [@typed={0x8, 0x31, 0x0, 0x0, @binary="1caff8c2"}]}]}, 0x28}}, 0x0) (async) socket$nl_route(0x10, 0x3, 0x0) (async) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(0xffffffffffffffff, 0x8933, &(0x7f0000000040)={'batadv_slave_1\x00'}) (async) accept$packet(0xffffffffffffffff, &(0x7f0000000340)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @local}, &(0x7f0000000380)=0x14) (async) socket$inet6_tcp(0xa, 0x1, 0x0) (async) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r7, 0x8933, &(0x7f0000000080)={'batadv_slave_1\x00'}) (async) ioctl$sock_inet6_SIOCADDRT(r7, 0x890b, &(0x7f00000002c0)={@mcast1={0xff, 0x5}, @private0, @private1={0xfc, 0x1, '\x00', 0x1}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x100043, r8}) (async) socket$inet6_tcp(0xa, 0x1, 0x0) (async) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r9, 0x8933, &(0x7f0000000080)={'batadv_slave_1\x00'}) (async) sendmsg$nl_route(r3, &(0x7f00000000c0)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x4000000}, 0xc, &(0x7f0000000180)={&(0x7f00000008c0)=ANY=[@ANYBLOB="58010000550000082cbd7000fedbdf2507000000", @ANYRES32=0x0, @ANYBLOB="20000100", @ANYRES32=r5, @ANYRESDEC=r8, @ANYRES32=r6, @ANYBLOB="01030000ac14140d0000002000010000000000000000000000000000e5b83bfc6973b68f9ce3c2a4cc15c985d5137fa35da0c000d8465a4c763092220c90203635115bceca52435df03be544f8f5623ef4a1b2d4fedfe85aee0327fe45d4f0fd168c26effe253f876bc1375975a3674f99e9bff7f712d04b27a8dcadcbe6e196083146c6855651847b2385a2069523caab552de14521cc908d075ced9171f2bc831ec69ab1db09000416a94eed1ef77ef4703d59dd254efdd22cbbe596f03775cdb7c740c9c14196c16c0776c196f3df8a589e4f6e9d5717154c09f0b67072881a02eb337b32d33c12898d19e4c63774fd98a416944950e5de64dfb1b4c9325266bab17feb8dfb2b33b71610611fd31a8b8ff46dd9e8c391f71bf01238f726409654997bac7afa3bdf7fdd8a482fb1ff67c6fbf864072d577b3993222475f35e938fe2e911fa6cfff9089e99f6ab5943159c13d8f83536f06ffeaa9fa1f3215511c0f2d42ec20dc91f494dfa0407b8f56d1cc65cca6b4e40c24a", @ANYRES32, @ANYBLOB="01030300e00000010000000000000000000000000800000020000100", @ANYRES32=0x0, @ANYBLOB="59a171ab4e8da395ae1354743bce69bcbe5fe9cabf5834056c55e5f1416a91406bc4ab32ffcb159a6d68509ff9091bfe0224b71594b7aae81ce3b6fa92ab5c63b514898ac45c0f8cc94b", @ANYRES32, @ANYRES32=r5, @ANYRES32=r4, @ANYRES16, @ANYRES32=r8, @ANYBLOB="3b56c4a9e887b8722317a1e70020000100", @ANYRES16=r6, @ANYBLOB="00030200ac1414aa00000000000000000000000086dd000020000100", @ANYRES32=r4, @ANYRESHEX=r9, @ANYRESOCT, @ANYBLOB="00020300200100000000000000000000000186dd0000"], 0x158}, 0x1, 0x0, 0x0, 0x10}, 0x4040004) (async) sendmsg$nl_route(r2, &(0x7f0000000180)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x800}, 0xc, &(0x7f00000000c0)={&(0x7f0000000040)=ANY=[@ANYBLOB="500000001400000128bd7000ffdbdf250a800900", @ANYRES32=r6, @ANYBLOB="140000000000000000000000009541c4c16930d3380002000000008a4809000000000000000008000a0402000000"], 0x50}, 0x1, 0x0, 0x0, 0x80}, 0x800) (async) sendmsg$nl_route(r0, &(0x7f0000000280)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000400)=@newlink={0x20, 0x10, 0x403, 0x0, 0x0, {0x0, 0x0, 0x0, 0x0, 0x9a1}}, 0x20}}, 0x40408c4) (async) [ 407.590111][ T7941] sd 1:0:0:0: Attached scsi generic sg1 type 0 [ 407.602949][ T3581] sd 1:0:0:0: [sdb] Media removed, stopped polling [ 407.686072][ T7941] sd 1:0:0:1: Attached scsi generic sg2 type 0 03:14:36 executing program 2: r0 = syz_usb_connect$uac1(0x0, 0x96, &(0x7f0000000240)=ANY=[@ANYBLOB="12010000000000086b1d01014000010203010902840003010000000904000000010100000a2401"], 0x0) syz_usb_control_io$uac1(r0, &(0x7f0000000140)={0x14, &(0x7f0000000000)={0x40, 0x24, 0xf4, {0xf4, 0x23, "fbe058800c7a15997a8c2bac4b0df22a5014eb805ce91c2af622b80a0b9fa03bf3857c02a458c2f8595c865e0ace8251e66b4c0bb4c788fe81f90b38910eeaaf61fa2f014de86fbf29c78c32185cd3a4741e1ac15b77b8f8e0e4c4188978f37b13da222012583c18138d670bedee6e8fa88ee0113f0b64e18e1de2e9c04159fd8b9696906ecb7fd4dd5c2095ff5afb4111b2b013d639b46a9e69edb6074478f318ec0cfcc2ff011041f2b730a2bbc4ba2769dea1b2f1b5bc13a4562ba5d3b647d0c3f149dfbb891e4b29e08ba8d8a4b6cb8c727e173a9b76b1cdc3bfc378e47615295824d9e1450dbbe20b6d4f33f70ecdd9"}}, &(0x7f0000000100)={0x0, 0x3, 0x33, @string={0x33, 0x3, "8f2d14e9805d7414f72d0a960ff22f647e1bb87454ecb18a3bd7ffa764749e7a6bd12b7b1ae0c42aac10b19817dd71f4ff"}}}, &(0x7f0000000400)={0x44, &(0x7f0000000180)={0x0, 0x14, 0x3f, "423f77d1947848cf8945a459999e8689c1401a0810340c070086e436371b997e0872c5901827eda488d2b20e0a372804a83d6ae82ed9b46ba6da9525556297"}, &(0x7f0000000200)={0x0, 0xa, 0x1, 0x5}, &(0x7f0000000280)={0x0, 0x8, 0x1, 0x9}, &(0x7f00000002c0)={0x20, 0x81, 0x3, "eba7b5"}, &(0x7f0000000300)={0x20, 0x82, 0x1, '8'}, &(0x7f0000000340)={0x20, 0x83, 0x1, 'E'}, &(0x7f0000000380)={0x20, 0x84, 0x1, "ce"}, &(0x7f00000003c0)={0x20, 0x85, 0x3, "f785ca"}}) (async) syz_usb_control_io(0xffffffffffffffff, &(0x7f0000000640)={0x2c, &(0x7f0000000480)={0x20, 0x23, 0x34, {0x34, 0xf, "27a13757be83b6f6cc5d6e5370828f0f800e9c6245d8e11015329445f46a111a3fed7b06fd4405d91706d3ceb918b554a985"}}, &(0x7f00000004c0)={0x0, 0x3, 0x86, @string={0x86, 0x3, "dbea471f469c0f29da1a8604784ddf8affb19d06d8287b7d8d6d5ea3b1c3c3ffea7e35cc5070fa28201b30cffc0e2bfc7998e022feee3b7644c3d4c11786905ff0d2672a79237dbc26aee0f5d4483c6e994084e33f1a611918a7da1ef053b794aad2dcdf1cbdfbd1a1c978a45a08ce81c48fb8271493a2ed52bbc572fa96b322c7f98a94"}}, &(0x7f0000000580)={0x0, 0xf, 0x5, {0x5, 0xf, 0x5}}, &(0x7f00000005c0)={0x20, 0x29, 0xf, {0xf, 0x29, 0x3, 0x3, 0x93, 0x67, "bd1d7c01", "3711fea8"}}, &(0x7f0000000600)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x9, 0x0, 0x0, 0x0, 0xd3, 0xfffe, 0x5}}}, &(0x7f0000000b00)={0x84, &(0x7f0000000680)={0x0, 0x11, 0xc2, "aeb0328f26c64e2c45d6dd9d79b75210adf16acfdebb426477a7a5a060c5c8476e3ad4ecd6013e56b7892bdc0c8324b71e425f8c59c08d1ba3e7e6091e48ad501c914b29c03c0806f4dd5350f88de48f21e3990476680a94c2dbc81487d98e455200a7d9a8a0c4f689eb17f85c9964ec430783983afbfda68949f7400cbe31399e321b3028cc560948569c5177a2aa8f2e01273793054ae59f41e2ce0f813c178a151d6555e544a5806cf32cc58efe7419ced6a6372443c3d460116805072024e51b"}, &(0x7f0000000780)={0x0, 0xa, 0x1}, &(0x7f00000007c0)={0x0, 0x8, 0x1, 0x7f}, 0xffffffffffffffff, &(0x7f0000000800)={0x20, 0x0, 0x8, {0x80, 0x20, [0xf]}}, &(0x7f0000000840)={0x40, 0x7, 0x2, 0x8000}, &(0x7f0000000880)={0x40, 0x9, 0x1, 0x20}, &(0x7f00000008c0)={0x40, 0xb, 0x2, "b7fb"}, &(0x7f0000000900)={0x40, 0xf, 0x2, 0x7}, &(0x7f0000000940)={0x40, 0x13, 0x6, @dev={'\xaa\xaa\xaa\xaa\xaa', 0x2d}}, &(0x7f0000000980)={0x40, 0x17, 0x6, @random="75a5e5ce1073"}, &(0x7f00000009c0)={0x40, 0x19, 0x2, "2b1b"}, &(0x7f0000000a00)={0x40, 0x1a, 0x2, 0xfff}, &(0x7f0000000a40)={0x40, 0x1c, 0x1, 0x1f}, &(0x7f0000000a80)={0x40, 0x1e, 0x1, 0x20}, &(0x7f0000000ac0)={0x40, 0x21, 0x1, 0x6}}) [ 407.810703][ T115] usb 4-1: USB disconnect, device number 46 [ 407.824471][ T3581] sd 1:0:0:0: [sdb] Attached SCSI removable disk [ 407.834985][ T3572] sd 1:0:0:1: [sdc] Test Unit Ready failed: Result: hostbyte=DID_NO_CONNECT driverbyte=DRIVER_OK [ 407.885397][ T3572] sd 1:0:0:1: [sdc] Read Capacity(10) failed: Result: hostbyte=DID_NO_CONNECT driverbyte=DRIVER_OK [ 407.896674][ T3572] sd 1:0:0:1: [sdc] Sense not available. [ 407.902839][ T3572] sd 1:0:0:1: [sdc] 0 512-byte logical blocks: (0 B/0 B) [ 407.910149][ T3572] sd 1:0:0:1: [sdc] 0-byte physical blocks [ 407.940511][ T3572] sd 1:0:0:1: [sdc] Test WP failed, assume Write Enabled [ 407.950211][ T3572] sd 1:0:0:1: [sdc] Asking for cache data failed [ 407.957366][ T3572] sd 1:0:0:1: [sdc] Assuming drive cache: write through [ 407.981845][ T3602] usb 1-1: new high-speed USB device number 24 using dummy_hcd [ 408.012511][ T3612] usb 6-1: new high-speed USB device number 20 using dummy_hcd [ 408.067768][ T3572] sd 1:0:0:1: [sdc] Read Capacity(10) failed: Result: hostbyte=DID_ERROR driverbyte=DRIVER_OK [ 408.078638][ T3572] sd 1:0:0:1: [sdc] Sense not available. [ 408.084922][ T3572] ===================================================== [ 408.091989][ T3572] BUG: KMSAN: uninit-value in scsi_mode_sense+0x1046/0x16d0 [ 408.099319][ T3572] scsi_mode_sense+0x1046/0x16d0 [ 408.104302][ T3572] sd_revalidate_disk+0x5206/0xdfd0 [ 408.109613][ T3572] sd_probe+0x170e/0x1990 [ 408.113986][ T3572] really_probe+0x653/0x14b0 [ 408.118661][ T3572] __driver_probe_device+0x3e9/0x530 [ 408.123990][ T3572] __device_attach_driver+0x79f/0x1120 [ 408.129496][ T3572] bus_for_each_drv+0x2d6/0x3f0 [ 408.134381][ T3572] __device_attach_async_helper+0x314/0x3e0 [ 408.140323][ T3572] async_run_entry_fn+0xd2/0x630 [ 408.145367][ T3572] process_one_work+0xdb6/0x1820 [ 408.150374][ T3572] worker_thread+0x10b3/0x21e0 [ 408.155172][ T3572] kthread+0x3c7/0x500 [ 408.159282][ T3572] ret_from_fork+0x1f/0x30 [ 408.163756][ T3572] [ 408.166088][ T3572] Uninit was stored to memory at: [ 408.171240][ T3572] bio_copy_kern_endio_read+0x4a3/0x620 [ 408.176830][ T3572] bio_endio+0xa7f/0xac0 [ 408.181145][ T3572] blk_update_request+0xc20/0x1d90 [ 408.186304][ T3572] blk_mq_dispatch_rq_list+0x16f8/0x3f50 [ 408.191988][ T3572] __blk_mq_sched_dispatch_requests+0x58b/0x8d0 [ 408.198295][ T3572] blk_mq_sched_dispatch_requests+0x1b9/0x380 [ 408.204405][ T3572] __blk_mq_run_hw_queue+0x118/0x2c0 [ 408.209743][ T3572] __blk_mq_delay_run_hw_queue+0x221/0xa20 [ 408.215606][ T3572] blk_mq_run_hw_queue+0x4ad/0x9e0 [ 408.220765][ T3572] blk_mq_sched_insert_request+0x3b8/0x790 [ 408.226617][ T3572] blk_execute_rq_nowait+0x54c/0x5d0 [ 408.231952][ T3572] blk_execute_rq+0x129/0x470 [ 408.236673][ T3572] __scsi_execute+0x83f/0xe20 [ 408.241396][ T3572] scsi_mode_sense+0x737/0x16d0 [ 408.246287][ T3572] sd_revalidate_disk+0x5206/0xdfd0 [ 408.251541][ T3572] sd_probe+0x170e/0x1990 [ 408.255935][ T3572] really_probe+0x653/0x14b0 [ 408.260584][ T3572] __driver_probe_device+0x3e9/0x530 [ 408.265920][ T3572] __device_attach_driver+0x79f/0x1120 [ 408.271430][ T3572] bus_for_each_drv+0x2d6/0x3f0 [ 408.276322][ T3572] __device_attach_async_helper+0x314/0x3e0 [ 408.282255][ T3572] async_run_entry_fn+0xd2/0x630 [ 408.287240][ T3572] process_one_work+0xdb6/0x1820 [ 408.292215][ T3572] worker_thread+0x10b3/0x21e0 [ 408.297020][ T3572] kthread+0x3c7/0x500 [ 408.301136][ T3572] ret_from_fork+0x1f/0x30 [ 408.305604][ T3572] [ 408.307934][ T3572] Uninit was created at: [ 408.312249][ T3572] __alloc_pages+0xbbf/0x1090 [ 408.317055][ T3572] alloc_pages+0xa08/0xd50 [ 408.321526][ T3572] blk_rq_map_kern+0x813/0x1400 [ 408.326425][ T3572] __scsi_execute+0x4bd/0xe20 [ 408.331146][ T3572] scsi_mode_sense+0x737/0x16d0 [ 408.336046][ T3572] sd_revalidate_disk+0x5206/0xdfd0 [ 408.341296][ T3572] sd_probe+0x170e/0x1990 [ 408.345673][ T3572] really_probe+0x653/0x14b0 [ 408.350308][ T3572] __driver_probe_device+0x3e9/0x530 [ 408.355641][ T3572] __device_attach_driver+0x79f/0x1120 [ 408.361152][ T3572] bus_for_each_drv+0x2d6/0x3f0 [ 408.366045][ T3572] __device_attach_async_helper+0x314/0x3e0 [ 408.371990][ T3572] async_run_entry_fn+0xd2/0x630 [ 408.376974][ T3572] process_one_work+0xdb6/0x1820 [ 408.381949][ T3572] worker_thread+0x10b3/0x21e0 [ 408.386744][ T3572] kthread+0x3c7/0x500 [ 408.390875][ T3572] ret_from_fork+0x1f/0x30 [ 408.395334][ T3572] [ 408.397659][ T3572] CPU: 1 PID: 3572 Comm: kworker/u4:6 Not tainted 5.17.0-rc4-syzkaller #0 [ 408.406279][ T3572] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 01/01/2011 [ 408.416391][ T3572] Workqueue: events_unbound async_run_entry_fn [ 408.422602][ T3572] ===================================================== [ 408.429544][ T3572] Disabling lock debugging due to kernel taint [ 408.437199][ T3572] Kernel panic - not syncing: kmsan.panic set ... [ 408.443637][ T3572] CPU: 1 PID: 3572 Comm: kworker/u4:6 Tainted: G B 5.17.0-rc4-syzkaller #0 [ 408.453569][ T3572] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 01/01/2011 [ 408.463656][ T3572] Workqueue: events_unbound async_run_entry_fn [ 408.469867][ T3572] Call Trace: [ 408.473176][ T3572] [ 408.476123][ T3572] dump_stack_lvl+0x1ff/0x28e [ 408.480858][ T3572] dump_stack+0x25/0x28 [ 408.485066][ T3572] panic+0x487/0xe1f [ 408.489021][ T3572] ? add_taint+0x181/0x210 [ 408.493520][ T3572] ? _raw_spin_unlock_irqrestore+0x78/0xb0 [ 408.499377][ T3572] kmsan_report+0x2e6/0x2f0 [ 408.503946][ T3572] ? __blk_mq_free_request+0x5c0/0x630 [ 408.509460][ T3572] ? __msan_warning+0xb8/0x130 [ 408.514275][ T3572] ? scsi_mode_sense+0x1046/0x16d0 [ 408.519428][ T3572] ? sd_revalidate_disk+0x5206/0xdfd0 [ 408.524850][ T3572] ? sd_probe+0x170e/0x1990 [ 408.529402][ T3572] ? really_probe+0x653/0x14b0 [ 408.534212][ T3572] ? __driver_probe_device+0x3e9/0x530 [ 408.539719][ T3572] ? __device_attach_driver+0x79f/0x1120 [ 408.545399][ T3572] ? bus_for_each_drv+0x2d6/0x3f0 [ 408.550472][ T3572] ? __device_attach_async_helper+0x314/0x3e0 [ 408.556586][ T3572] ? async_run_entry_fn+0xd2/0x630 [ 408.561746][ T3572] ? process_one_work+0xdb6/0x1820 [ 408.566903][ T3572] ? worker_thread+0x10b3/0x21e0 [ 408.571877][ T3572] ? kthread+0x3c7/0x500 [ 408.576171][ T3572] ? ret_from_fork+0x1f/0x30 [ 408.580820][ T3572] ? blk_mq_free_request+0x5d0/0x720 [ 408.586173][ T3572] ? __scsi_execute+0xdc6/0xe20 [ 408.591091][ T3572] ? kmsan_get_metadata+0x33/0x220 [ 408.596265][ T3572] ? kmsan_get_shadow_origin_ptr+0x9b/0xf0 [ 408.602143][ T3572] __msan_warning+0xb8/0x130 [ 408.606786][ T3572] scsi_mode_sense+0x1046/0x16d0 [ 408.611811][ T3572] sd_revalidate_disk+0x5206/0xdfd0 [ 408.617115][ T3572] ? kmsan_get_shadow_origin_ptr+0x9b/0xf0 [ 408.623001][ T3572] sd_probe+0x170e/0x1990 [ 408.627509][ T3572] ? sd_print_result+0x4e0/0x4e0 [ 408.632506][ T3572] really_probe+0x653/0x14b0 [ 408.637163][ T3572] __driver_probe_device+0x3e9/0x530 [ 408.642504][ T3572] __device_attach_driver+0x79f/0x1120 [ 408.648029][ T3572] bus_for_each_drv+0x2d6/0x3f0 [ 408.652927][ T3572] ? deferred_probe_work_func+0x4c0/0x4c0 [ 408.658708][ T3572] __device_attach_async_helper+0x314/0x3e0 [ 408.664659][ T3572] async_run_entry_fn+0xd2/0x630 [ 408.669645][ T3572] ? __device_attach_driver+0x1120/0x1120 [ 408.675412][ T3572] ? kmsan_get_shadow_origin_ptr+0x9b/0xf0 [ 408.681282][ T3572] ? async_schedule_node_domain+0xc70/0xc70 [ 408.687234][ T3572] process_one_work+0xdb6/0x1820 [ 408.692234][ T3572] worker_thread+0x10b3/0x21e0 [ 408.697066][ T3572] kthread+0x3c7/0x500 [ 408.701183][ T3572] ? worker_clr_flags+0x370/0x370 [ 408.706257][ T3572] ? kthread_blkcg+0x120/0x120 [ 408.711079][ T3572] ret_from_fork+0x1f/0x30 [ 408.715558][ T3572] [ 408.718793][ T3572] Kernel Offset: disabled [ 408.723122][ T3572] Rebooting in 86400 seconds..