, 0x6, @broadcast}, &(0x7f0000000200)=0x14) (async) ioctl$BTRFS_IOC_BALANCE(r2, 0x5000940c, 0x0) (async) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) 23:42:04 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) r3 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r4 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000380), 0xffffffffffffffff) sendmsg$IEEE802154_SET_MACPARAMS(r3, &(0x7f0000000440)={&(0x7f0000000340)={0x10, 0x0, 0x0, 0x5d73051060b174e5}, 0xc, &(0x7f0000000400)={&(0x7f00000003c0)={0x34, r4, 0x400, 0x70bd2c, 0x25dfdbfd, {}, [@IEEE802154_ATTR_LBT_ENABLED={0x5}, @IEEE802154_ATTR_FRAME_RETRIES={0x5, 0x28, 0x3}, @IEEE802154_ATTR_LBT_ENABLED={0x5}, @IEEE802154_ATTR_TXPOWER={0x5, 0x21, 0x8}]}, 0x34}, 0x1, 0x0, 0x0, 0x20000884}, 0x20044002) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) r5 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r6 = openat$cgroup_subtree(r5, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r6, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) write$cgroup_subtree(r6, &(0x7f0000000480)={[{0x2d, 'perf_event'}, {0x2d, 'blkio'}, {0x2d, 'blkio'}]}, 0x1a) syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) (async) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) (async) syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) (async) syz_genetlink_get_family_id$ieee802154(&(0x7f0000000380), 0xffffffffffffffff) (async) sendmsg$IEEE802154_SET_MACPARAMS(r3, &(0x7f0000000440)={&(0x7f0000000340)={0x10, 0x0, 0x0, 0x5d73051060b174e5}, 0xc, &(0x7f0000000400)={&(0x7f00000003c0)={0x34, r4, 0x400, 0x70bd2c, 0x25dfdbfd, {}, [@IEEE802154_ATTR_LBT_ENABLED={0x5}, @IEEE802154_ATTR_FRAME_RETRIES={0x5, 0x28, 0x3}, @IEEE802154_ATTR_LBT_ENABLED={0x5}, @IEEE802154_ATTR_TXPOWER={0x5, 0x21, 0x8}]}, 0x34}, 0x1, 0x0, 0x0, 0x20000884}, 0x20044002) (async) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) (async) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) openat$cgroup_subtree(r5, &(0x7f0000000040), 0x2, 0x0) (async) write$cgroup_subtree(r6, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) (async) write$cgroup_subtree(r6, &(0x7f0000000480)={[{0x2d, 'perf_event'}, {0x2d, 'blkio'}, {0x2d, 'blkio'}]}, 0x1a) (async) 23:42:04 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600), 0x0, 0x2000000}}], 0x2, 0x0) 23:42:04 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) (async) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0x76}, "7cf6ad2ccc8085d6745fef5c25fa29358b908ac59f85c60ba55741dc8fba879439f938a28065dac8b71f2937b208675e12950861815f48d630193574c33e27ab91a00586a191bbda95ee84fbf7d93bdbd1d6c9d30076bfb489a8796ddc747abeac2971218e8dc7da484e21cf956c6e0813ca90ce96e7"}, 0x7a) 23:42:04 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0xf4b) [ 534.207867][T20097] netlink: 4 bytes leftover after parsing attributes in process `syz-executor.2'. [ 534.231343][T20100] loop0: detected capacity change from 0 to 2048 [ 534.240965][T14700] Buffer I/O error on dev loop0, logical block 0, async page read [ 534.248896][T14700] Buffer I/O error on dev loop0, logical block 0, async page read 23:42:04 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000100)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000080)='./cgroup.net/syz0\x00', 0x200002, 0x0) r2 = openat$nvram(0xffffffffffffff9c, &(0x7f00000000c0), 0x4080, 0x0) ioctl$BTRFS_IOC_SCRUB_PROGRESS(0xffffffffffffffff, 0xc400941d, &(0x7f0000000180)={0x0, 0xf02, 0x6}) ioctl$BTRFS_IOC_RESIZE(r1, 0x50009403, &(0x7f0000000580)={{r2}, {@val={r3}, @actul_num={@val=0x2d, 0x5, 0x54}}}) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) 23:42:04 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) r3 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r4 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000380), 0xffffffffffffffff) sendmsg$IEEE802154_SET_MACPARAMS(r3, &(0x7f0000000440)={&(0x7f0000000340)={0x10, 0x0, 0x0, 0x5d73051060b174e5}, 0xc, &(0x7f0000000400)={&(0x7f00000003c0)={0x34, r4, 0x400, 0x70bd2c, 0x25dfdbfd, {}, [@IEEE802154_ATTR_LBT_ENABLED={0x5}, @IEEE802154_ATTR_FRAME_RETRIES={0x5, 0x28, 0x3}, @IEEE802154_ATTR_LBT_ENABLED={0x5}, @IEEE802154_ATTR_TXPOWER={0x5, 0x21, 0x8}]}, 0x34}, 0x1, 0x0, 0x0, 0x20000884}, 0x20044002) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) r5 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r6 = openat$cgroup_subtree(r5, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r6, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) write$cgroup_subtree(r6, &(0x7f0000000480)={[{0x2d, 'perf_event'}, {0x2d, 'blkio'}, {0x2d, 'blkio'}]}, 0x1a) syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) (async) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) (async) syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) (async) syz_genetlink_get_family_id$ieee802154(&(0x7f0000000380), 0xffffffffffffffff) (async) sendmsg$IEEE802154_SET_MACPARAMS(r3, &(0x7f0000000440)={&(0x7f0000000340)={0x10, 0x0, 0x0, 0x5d73051060b174e5}, 0xc, &(0x7f0000000400)={&(0x7f00000003c0)={0x34, r4, 0x400, 0x70bd2c, 0x25dfdbfd, {}, [@IEEE802154_ATTR_LBT_ENABLED={0x5}, @IEEE802154_ATTR_FRAME_RETRIES={0x5, 0x28, 0x3}, @IEEE802154_ATTR_LBT_ENABLED={0x5}, @IEEE802154_ATTR_TXPOWER={0x5, 0x21, 0x8}]}, 0x34}, 0x1, 0x0, 0x0, 0x20000884}, 0x20044002) (async) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) (async) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) openat$cgroup_subtree(r5, &(0x7f0000000040), 0x2, 0x0) (async) write$cgroup_subtree(r6, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) (async) write$cgroup_subtree(r6, &(0x7f0000000480)={[{0x2d, 'perf_event'}, {0x2d, 'blkio'}, {0x2d, 'blkio'}]}, 0x1a) (async) 23:42:04 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0716e18304ef2b9f4fadd5ec8c0fa978096f3f04f4d4e77e74393b35904000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000d40)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) (async) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) r2 = socket(0x1, 0x1, 0x0) getsockname$packet(r2, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) (async) ioctl$BTRFS_IOC_BALANCE(r2, 0x5000940c, 0x0) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) 23:42:04 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600), 0x0, 0x3000000}}], 0x2, 0x0) 23:42:04 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) (async) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0x76}, "7cf6ad2ccc8085d6745fef5c25fa29358b908ac59f85c60ba55741dc8fba879439f938a28065dac8b71f2937b208675e12950861815f48d630193574c33e27ab91a00586a191bbda95ee84fbf7d93bdbd1d6c9d30076bfb489a8796ddc747abeac2971218e8dc7da484e21cf956c6e0813ca90ce96e7"}, 0x7a) [ 534.312930][T20130] NILFS (loop0): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 23:42:04 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000100)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000080)='./cgroup.net/syz0\x00', 0x200002, 0x0) r2 = openat$nvram(0xffffffffffffff9c, &(0x7f00000000c0), 0x4080, 0x0) ioctl$BTRFS_IOC_SCRUB_PROGRESS(0xffffffffffffffff, 0xc400941d, &(0x7f0000000180)={0x0, 0xf02, 0x6}) ioctl$BTRFS_IOC_RESIZE(r1, 0x50009403, &(0x7f0000000580)={{r2}, {@val={r3}, @actul_num={@val=0x2d, 0x5, 0x54}}}) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000100)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) (async) openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000080)='./cgroup.net/syz0\x00', 0x200002, 0x0) (async) openat$nvram(0xffffffffffffff9c, &(0x7f00000000c0), 0x4080, 0x0) (async) ioctl$BTRFS_IOC_SCRUB_PROGRESS(0xffffffffffffffff, 0xc400941d, &(0x7f0000000180)={0x0, 0xf02, 0x6}) (async) ioctl$BTRFS_IOC_RESIZE(r1, 0x50009403, &(0x7f0000000580)={{r2}, {@val={r3}, @actul_num={@val=0x2d, 0x5, 0x54}}}) (async) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) (async) 23:42:04 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600), 0x0, 0x4000000}}], 0x2, 0x0) 23:42:04 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600), 0x0, 0x5000000}}], 0x2, 0x0) 23:42:04 executing program 3: syz_emit_vhci(&(0x7f00000001c0)=@HCI_ACLDATA_PKT={0x2, {0x0, 0x2, 0x1, 0x1115}, @l2cap_cid_signaling={{0x1111}, [@l2cap_disconn_rsp={{0x7, 0x20, 0x4}, {0x3, 0x2d20}}, @l2cap_disconn_rsp={{0x7, 0x13, 0x4}, {0x9, 0x7}}, @l2cap_cmd_rej_unk={{0x1, 0x3f, 0x2}, {0x83}}, @l2cap_info_rsp={{0xb, 0x0, 0xef}, {0x7, 0x3, "7ef0c78391e1991b585b475d05d257207aa979c89df03e2b15f3ee8243c95f60c9c6b9b7a74ff0a67bafe0d22cb8f1ef16632e4ecdcf4d4817a35e98cb8bf99acd15dbcccc425ec1b450bedff6998b43af186d5557df0ef9663f9fa59c81a78603f83a9876dc9adf80ba98fd64dcf6239df5a9d4513fd360252782bd191b2d4697c13419b9dc45a0ec38b4d6889533822421c57d740558b2d766f0e59370731f29e40ccf87473bed4810d9f3d3f006f37e42b8e4f55ecaa08a2151a4243b341c46c984c20886494cf2892f8ee63214568ba27fb2524cae057f7770072221a6c1baa46bc27e26bd861e06c7"}}, @l2cap_info_rsp={{0xb, 0x1, 0x1004}, {0xff, 0x310, "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"}}]}}, 0x111a) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0x8c}, "c83bb045df43eccce9fcd6f7f77bbfd3aadff1213d9351c1f8cee589ded6b214d10ad4b4670884661768383f00aa15665f467ea6c0a3007daf29b0c47d22d5030f9eb991582f2beb060411f2f80ea718f2816a64e454543931764d06314e73a27d5ec24d52f04f545efc50435758b8f82271bdd48c57acc3b502e27cb8ef4a57eb1d6936c1698a3f2c310516"}, 0x90) syz_emit_vhci(&(0x7f0000000000)=@HCI_VENDOR_PKT={0xff, 0x331f90e9c42e89db}, 0x2) syz_emit_vhci(&(0x7f0000001300)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x1, 0x1, 0x41c}}}, 0x7) syz_emit_vhci(&(0x7f0000000100)=@HCI_SCODATA_PKT={0x3, {0xc8, 0x71}, "7f2eb62766ef9a9feded4ad46a255b56528a8c5ac29150898534aecbf20d2dccff44423947e5f024628a0c6b30e45620ae3d064daf56159dd5949dbc1d935421a13f80578aff54b167f2ff125522bb6aca04f88eca6c9ef9a0425e8f6433279def0faf970c481f8f5ca70a525142342b5d"}, 0x75) syz_emit_vhci(&(0x7f0000000180)=@HCI_EVENT_PKT={0x4, @hci_ev_simple_pair_complete={{0x36, 0x7}, {0x0, @fixed={'\xaa\xaa\xaa\xaa\xaa', 0x12}}}}, 0xa) 23:42:04 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x400c004}, 0x4040) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(0xffffffffffffffff, &(0x7f0000000280), 0x12) r1 = getpid() process_vm_readv(r1, &(0x7f0000008400)=[{&(0x7f0000000300)=""/54, 0x7ffff000}, {&(0x7f0000006180)=""/152, 0x98}], 0x2, &(0x7f0000008640)=[{&(0x7f0000008480)=""/95, 0x7ffff000}], 0x286, 0x0) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={r1, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) pipe2$9p(&(0x7f0000000240)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) write$P9_RVERSION(r2, &(0x7f0000000080)=ANY=[@ANYBLOB="1500000065ffff097b00000800395032303030"], 0x15) r3 = dup(r2) write$FUSE_BMAP(r3, &(0x7f0000000100)={0x18}, 0x18) write$FUSE_DIRENTPLUS(r3, &(0x7f0000000500)={0xb0, 0x0, 0x0, [{{0x0, 0x0, 0x0, 0x0, 0x0, 0x0, {0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xee00}}, {0x0, 0x0, 0x3, 0x0, '9p\x00'}}]}, 0xb0) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000380)={r1, r3, 0x0, 0x6, &(0x7f0000000340)='wpan4\x00'}, 0x30) write$cgroup_subtree(0xffffffffffffffff, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) write$P9_RMKDIR(0xffffffffffffffff, &(0x7f00000003c0)={0x14, 0x49, 0x2, {0x0, 0x2, 0x8}}, 0x14) 23:42:04 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000100)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000080)='./cgroup.net/syz0\x00', 0x200002, 0x0) r2 = openat$nvram(0xffffffffffffff9c, &(0x7f00000000c0), 0x4080, 0x0) ioctl$BTRFS_IOC_SCRUB_PROGRESS(0xffffffffffffffff, 0xc400941d, &(0x7f0000000180)={0x0, 0xf02, 0x6}) ioctl$BTRFS_IOC_RESIZE(r1, 0x50009403, &(0x7f0000000580)={{r2}, {@val={r3}, @actul_num={@val=0x2d, 0x5, 0x54}}}) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000100)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) (async) openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000080)='./cgroup.net/syz0\x00', 0x200002, 0x0) (async) openat$nvram(0xffffffffffffff9c, &(0x7f00000000c0), 0x4080, 0x0) (async) ioctl$BTRFS_IOC_SCRUB_PROGRESS(0xffffffffffffffff, 0xc400941d, &(0x7f0000000180)={0x0, 0xf02, 0x6}) (async) ioctl$BTRFS_IOC_RESIZE(r1, 0x50009403, &(0x7f0000000580)={{r2}, {@val={r3}, @actul_num={@val=0x2d, 0x5, 0x54}}}) (async) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) (async) [ 534.439086][T20129] pit: kvm: requested 6704 ns i8254 timer period limited to 200000 ns [ 534.478712][T20151] loop0: detected capacity change from 0 to 2048 23:42:04 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0xf4d) 23:42:04 executing program 3: syz_emit_vhci(&(0x7f00000001c0)=@HCI_ACLDATA_PKT={0x2, {0x0, 0x2, 0x1, 0x1115}, @l2cap_cid_signaling={{0x1111}, [@l2cap_disconn_rsp={{0x7, 0x20, 0x4}, {0x3, 0x2d20}}, @l2cap_disconn_rsp={{0x7, 0x13, 0x4}, {0x9, 0x7}}, @l2cap_cmd_rej_unk={{0x1, 0x3f, 0x2}, {0x83}}, @l2cap_info_rsp={{0xb, 0x0, 0xef}, {0x7, 0x3, "7ef0c78391e1991b585b475d05d257207aa979c89df03e2b15f3ee8243c95f60c9c6b9b7a74ff0a67bafe0d22cb8f1ef16632e4ecdcf4d4817a35e98cb8bf99acd15dbcccc425ec1b450bedff6998b43af186d5557df0ef9663f9fa59c81a78603f83a9876dc9adf80ba98fd64dcf6239df5a9d4513fd360252782bd191b2d4697c13419b9dc45a0ec38b4d6889533822421c57d740558b2d766f0e59370731f29e40ccf87473bed4810d9f3d3f006f37e42b8e4f55ecaa08a2151a4243b341c46c984c20886494cf2892f8ee63214568ba27fb2524cae057f7770072221a6c1baa46bc27e26bd861e06c7"}}, @l2cap_info_rsp={{0xb, 0x1, 0x1004}, {0xff, 0x310, "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"}}]}}, 0x111a) (async) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0x8c}, "c83bb045df43eccce9fcd6f7f77bbfd3aadff1213d9351c1f8cee589ded6b214d10ad4b4670884661768383f00aa15665f467ea6c0a3007daf29b0c47d22d5030f9eb991582f2beb060411f2f80ea718f2816a64e454543931764d06314e73a27d5ec24d52f04f545efc50435758b8f82271bdd48c57acc3b502e27cb8ef4a57eb1d6936c1698a3f2c310516"}, 0x90) syz_emit_vhci(&(0x7f0000000000)=@HCI_VENDOR_PKT={0xff, 0x331f90e9c42e89db}, 0x2) syz_emit_vhci(&(0x7f0000001300)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x1, 0x1, 0x41c}}}, 0x7) (async) syz_emit_vhci(&(0x7f0000000100)=@HCI_SCODATA_PKT={0x3, {0xc8, 0x71}, "7f2eb62766ef9a9feded4ad46a255b56528a8c5ac29150898534aecbf20d2dccff44423947e5f024628a0c6b30e45620ae3d064daf56159dd5949dbc1d935421a13f80578aff54b167f2ff125522bb6aca04f88eca6c9ef9a0425e8f6433279def0faf970c481f8f5ca70a525142342b5d"}, 0x75) (async) syz_emit_vhci(&(0x7f0000000180)=@HCI_EVENT_PKT={0x4, @hci_ev_simple_pair_complete={{0x36, 0x7}, {0x0, @fixed={'\xaa\xaa\xaa\xaa\xaa', 0x12}}}}, 0xa) 23:42:04 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0716e18304ef2b9f4fadd5ec8c0fa978096f3f04f4d4e77e74393b35904000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000d40)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) linkat(r0, &(0x7f0000000e40)='./file0\x00', 0xffffffffffffffff, &(0x7f0000000e80)='./file2\x00', 0x0) 23:42:04 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600), 0x0, 0x6000000}}], 0x2, 0x0) 23:42:04 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup/syz1\x00', 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)=ANY=[@ANYBLOB="2be3407520"], 0x5) [ 534.555172][T20185] NILFS (loop0): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 23:42:04 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600), 0x0, 0x7000000}}], 0x2, 0x0) 23:42:04 executing program 3: syz_emit_vhci(&(0x7f00000001c0)=@HCI_ACLDATA_PKT={0x2, {0x0, 0x2, 0x1, 0x1115}, @l2cap_cid_signaling={{0x1111}, [@l2cap_disconn_rsp={{0x7, 0x20, 0x4}, {0x3, 0x2d20}}, @l2cap_disconn_rsp={{0x7, 0x13, 0x4}, {0x9, 0x7}}, @l2cap_cmd_rej_unk={{0x1, 0x3f, 0x2}, {0x83}}, @l2cap_info_rsp={{0xb, 0x0, 0xef}, {0x7, 0x3, "7ef0c78391e1991b585b475d05d257207aa979c89df03e2b15f3ee8243c95f60c9c6b9b7a74ff0a67bafe0d22cb8f1ef16632e4ecdcf4d4817a35e98cb8bf99acd15dbcccc425ec1b450bedff6998b43af186d5557df0ef9663f9fa59c81a78603f83a9876dc9adf80ba98fd64dcf6239df5a9d4513fd360252782bd191b2d4697c13419b9dc45a0ec38b4d6889533822421c57d740558b2d766f0e59370731f29e40ccf87473bed4810d9f3d3f006f37e42b8e4f55ecaa08a2151a4243b341c46c984c20886494cf2892f8ee63214568ba27fb2524cae057f7770072221a6c1baa46bc27e26bd861e06c7"}}, @l2cap_info_rsp={{0xb, 0x1, 0x1004}, {0xff, 0x310, "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"}}]}}, 0x111a) (async) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0x8c}, "c83bb045df43eccce9fcd6f7f77bbfd3aadff1213d9351c1f8cee589ded6b214d10ad4b4670884661768383f00aa15665f467ea6c0a3007daf29b0c47d22d5030f9eb991582f2beb060411f2f80ea718f2816a64e454543931764d06314e73a27d5ec24d52f04f545efc50435758b8f82271bdd48c57acc3b502e27cb8ef4a57eb1d6936c1698a3f2c310516"}, 0x90) (async, rerun: 32) syz_emit_vhci(&(0x7f0000000000)=@HCI_VENDOR_PKT={0xff, 0x331f90e9c42e89db}, 0x2) (rerun: 32) syz_emit_vhci(&(0x7f0000001300)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x1, 0x1, 0x41c}}}, 0x7) (async) syz_emit_vhci(&(0x7f0000000100)=@HCI_SCODATA_PKT={0x3, {0xc8, 0x71}, "7f2eb62766ef9a9feded4ad46a255b56528a8c5ac29150898534aecbf20d2dccff44423947e5f024628a0c6b30e45620ae3d064daf56159dd5949dbc1d935421a13f80578aff54b167f2ff125522bb6aca04f88eca6c9ef9a0425e8f6433279def0faf970c481f8f5ca70a525142342b5d"}, 0x75) (async, rerun: 32) syz_emit_vhci(&(0x7f0000000180)=@HCI_EVENT_PKT={0x4, @hci_ev_simple_pair_complete={{0x36, 0x7}, {0x0, @fixed={'\xaa\xaa\xaa\xaa\xaa', 0x12}}}}, 0xa) (rerun: 32) [ 534.659692][T20202] loop0: detected capacity change from 0 to 2048 23:42:04 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0716e18304ef2b9f4fadd5ec8c0fa978096f3f04f4d4e77e74393b35904000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000d40)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) (async) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) linkat(r0, &(0x7f0000000e40)='./file0\x00', 0xffffffffffffffff, &(0x7f0000000e80)='./file2\x00', 0x0) 23:42:04 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0xf4f) 23:42:04 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600), 0x0, 0x11000000}}], 0x2, 0x0) [ 534.706784][T20213] NILFS (loop0): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds [ 534.779411][T20219] loop0: detected capacity change from 0 to 2048 [ 534.817278][T20216] pit: kvm: requested 6704 ns i8254 timer period limited to 200000 ns 23:42:04 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x400c004}, 0x4040) (async, rerun: 64) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async, rerun: 64) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) (async, rerun: 64) write$cgroup_pid(0xffffffffffffffff, &(0x7f0000000280), 0x12) (async, rerun: 64) r1 = getpid() process_vm_readv(r1, &(0x7f0000008400)=[{&(0x7f0000000300)=""/54, 0x7ffff000}, {&(0x7f0000006180)=""/152, 0x98}], 0x2, &(0x7f0000008640)=[{&(0x7f0000008480)=""/95, 0x7ffff000}], 0x286, 0x0) (async, rerun: 64) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={r1, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) (async, rerun: 64) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) pipe2$9p(&(0x7f0000000240)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) write$P9_RVERSION(r2, &(0x7f0000000080)=ANY=[@ANYBLOB="1500000065ffff097b00000800395032303030"], 0x15) (async) r3 = dup(r2) write$FUSE_BMAP(r3, &(0x7f0000000100)={0x18}, 0x18) (async) write$FUSE_DIRENTPLUS(r3, &(0x7f0000000500)={0xb0, 0x0, 0x0, [{{0x0, 0x0, 0x0, 0x0, 0x0, 0x0, {0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xee00}}, {0x0, 0x0, 0x3, 0x0, '9p\x00'}}]}, 0xb0) (async) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000380)={r1, r3, 0x0, 0x6, &(0x7f0000000340)='wpan4\x00'}, 0x30) write$cgroup_subtree(0xffffffffffffffff, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) (async, rerun: 64) write$P9_RMKDIR(0xffffffffffffffff, &(0x7f00000003c0)={0x14, 0x49, 0x2, {0x0, 0x2, 0x8}}, 0x14) (rerun: 64) 23:42:04 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup/syz1\x00', 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)=ANY=[@ANYBLOB="2be3407520"], 0x5) 23:42:04 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_VENDOR_PKT={0xff, 0x40}, 0x2) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0x25}, "038afe13d5600c07d380651a65276613e05d14987a1751a5b571090f42424348661edc120b"}, 0x29) 23:42:04 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600), 0x0, 0x32000000}}], 0x2, 0x0) 23:42:04 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0716e18304ef2b9f4fadd5ec8c0fa978096f3f04f4d4e77e74393b35904000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000d40)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) linkat(r0, &(0x7f0000000e40)='./file0\x00', 0xffffffffffffffff, &(0x7f0000000e80)='./file2\x00', 0x0) syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0716e18304ef2b9f4fadd5ec8c0fa978096f3f04f4d4e77e74393b35904000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000d40)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) (async) openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (async) linkat(r0, &(0x7f0000000e40)='./file0\x00', 0xffffffffffffffff, &(0x7f0000000e80)='./file2\x00', 0x0) (async) [ 534.924983][T20224] NILFS (loop0): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 23:42:04 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup/syz1\x00', 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)=ANY=[@ANYBLOB="2be3407520"], 0x5) 23:42:04 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_VENDOR_PKT={0xff, 0x40}, 0x2) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0x25}, "038afe13d5600c07d380651a65276613e05d14987a1751a5b571090f42424348661edc120b"}, 0x29) syz_emit_vhci(&(0x7f0000000000)=@HCI_VENDOR_PKT={0xff, 0x40}, 0x2) (async) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0x25}, "038afe13d5600c07d380651a65276613e05d14987a1751a5b571090f42424348661edc120b"}, 0x29) (async) 23:42:04 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600), 0x0, 0x3f000000}}], 0x2, 0x0) 23:42:04 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000100)='./cgroup/syz1\x00', 0x1ff) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x200002, 0x0) r0 = openat$cgroup_root(0xffffffffffffff9c, 0x0, 0x200002, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000140)='./cgroup.net/syz0\x00', 0x200002, 0x0) openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) pipe2(&(0x7f0000000080)={0xffffffffffffffff}, 0x800) write$cgroup_subtree(r1, &(0x7f00000000c0)=ANY=[@ANYBLOB='\b\x00\buagct '], 0x9) 23:42:04 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0xf51) 23:42:04 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_VENDOR_PKT={0xff, 0x40}, 0x2) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0x25}, "038afe13d5600c07d380651a65276613e05d14987a1751a5b571090f42424348661edc120b"}, 0x29) 23:42:04 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000100)='./cgroup/syz1\x00', 0x1ff) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x200002, 0x0) r0 = openat$cgroup_root(0xffffffffffffff9c, 0x0, 0x200002, 0x0) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000140)='./cgroup.net/syz0\x00', 0x200002, 0x0) openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) (async) pipe2(&(0x7f0000000080)={0xffffffffffffffff}, 0x800) write$cgroup_subtree(r1, &(0x7f00000000c0)=ANY=[@ANYBLOB='\b\x00\buagct '], 0x9) 23:42:04 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x400c004}, 0x4040) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) (async) write$cgroup_pid(0xffffffffffffffff, &(0x7f0000000280), 0x12) (async) r1 = getpid() process_vm_readv(r1, &(0x7f0000008400)=[{&(0x7f0000000300)=""/54, 0x7ffff000}, {&(0x7f0000006180)=""/152, 0x98}], 0x2, &(0x7f0000008640)=[{&(0x7f0000008480)=""/95, 0x7ffff000}], 0x286, 0x0) (async) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={r1, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) pipe2$9p(&(0x7f0000000240)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) write$P9_RVERSION(r2, &(0x7f0000000080)=ANY=[@ANYBLOB="1500000065ffff097b00000800395032303030"], 0x15) (async) r3 = dup(r2) write$FUSE_BMAP(r3, &(0x7f0000000100)={0x18}, 0x18) (async) write$FUSE_DIRENTPLUS(r3, &(0x7f0000000500)={0xb0, 0x0, 0x0, [{{0x0, 0x0, 0x0, 0x0, 0x0, 0x0, {0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xee00}}, {0x0, 0x0, 0x3, 0x0, '9p\x00'}}]}, 0xb0) (async) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000380)={r1, r3, 0x0, 0x6, &(0x7f0000000340)='wpan4\x00'}, 0x30) (async) write$cgroup_subtree(0xffffffffffffffff, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) (async) write$P9_RMKDIR(0xffffffffffffffff, &(0x7f00000003c0)={0x14, 0x49, 0x2, {0x0, 0x2, 0x8}}, 0x14) 23:42:04 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600), 0x0, 0x803e0000}}], 0x2, 0x0) [ 535.048743][T20247] loop0: detected capacity change from 0 to 2048 [ 535.060214][T14700] blk_print_req_error: 30 callbacks suppressed [ 535.060232][T14700] I/O error, dev loop0, sector 0 op 0x0:(READ) flags 0x80700 phys_seg 1 prio class 2 23:42:05 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000100)='./cgroup/syz1\x00', 0x1ff) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x200002, 0x0) (async) r0 = openat$cgroup_root(0xffffffffffffff9c, 0x0, 0x200002, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000140)='./cgroup.net/syz0\x00', 0x200002, 0x0) (async) openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) (async) pipe2(&(0x7f0000000080)={0xffffffffffffffff}, 0x800) write$cgroup_subtree(r1, &(0x7f00000000c0)=ANY=[@ANYBLOB='\b\x00\buagct '], 0x9) 23:42:05 executing program 3: syz_emit_vhci(&(0x7f0000000040)=@HCI_EVENT_PKT={0x4, @hci_ev_clock_offset={{0x1c, 0x5}, {0x1, 0xc8, 0x3}}}, 0x8) [ 535.135660][T20255] NILFS (loop0): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 23:42:05 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000012dc0)="13", 0x1, 0x3}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0x4}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000bc0)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0716e18304ef2b9f4fadd5ec8c0fa978096f3f04f4d4e77e74393b35904000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000d40)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) 23:42:05 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600), 0x0, 0xc0000000}}], 0x2, 0x0) 23:42:05 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000340)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) [ 535.194454][T20250] pit: kvm: requested 6704 ns i8254 timer period limited to 200000 ns 23:42:05 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600), 0x0, 0xc03e0000}}], 0x2, 0x0) 23:42:05 executing program 2: r0 = socket(0x1, 0x1, 0x0) getsockname$packet(r0, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) syz_genetlink_get_family_id$tipc2(&(0x7f0000000140), r0) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) openat$cgroup_ro(r1, &(0x7f0000000100)='rdma.current\x00', 0x0, 0x0) r2 = syz_open_dev$audion(&(0x7f00000000c0), 0x1, 0x4041) r3 = openat$cgroup_subtree(r2, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r3, &(0x7f0000000080)=ANY=[@ANYBLOB="046872300000006220"], 0x9) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000180)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) dup(r3) [ 535.289808][T20281] loop0: detected capacity change from 0 to 2048 [ 535.328540][T20282] NILFS (loop0): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 23:42:05 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0x1100) 23:42:05 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000340)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) (async) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) (async) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000340)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) (async) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) (async) write$cgroup_subtree(r4, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) (async) 23:42:05 executing program 3: syz_emit_vhci(&(0x7f0000000040)=@HCI_EVENT_PKT={0x4, @hci_ev_clock_offset={{0x1c, 0x5}, {0x1, 0xc8, 0x3}}}, 0x8) 23:42:05 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600), 0x0, 0xe03f0300}}], 0x2, 0x0) [ 535.340306][T20281] NILFS error (device loop0): nilfs_check_page: bad entry in directory #12: rec_len is smaller than minimal - offset=0, inode=0, rec_len=0, name_len=0 23:42:05 executing program 3: syz_emit_vhci(&(0x7f0000000040)=@HCI_EVENT_PKT={0x4, @hci_ev_clock_offset={{0x1c, 0x5}, {0x1, 0xc8, 0x3}}}, 0x8) syz_emit_vhci(&(0x7f0000000040)=@HCI_EVENT_PKT={0x4, @hci_ev_clock_offset={{0x1c, 0x5}, {0x1, 0xc8, 0x3}}}, 0x8) (async) [ 535.388510][T20281] Remounting filesystem read-only 23:42:05 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) (async, rerun: 32) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) (async, rerun: 32) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000340)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) (async) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) 23:42:05 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600), 0x0, 0xeffdffff}}], 0x2, 0x0) 23:42:05 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000012dc0)="13", 0x1, 0x3}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0x4}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000bc0)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0716e18304ef2b9f4fadd5ec8c0fa978096f3f04f4d4e77e74393b35904000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000d40)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) (async, rerun: 32) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (rerun: 32) r1 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) 23:42:05 executing program 2: r0 = socket(0x1, 0x1, 0x0) getsockname$packet(r0, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) (async) syz_genetlink_get_family_id$tipc2(&(0x7f0000000140), r0) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) openat$cgroup_ro(r1, &(0x7f0000000100)='rdma.current\x00', 0x0, 0x0) (async) r2 = syz_open_dev$audion(&(0x7f00000000c0), 0x1, 0x4041) r3 = openat$cgroup_subtree(r2, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r3, &(0x7f0000000080)=ANY=[@ANYBLOB="046872300000006220"], 0x9) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000180)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) (async) dup(r3) 23:42:05 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_ACLDATA_PKT={0x2, {0xc9, 0x2, 0x2, 0xa}, @l2cap_cid_le_signaling={{0x6}, @l2cap_ecred_reconf_rsp={{0x1a, 0x98, 0x2}}}}, 0xf) 23:42:05 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600), 0x0, 0xf6ffffff}}], 0x2, 0x0) [ 535.436634][T20292] pit: kvm: requested 6704 ns i8254 timer period limited to 200000 ns 23:42:05 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000140)=ANY=[@ANYBLOB="2d637075202b637075202b0f6964732000696f20006d656d6f72792000696f202d696f20"], 0x24) [ 535.501598][T20319] loop0: detected capacity change from 0 to 2048 [ 535.545491][T20330] NILFS (loop0): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 23:42:05 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0x1200) 23:42:05 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_ACLDATA_PKT={0x2, {0xc9, 0x2, 0x2, 0xa}, @l2cap_cid_le_signaling={{0x6}, @l2cap_ecred_reconf_rsp={{0x1a, 0x98, 0x2}}}}, 0xf) 23:42:05 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600), 0x0, 0xff000000}}], 0x2, 0x0) 23:42:05 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) (async) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) (async) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) (async) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000140)=ANY=[@ANYBLOB="2d637075202b637075202b0f6964732000696f20006d656d6f72792000696f202d696f20"], 0x24) 23:42:05 executing program 2: r0 = socket(0x1, 0x1, 0x0) getsockname$packet(r0, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) (async) syz_genetlink_get_family_id$tipc2(&(0x7f0000000140), r0) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) openat$cgroup_ro(r1, &(0x7f0000000100)='rdma.current\x00', 0x0, 0x0) (async) r2 = syz_open_dev$audion(&(0x7f00000000c0), 0x1, 0x4041) r3 = openat$cgroup_subtree(r2, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r3, &(0x7f0000000080)=ANY=[@ANYBLOB="046872300000006220"], 0x9) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000180)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) dup(r3) 23:42:05 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600), 0x0, 0xfffffdef}}], 0x2, 0x0) 23:42:05 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) (async, rerun: 32) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) (rerun: 32) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) (async) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) (async) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000140)=ANY=[@ANYBLOB="2d637075202b637075202b0f6964732000696f20006d656d6f72792000696f202d696f20"], 0x24) [ 535.725647][T20347] pit: kvm: requested 6704 ns i8254 timer period limited to 200000 ns 23:42:05 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000012dc0)="13", 0x1, 0x3}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0x4}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000bc0)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0716e18304ef2b9f4fadd5ec8c0fa978096f3f04f4d4e77e74393b35904000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000d40)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000012dc0)="13", 0x1, 0x3}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0x4}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000bc0)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0716e18304ef2b9f4fadd5ec8c0fa978096f3f04f4d4e77e74393b35904000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000d40)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) (async) openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (async) openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (async) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) (async) 23:42:05 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000340)=ANY=[@ANYBLOB="2d637075202b637075202b706964733000696f20006d656d6f72792000696f202d696f2054a2cb1fc6606c82e2e9b2da082e00f1d502e262e1d4e0a0b9cc"], 0x24) 23:42:05 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_ACLDATA_PKT={0x2, {0xc9, 0x2, 0x2, 0xa}, @l2cap_cid_le_signaling={{0x6}, @l2cap_ecred_reconf_rsp={{0x1a, 0x98, 0x2}}}}, 0xf) syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) (async) syz_emit_vhci(&(0x7f0000000040)=@HCI_ACLDATA_PKT={0x2, {0xc9, 0x2, 0x2, 0xa}, @l2cap_cid_le_signaling={{0x6}, @l2cap_ecred_reconf_rsp={{0x1a, 0x98, 0x2}}}}, 0xf) (async) 23:42:05 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) syncfs(r1) ioctl$AUTOFS_DEV_IOCTL_ISMOUNTPOINT(0xffffffffffffffff, 0xc018937e, &(0x7f0000000080)={{0x1, 0x1, 0x18, r0, {0x4}}, './file0\x00'}) write$cgroup_devices(r2, &(0x7f00000000c0)={'b', ' *:* ', 'r\x00'}, 0x8) openat$sysfs(0xffffffffffffff9c, &(0x7f0000000100)='/sys/module/ccp', 0x600, 0x68) 23:42:05 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600), 0x0, 0xffffff7f}}], 0x2, 0x0) 23:42:05 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0x1300) 23:42:05 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) syncfs(r1) ioctl$AUTOFS_DEV_IOCTL_ISMOUNTPOINT(0xffffffffffffffff, 0xc018937e, &(0x7f0000000080)={{0x1, 0x1, 0x18, r0, {0x4}}, './file0\x00'}) write$cgroup_devices(r2, &(0x7f00000000c0)={'b', ' *:* ', 'r\x00'}, 0x8) openat$sysfs(0xffffffffffffff9c, &(0x7f0000000100)='/sys/module/ccp', 0x600, 0x68) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) (async) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) (async) syncfs(r1) (async) ioctl$AUTOFS_DEV_IOCTL_ISMOUNTPOINT(0xffffffffffffffff, 0xc018937e, &(0x7f0000000080)={{0x1, 0x1, 0x18, r0, {0x4}}, './file0\x00'}) (async) write$cgroup_devices(r2, &(0x7f00000000c0)={'b', ' *:* ', 'r\x00'}, 0x8) (async) openat$sysfs(0xffffffffffffff9c, &(0x7f0000000100)='/sys/module/ccp', 0x600, 0x68) (async) 23:42:05 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0xaf}, "3d5ca4a69c831b4c50e9f379dbf924da66670094a636aea4bd762a3e942af9748930f864710e21d25f1cb22e58d6c370221b2653127cd0fd1976dd4766faa136332adb52ef3648e896f247114f475388063440ae536c56198c4f03719f27905cf326a0a3e8ee67a275f958da76cd45d0fe0fff490eabbede193e54597c8e1243b303437aed247de14c07414872ed58759b51050eed3793205a8420a64e00006e0fb479160db7629f33059afb623066"}, 0xb3) 23:42:05 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600), 0x0, 0xfffffff6}}], 0x2, 0x0) 23:42:05 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) (async) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000340)=ANY=[@ANYBLOB="2d637075202b637075202b706964733000696f20006d656d6f72792000696f202d696f2054a2cb1fc6606c82e2e9b2da082e00f1d502e262e1d4e0a0b9cc"], 0x24) 23:42:05 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}}], 0x2, 0x0) 23:42:05 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) syncfs(r1) (async) ioctl$AUTOFS_DEV_IOCTL_ISMOUNTPOINT(0xffffffffffffffff, 0xc018937e, &(0x7f0000000080)={{0x1, 0x1, 0x18, r0, {0x4}}, './file0\x00'}) write$cgroup_devices(r2, &(0x7f00000000c0)={'b', ' *:* ', 'r\x00'}, 0x8) openat$sysfs(0xffffffffffffff9c, &(0x7f0000000100)='/sys/module/ccp', 0x600, 0x68) [ 535.863453][T20378] loop0: detected capacity change from 0 to 2048 [ 535.933223][T20392] NILFS (loop0): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds [ 535.947202][T20380] pit: kvm: requested 6704 ns i8254 timer period limited to 200000 ns [ 535.957063][T20378] NILFS error (device loop0): nilfs_check_page: bad entry in directory #12: rec_len is smaller than minimal - offset=0, inode=0, rec_len=0, name_len=0 [ 536.016760][T20378] Remounting filesystem read-only 23:42:05 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3a, &(0x7f0000000200)=[{&(0x7f0000000fc0)="4c000000000000000000000000000000785f1f6300000000781f1f630000000000000000008001000000000000000000000000000000000000674045c6f7ffffffffffffff000000000000", 0x4b, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000000bc0)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c66730000000000000000000000000000bd35d1437a509b8a2e973d49d444407b275bb1df0a8484a87c0217d0ebfe1793a478a6c0ca2920cebafe77bafdc47325fed3fd336b367f349855093f8f3aa8f1f2f9fa01e7f892e3863261afc2776695703cd7e82b4dccc92df904eed80bc8badcea51c1e0c81a865edba0970b0d1d8fb147aeeb4aa262297a99c59592b09813f1c43ab1c353fdf7e1c6b3906b4aad06012095083dee0c173ce88471c3d0528d03a49c99c352278d6aca1128f832c46ff21bdc22dbbaea161f0dae7a41f4ecadc1ef79d93231cd59144df488d75a01bced06c066d48c1a9cfc07b9790c5e", 0x11e, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2581}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000000040)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0fadd5ec8c0fa978096f3f04f4d4e77e74393b35914000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d0000000000000000", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff00000000000200000000000000ffffffffffffffff000000000000000022709a1800000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000d40)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) 23:42:05 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x2}], 0x2, 0x0) 23:42:05 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0xaf}, "3d5ca4a69c831b4c50e9f379dbf924da66670094a636aea4bd762a3e942af9748930f864710e21d25f1cb22e58d6c370221b2653127cd0fd1976dd4766faa136332adb52ef3648e896f247114f475388063440ae536c56198c4f03719f27905cf326a0a3e8ee67a275f958da76cd45d0fe0fff490eabbede193e54597c8e1243b303437aed247de14c07414872ed58759b51050eed3793205a8420a64e00006e0fb479160db7629f33059afb623066"}, 0xb3) syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) (async) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0xaf}, "3d5ca4a69c831b4c50e9f379dbf924da66670094a636aea4bd762a3e942af9748930f864710e21d25f1cb22e58d6c370221b2653127cd0fd1976dd4766faa136332adb52ef3648e896f247114f475388063440ae536c56198c4f03719f27905cf326a0a3e8ee67a275f958da76cd45d0fe0fff490eabbede193e54597c8e1243b303437aed247de14c07414872ed58759b51050eed3793205a8420a64e00006e0fb479160db7629f33059afb623066"}, 0xb3) (async) 23:42:05 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000340)=ANY=[@ANYBLOB="2d637075202b637075202b706964733000696f20006d656d6f72792000696f202d696f2054a2cb1fc6606c82e2e9b2da082e00f1d502e262e1d4e0a0b9cc"], 0x24) syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) (async) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) (async) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) (async) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) (async) write$cgroup_subtree(r4, &(0x7f0000000340)=ANY=[@ANYBLOB="2d637075202b637075202b706964733000696f20006d656d6f72792000696f202d696f2054a2cb1fc6606c82e2e9b2da082e00f1d502e262e1d4e0a0b9cc"], 0x24) (async) 23:42:05 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000080)=ANY=[@ANYBLOB="3d56eabaee0e50c934de9b7a111612d96ab7495a25381bdc064d1299de7df3affac1b289ba739b7a0d4d219f87848eea124c478fb5962d7b3f0145213247"], 0x5) openat$cgroup_int(r0, &(0x7f00000000c0)='memory.oom.group\x00', 0x2, 0x0) 23:42:05 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0x1400) 23:42:05 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x3}], 0x2, 0x0) 23:42:05 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) (async) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0xaf}, "3d5ca4a69c831b4c50e9f379dbf924da66670094a636aea4bd762a3e942af9748930f864710e21d25f1cb22e58d6c370221b2653127cd0fd1976dd4766faa136332adb52ef3648e896f247114f475388063440ae536c56198c4f03719f27905cf326a0a3e8ee67a275f958da76cd45d0fe0fff490eabbede193e54597c8e1243b303437aed247de14c07414872ed58759b51050eed3793205a8420a64e00006e0fb479160db7629f33059afb623066"}, 0xb3) 23:42:06 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async, rerun: 32) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (rerun: 32) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000080)=ANY=[@ANYBLOB="3d56eabaee0e50c934de9b7a111612d96ab7495a25381bdc064d1299de7df3affac1b289ba739b7a0d4d219f87848eea124c478fb5962d7b3f0145213247"], 0x5) (async) openat$cgroup_int(r0, &(0x7f00000000c0)='memory.oom.group\x00', 0x2, 0x0) 23:42:06 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) sendmsg$nl_route(0xffffffffffffffff, &(0x7f0000000400)={&(0x7f0000000340)={0x10, 0x0, 0x0, 0x40000000}, 0xc, &(0x7f00000003c0)={&(0x7f0000000380)=@ipmr_getroute={0x1c, 0x1a, 0x10, 0x70bd2d, 0x25dfdbfb, {0x80, 0x10, 0x20, 0x3, 0xff, 0x3, 0xfe, 0x3, 0x1400}, ["", "", "", "", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x408c010}, 0x8000) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) 23:42:06 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0x35}, "e9783ec9e8f9b4724764f265c83910293b6d9f425fb90718c3a4a6cee8cda5341f15673d3fc29d9d27aa6e7328d77f678eac8bdcf3"}, 0x39) [ 536.151324][T20423] loop0: detected capacity change from 0 to 2048 [ 536.159675][T14700] I/O error, dev loop0, sector 0 op 0x0:(READ) flags 0x80700 phys_seg 1 prio class 2 [ 536.190676][T14700] I/O error, dev loop0, sector 0 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 23:42:06 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x4}], 0x2, 0x0) 23:42:06 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3a, &(0x7f0000000200)=[{&(0x7f0000000fc0)="4c000000000000000000000000000000785f1f6300000000781f1f630000000000000000008001000000000000000000000000000000000000674045c6f7ffffffffffffff000000000000", 0x4b, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000000bc0)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c66730000000000000000000000000000bd35d1437a509b8a2e973d49d444407b275bb1df0a8484a87c0217d0ebfe1793a478a6c0ca2920cebafe77bafdc47325fed3fd336b367f349855093f8f3aa8f1f2f9fa01e7f892e3863261afc2776695703cd7e82b4dccc92df904eed80bc8badcea51c1e0c81a865edba0970b0d1d8fb147aeeb4aa262297a99c59592b09813f1c43ab1c353fdf7e1c6b3906b4aad06012095083dee0c173ce88471c3d0528d03a49c99c352278d6aca1128f832c46ff21bdc22dbbaea161f0dae7a41f4ecadc1ef79d93231cd59144df488d75a01bced06c066d48c1a9cfc07b9790c5e", 0x11e, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2581}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000000040)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0fadd5ec8c0fa978096f3f04f4d4e77e74393b35914000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d0000000000000000", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff00000000000200000000000000ffffffffffffffff000000000000000022709a1800000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000d40)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (async, rerun: 64) r1 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (rerun: 64) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) 23:42:06 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000080)=ANY=[@ANYBLOB="3d56eabaee0e50c934de9b7a111612d96ab7495a25381bdc064d1299de7df3affac1b289ba739b7a0d4d219f87848eea124c478fb5962d7b3f0145213247"], 0x5) openat$cgroup_int(r0, &(0x7f00000000c0)='memory.oom.group\x00', 0x2, 0x0) 23:42:06 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async, rerun: 32) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (rerun: 32) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) (async, rerun: 32) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) (rerun: 32) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) sendmsg$nl_route(0xffffffffffffffff, &(0x7f0000000400)={&(0x7f0000000340)={0x10, 0x0, 0x0, 0x40000000}, 0xc, &(0x7f00000003c0)={&(0x7f0000000380)=@ipmr_getroute={0x1c, 0x1a, 0x10, 0x70bd2d, 0x25dfdbfb, {0x80, 0x10, 0x20, 0x3, 0xff, 0x3, 0xfe, 0x3, 0x1400}, ["", "", "", "", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x408c010}, 0x8000) (async) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) [ 536.209614][T14700] buffer_io_error: 14 callbacks suppressed [ 536.209631][T14700] Buffer I/O error on dev loop0, logical block 0, async page read [ 536.237453][T20434] NILFS (loop0): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 23:42:06 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup/syz0\x00', 0x200002, 0x0) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000080)='./cgroup/syz0\x00', 0x200002, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) 23:42:06 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0x1500) 23:42:06 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x5}], 0x2, 0x0) 23:42:06 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0x35}, "e9783ec9e8f9b4724764f265c83910293b6d9f425fb90718c3a4a6cee8cda5341f15673d3fc29d9d27aa6e7328d77f678eac8bdcf3"}, 0x39) syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) (async) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0x35}, "e9783ec9e8f9b4724764f265c83910293b6d9f425fb90718c3a4a6cee8cda5341f15673d3fc29d9d27aa6e7328d77f678eac8bdcf3"}, 0x39) (async) 23:42:06 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup/syz0\x00', 0x200002, 0x0) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000080)='./cgroup/syz0\x00', 0x200002, 0x0) (async) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) 23:42:06 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) (async, rerun: 32) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) (rerun: 32) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) sendmsg$nl_route(0xffffffffffffffff, &(0x7f0000000400)={&(0x7f0000000340)={0x10, 0x0, 0x0, 0x40000000}, 0xc, &(0x7f00000003c0)={&(0x7f0000000380)=@ipmr_getroute={0x1c, 0x1a, 0x10, 0x70bd2d, 0x25dfdbfb, {0x80, 0x10, 0x20, 0x3, 0xff, 0x3, 0xfe, 0x3, 0x1400}, ["", "", "", "", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x408c010}, 0x8000) (async) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) [ 536.395177][T20459] loop0: detected capacity change from 0 to 2048 [ 536.412137][T14700] I/O error, dev loop0, sector 0 op 0x0:(READ) flags 0x80700 phys_seg 1 prio class 2 23:42:06 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x6}], 0x2, 0x0) 23:42:06 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0x35}, "e9783ec9e8f9b4724764f265c83910293b6d9f425fb90718c3a4a6cee8cda5341f15673d3fc29d9d27aa6e7328d77f678eac8bdcf3"}, 0x39) syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) (async) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0x35}, "e9783ec9e8f9b4724764f265c83910293b6d9f425fb90718c3a4a6cee8cda5341f15673d3fc29d9d27aa6e7328d77f678eac8bdcf3"}, 0x39) (async) [ 536.444233][T20450] pit: kvm: requested 6704 ns i8254 timer period limited to 200000 ns [ 536.453441][T14700] I/O error, dev loop0, sector 0 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 536.488227][T14700] Buffer I/O error on dev loop0, logical block 0, async page read 23:42:06 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup/syz0\x00', 0x200002, 0x0) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000080)='./cgroup/syz0\x00', 0x200002, 0x0) (async) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) [ 536.576101][T20459] NILFS (loop0): error -4 creating segctord thread 23:42:06 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3a, &(0x7f0000000200)=[{&(0x7f0000000fc0)="4c000000000000000000000000000000785f1f6300000000781f1f630000000000000000008001000000000000000000000000000000000000674045c6f7ffffffffffffff000000000000", 0x4b, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000000bc0)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c66730000000000000000000000000000bd35d1437a509b8a2e973d49d444407b275bb1df0a8484a87c0217d0ebfe1793a478a6c0ca2920cebafe77bafdc47325fed3fd336b367f349855093f8f3aa8f1f2f9fa01e7f892e3863261afc2776695703cd7e82b4dccc92df904eed80bc8badcea51c1e0c81a865edba0970b0d1d8fb147aeeb4aa262297a99c59592b09813f1c43ab1c353fdf7e1c6b3906b4aad06012095083dee0c173ce88471c3d0528d03a49c99c352278d6aca1128f832c46ff21bdc22dbbaea161f0dae7a41f4ecadc1ef79d93231cd59144df488d75a01bced06c066d48c1a9cfc07b9790c5e", 0x11e, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2581}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000000040)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0fadd5ec8c0fa978096f3f04f4d4e77e74393b35914000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d0000000000000000", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff00000000000200000000000000ffffffffffffffff000000000000000022709a1800000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000d40)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (async) r1 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) 23:42:06 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) r1 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000380), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_SECLEVEL(0xffffffffffffffff, &(0x7f0000000440)={&(0x7f0000000140)={0x10, 0x0, 0x0, 0x8001442}, 0xc, &(0x7f0000000400)={&(0x7f00000003c0)={0x2c, r1, 0x10, 0x70bd26, 0x25dfdbff, {}, [@IEEE802154_ATTR_LLSEC_DEV_OVERRIDE={0x5, 0x36, 0x1}, @IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_LLSEC_DEV_OVERRIDE={0x5}]}, 0x2c}, 0x1, 0x0, 0x0, 0x4000}, 0x40004) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r2 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r3 = openat$cgroup_procs(r2, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r3, &(0x7f0000000280), 0x12) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) r4 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000340)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) r5 = openat$cgroup_subtree(r4, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r5, &(0x7f0000000140), 0x0) 23:42:06 executing program 3: syz_emit_vhci(&(0x7f0000000040)=ANY=[@ANYBLOB="02c8906601620101000be047000800040062ae3b45b49ee1c578eff99730aacf45414ed692edff34284cd12984a4e9f09cd0debc45a7090622ce01331cf2ddb6321acb6a7d11619ad47268e900073af8c35ca8460b3fbf0006000600ce6ea3ac5674e26ee5b34bca04c81df22da2d4ebe71c9d687c098cb25a9b9e16e497fd51815616420b3385469c685eced76ecb0645254da8a352be45f8d1c2165a05e7ee3507a9b84086607f07c9b6279cc2a4a2c83b4b5c71820f532a70cf776ae8c2ad51308384d361bc4a99146648eac7010cbb1856474fa3502f70253c60ba95e821f93dee739e8d0bfc5df0a23d8ecb90ef6ae365cc33eaf2ba59125013d9ae3000c4f299be7b0cdc04014a0007000000070203000409034003148c00108000040904ffad200003000800020204000796668ec502ff4f06101f02030025090000d6000000086a000006100001ff0f08000000010000003f0000000a0302000300"/363], 0x16b) 23:42:06 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x7}], 0x2, 0x0) 23:42:06 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) openat$cgroup_int(r0, &(0x7f0000000080)='io.bfq.weight\x00', 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) 23:42:06 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0x1600) 23:42:06 executing program 3: syz_emit_vhci(&(0x7f0000000040)=ANY=[@ANYBLOB="02c8906601620101000be047000800040062ae3b45b49ee1c578eff99730aacf45414ed692edff34284cd12984a4e9f09cd0debc45a7090622ce01331cf2ddb6321acb6a7d11619ad47268e900073af8c35ca8460b3fbf0006000600ce6ea3ac5674e26ee5b34bca04c81df22da2d4ebe71c9d687c098cb25a9b9e16e497fd51815616420b3385469c685eced76ecb0645254da8a352be45f8d1c2165a05e7ee3507a9b84086607f07c9b6279cc2a4a2c83b4b5c71820f532a70cf776ae8c2ad51308384d361bc4a99146648eac7010cbb1856474fa3502f70253c60ba95e821f93dee739e8d0bfc5df0a23d8ecb90ef6ae365cc33eaf2ba59125013d9ae3000c4f299be7b0cdc04014a0007000000070203000409034003148c00108000040904ffad200003000800020204000796668ec502ff4f06101f02030025090000d6000000086a000006100001ff0f08000000010000003f0000000a0302000300"/363], 0x16b) 23:42:06 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x11}], 0x2, 0x0) 23:42:06 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) (async) r1 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000380), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_SECLEVEL(0xffffffffffffffff, &(0x7f0000000440)={&(0x7f0000000140)={0x10, 0x0, 0x0, 0x8001442}, 0xc, &(0x7f0000000400)={&(0x7f00000003c0)={0x2c, r1, 0x10, 0x70bd26, 0x25dfdbff, {}, [@IEEE802154_ATTR_LLSEC_DEV_OVERRIDE={0x5, 0x36, 0x1}, @IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_LLSEC_DEV_OVERRIDE={0x5}]}, 0x2c}, 0x1, 0x0, 0x0, 0x4000}, 0x40004) (async) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r2 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r3 = openat$cgroup_procs(r2, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) (async) write$cgroup_pid(r3, &(0x7f0000000280), 0x12) (async) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) (async) r4 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000340)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) r5 = openat$cgroup_subtree(r4, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r5, &(0x7f0000000140), 0x0) 23:42:06 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) openat$cgroup_int(r0, &(0x7f0000000080)='io.bfq.weight\x00', 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) (async) openat$cgroup_int(r0, &(0x7f0000000080)='io.bfq.weight\x00', 0x2, 0x0) (async) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) (async) [ 536.724832][T14700] I/O error, dev loop0, sector 0 op 0x0:(READ) flags 0x80700 phys_seg 1 prio class 2 [ 536.741455][T20492] loop0: detected capacity change from 0 to 2048 23:42:06 executing program 3: syz_emit_vhci(&(0x7f0000000040)=ANY=[@ANYBLOB="02c8906601620101000be047000800040062ae3b45b49ee1c578eff99730aacf45414ed692edff34284cd12984a4e9f09cd0debc45a7090622ce01331cf2ddb6321acb6a7d11619ad47268e900073af8c35ca8460b3fbf0006000600ce6ea3ac5674e26ee5b34bca04c81df22da2d4ebe71c9d687c098cb25a9b9e16e497fd51815616420b3385469c685eced76ecb0645254da8a352be45f8d1c2165a05e7ee3507a9b84086607f07c9b6279cc2a4a2c83b4b5c71820f532a70cf776ae8c2ad51308384d361bc4a99146648eac7010cbb1856474fa3502f70253c60ba95e821f93dee739e8d0bfc5df0a23d8ecb90ef6ae365cc33eaf2ba59125013d9ae3000c4f299be7b0cdc04014a0007000000070203000409034003148c00108000040904ffad200003000800020204000796668ec502ff4f06101f02030025090000d6000000086a000006100001ff0f08000000010000003f0000000a0302000300"/363], 0x16b) 23:42:06 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x32}], 0x2, 0x0) [ 536.821094][T20504] NILFS (loop0): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 23:42:06 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) (async) r1 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000380), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_SECLEVEL(0xffffffffffffffff, &(0x7f0000000440)={&(0x7f0000000140)={0x10, 0x0, 0x0, 0x8001442}, 0xc, &(0x7f0000000400)={&(0x7f00000003c0)={0x2c, r1, 0x10, 0x70bd26, 0x25dfdbff, {}, [@IEEE802154_ATTR_LLSEC_DEV_OVERRIDE={0x5, 0x36, 0x1}, @IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_LLSEC_DEV_OVERRIDE={0x5}]}, 0x2c}, 0x1, 0x0, 0x0, 0x4000}, 0x40004) (async) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r2 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r3 = openat$cgroup_procs(r2, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) (async) write$cgroup_pid(r3, &(0x7f0000000280), 0x12) (async) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) (async) r4 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000340)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) r5 = openat$cgroup_subtree(r4, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r5, &(0x7f0000000140), 0x0) 23:42:06 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0x1700) 23:42:06 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) openat$cgroup_int(r0, &(0x7f0000000080)='io.bfq.weight\x00', 0x2, 0x0) (async) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) 23:42:06 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0xc0}], 0x2, 0x0) 23:42:06 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000000140)='\x00\x00', 0x2}, {&(0x7f0000011780)="16", 0x1, 0x2}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0x1f}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000108001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c6673002000"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000000c00)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010200000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f00000011c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000001200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020004475b37000002000000020000000200000002000000020000000200000002000000020000000200000002000ffe5200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000742dafd9f6161cc100200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000070000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100000000000000000000ab00"/1064, 0x428, 0xa800}, {&(0x7f00000129e0)="01000000000000000000000100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000fc0)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b55773950000830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000dce7c1be11c9d29e61759a5db8e1cd15b2f8c56cb74159db9325bb515b81ef738a56af04ef96494168e07a65d65d89fa89630cf8e5dcfedf3137bd76be0fe379470f4af2b59b39b90ab4467daa3f8859ea87d72dcb283d2244cda3b5a18726dba0eac9c00b8f188328cda6260b62f401735f215c48823fe30ef34fbd88b0a3436238"], 0x1) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) r1 = syz_mount_image$exfat(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x8100000, 0xd, &(0x7f0000000200)=[{&(0x7f0000010000)="eb769045584641542020200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000030000000000000000010000000000008000000008000000880000000f00000005000000341234120001000009030180", 0x70}, {&(0x7f0000010100)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x1e0}, {&(0x7f0000010200)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x3e0}, {&(0x7f0000010300)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x5e0}, {&(0x7f0000010400)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x7e0}, {&(0x7f0000000080)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x9e0}, {&(0x7f0000010600)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0xbe0}, {&(0x7f0000010700)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0xde0}, {&(0x7f0000010800)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0xfe0}, {&(0x7f0000010900)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x11e0}, {&(0x7f0000010a00)="00ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac1911", 0x200, 0x1600}, {&(0x7f0000011800)="f8ffffffffffffffffffffff04000000ffffffffffffffff", 0x18, 0x10000}, {&(0x7f0000013100)="03000000000000000000000000000000000000000000000000000000000000008100000000000000000000000000000000000000020000000200000000000000820000000dd319e600000000000000000000000003000000cc", 0x59, 0x14000}], 0x0, &(0x7f0000000380)={[{@iocharset={'iocharset', 0x3d, 'macturkish'}}]}, 0x0) mkdirat(r1, &(0x7f0000000040)='./file0\x00', 0x0) r2 = open$dir(&(0x7f0000000080)='./file0\x00', 0x0, 0x0) utimensat(r2, &(0x7f0000000ac0)='./file0\x00', &(0x7f0000000b40)={{}, {0x0, 0xea60}}, 0x0) r3 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) ioctl$DRM_IOCTL_MODE_DIRTYFB(0xffffffffffffffff, 0xc01864b1, &(0x7f0000000c80)={0x40, 0x3, 0xad, 0x4, &(0x7f0000000c40)=[{0x800, 0xe83, 0x80, 0xcf}, {0x6, 0xfffb, 0x4}, {0x3, 0x2, 0x83d, 0x3}, {0xffff, 0x1, 0xffff, 0x8}]}) r4 = socket(0x10, 0x803, 0x0) sendmsg$NBD_CMD_DISCONNECT(r4, &(0x7f0000000080)={0x0, 0x382, &(0x7f0000000140)={0x0, 0xb7ff}}, 0x0) move_mount(0xffffffffffffff9c, &(0x7f00000007c0)='./file0\x00', r0, &(0x7f0000000bc0)='./file2\x00', 0x41) mkdir(&(0x7f00000001c0)='./file0\x00', 0x0) open(&(0x7f0000000080)='./file0\x00', 0x0, 0x0) r5 = eventfd(0x81) write$eventfd(r5, &(0x7f0000000140)=0xfffffffffffffffa, 0x8) read$eventfd(r5, &(0x7f0000000040), 0x8) getsockname$packet(r4, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) ioctl$BTRFS_IOC_ADD_DEV(r4, 0x5000940a, &(0x7f0000001c40)={{r3}, "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"}) signalfd4(r0, &(0x7f0000000040)={[0x80000000]}, 0x8, 0x800) linkat(r0, &(0x7f0000000e40)='./file0\x00', r3, &(0x7f0000000e80)='./file2\x00', 0x0) 23:42:06 executing program 3: r0 = socket(0x10, 0x803, 0x0) r1 = socket(0x1, 0x803, 0x0) getsockname$packet(r1, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) sendmsg$nl_route(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000300)={&(0x7f0000000240)=@ipv6_newaddr={0x34, 0x14, 0x9535393fea6295b5, 0x0, 0x0, {0xa, 0x0, 0x0, 0x0, r2}, [@IFA_FLAGS={0x8, 0x8, 0x3778bcbaae6b531f}, @IFA_LOCAL={0x14, 0x2, @ipv4}]}, 0x34}}, 0x0) ioctl$sock_inet_tcp_SIOCATMARK(r0, 0x8905, &(0x7f0000000040)) r3 = syz_genetlink_get_family_id$ethtool(&(0x7f00000000c0), r0) r4 = socket(0x10, 0x803, 0x0) r5 = socket(0x1, 0x803, 0x0) getsockname$packet(r5, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) sendmsg$nl_route(r4, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000300)={&(0x7f0000000240)=@ipv6_newaddr={0x34, 0x14, 0x9535393fea6295b5, 0x0, 0x0, {0xa, 0x0, 0x0, 0x0, r6}, [@IFA_FLAGS={0x8, 0x8, 0x3778bcbaae6b531f}, @IFA_LOCAL={0x14, 0x2, @ipv4}]}, 0x34}}, 0x0) sendmsg$ETHTOOL_MSG_COALESCE_GET(r0, &(0x7f0000000180)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x1}, 0xc, &(0x7f0000000140)={&(0x7f0000000340)={0xd4, r3, 0x100, 0x70bd2a, 0x25dfdbfe, {}, [@HEADER={0xc, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}]}, @HEADER={0x58, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth0_to_hsr\x00'}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth0_vlan\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'ip6_vti0\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x3}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r2}]}, @HEADER={0x5c, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x3}, @ETHTOOL_A_HEADER_FLAGS={0x8}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r6}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth0_to_bond\x00'}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'vxcan1\x00'}]}]}, 0xd4}, 0x1, 0x0, 0x0, 0x20000010}, 0xc0) syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) [ 536.984563][T20530] loop0: detected capacity change from 0 to 2048 [ 536.985835][T14700] I/O error, dev loop0, sector 0 op 0x0:(READ) flags 0x80700 phys_seg 1 prio class 2 [ 537.017703][T20534] pit: kvm: requested 6704 ns i8254 timer period limited to 200000 ns [ 537.028775][T14700] I/O error, dev loop0, sector 0 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 537.048944][T14700] Buffer I/O error on dev loop0, logical block 0, async page read 23:42:06 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x300}], 0x2, 0x0) 23:42:06 executing program 3: r0 = socket(0x10, 0x803, 0x0) r1 = socket(0x1, 0x803, 0x0) getsockname$packet(r1, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) sendmsg$nl_route(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000300)={&(0x7f0000000240)=@ipv6_newaddr={0x34, 0x14, 0x9535393fea6295b5, 0x0, 0x0, {0xa, 0x0, 0x0, 0x0, r2}, [@IFA_FLAGS={0x8, 0x8, 0x3778bcbaae6b531f}, @IFA_LOCAL={0x14, 0x2, @ipv4}]}, 0x34}}, 0x0) (async) ioctl$sock_inet_tcp_SIOCATMARK(r0, 0x8905, &(0x7f0000000040)) (async) r3 = syz_genetlink_get_family_id$ethtool(&(0x7f00000000c0), r0) (async) r4 = socket(0x10, 0x803, 0x0) (async) r5 = socket(0x1, 0x803, 0x0) getsockname$packet(r5, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) sendmsg$nl_route(r4, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000300)={&(0x7f0000000240)=@ipv6_newaddr={0x34, 0x14, 0x9535393fea6295b5, 0x0, 0x0, {0xa, 0x0, 0x0, 0x0, r6}, [@IFA_FLAGS={0x8, 0x8, 0x3778bcbaae6b531f}, @IFA_LOCAL={0x14, 0x2, @ipv4}]}, 0x34}}, 0x0) (async) sendmsg$ETHTOOL_MSG_COALESCE_GET(r0, &(0x7f0000000180)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x1}, 0xc, &(0x7f0000000140)={&(0x7f0000000340)={0xd4, r3, 0x100, 0x70bd2a, 0x25dfdbfe, {}, [@HEADER={0xc, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}]}, @HEADER={0x58, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth0_to_hsr\x00'}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth0_vlan\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'ip6_vti0\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x3}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r2}]}, @HEADER={0x5c, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x3}, @ETHTOOL_A_HEADER_FLAGS={0x8}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r6}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth0_to_bond\x00'}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'vxcan1\x00'}]}]}, 0xd4}, 0x1, 0x0, 0x0, 0x20000010}, 0xc0) syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) [ 537.076137][T20536] NILFS (loop0): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 23:42:07 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) socket$inet_tcp(0x2, 0x1, 0x0) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) pipe2$9p(&(0x7f0000000240)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) write$P9_RVERSION(r2, &(0x7f0000000080)=ANY=[@ANYBLOB="1500000065ffff097b00000800395032303030"], 0x15) r3 = dup(r2) r4 = openat$cuse(0xffffffffffffff9c, &(0x7f0000000200), 0x2, 0x0) read$FUSE(r4, &(0x7f0000002640)={0x2020, 0x0, 0x0, 0x0, 0x0}, 0x2020) write$FUSE_DIRENTPLUS(r4, &(0x7f00000000c0)={0xc8, 0x0, r5, [{{}, {0x0, 0x0, 0x1a, 0x0, './binderfs/binder-control\x00'}}]}, 0xc8) write$FUSE_BMAP(r3, &(0x7f0000000100)={0x18, 0x0, r5, {0xfffff7ffffffffff}}, 0x18) ioctl$AUTOFS_DEV_IOCTL_TIMEOUT(r3, 0xc018937a, &(0x7f0000000140)={{0x1, 0x1, 0x18, r0, {0x2}}, './file0\x00'}) openat$cgroup_ro(r7, &(0x7f0000000280)='cpuacct.stat\x00', 0x0, 0x0) write$FUSE_DIRENTPLUS(r3, &(0x7f00000005c0)=ANY=[@ANYBLOB="b000000000000000", @ANYRES64=0x0, @ANYBLOB="00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000b0238ad4c7388039d01a127d608e7800"/108, @ANYRES32=0x0, @ANYRESOCT=r6, @ANYBLOB="000008000000000000000000000000000000f5ffffff00000003400000000000003970000000000000000100d9e5593b679cb2d65f09a668eda4416c67b6e67811131d1af8c05b9f486054a80116b5349dbb3926d97a18a2a5fad3aa779d3169e5f6592c905b805db66318fbe7a15e3a44ce885229c9a6f7e7cf08199c9736282dde3766d1f76d26ca08ed7fb710cfe2fcb16bb33eaea4ca30cec4171aec6fa0815aaf2baabf57689d7f6527e79ac743d29b6a2b72268de3dde430a0470618cd482c412b77a960f9947c2362035ae14216e8980627614097e047f74f3ce977534c9f625b86a60de8c9633a3173e0e9c41a03773813d46547ce08a3f8779083f7ed8ee0685345414cd766d57608d25854ae93d5d67b7e02c267d835db1184ce2cc9f89a8d205e72f311beed82d952d4bf4899491835c886fbe91b0d2cd77345369a99cd03e6a6949ce523aa6313d695a2d485e11d00a60cc636a9abf727d658e5b4e4eb10191b50a2105e0d7323e05d66"], 0xb0) write$FUSE_NOTIFY_RETRIEVE(r3, &(0x7f00000002c0)={0x30, 0x5, 0x0, {0x0, 0x3, 0x8001, 0x6}}, 0x30) openat$cgroup_ro(r3, &(0x7f00000000c0)='cgroup.stat\x00', 0x0, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000080)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) getsockname$packet(0xffffffffffffffff, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) write$binfmt_misc(0xffffffffffffffff, &(0x7f0000000500)={'syz0', "2fa744b02aa2641507ae49a4ce7c442edc0248af44a51286f811081067e8e2025da269adf1e7a31f03ed403587d0c09128865b384892f4b14e354433eb07ebc28d9c381226e3dc78c553f013806dd49e31709434489a3e737a4ce6083762f5ad909a15439d07ce110ad592768e5ac235813820d4debe7b498c9439c25ebddae69492f45ac56c253c8d11d422e12ae68709e5f87716c3d0eaf4cc"}, 0x9e) write$cgroup_subtree(r1, &(0x7f0000000180)=ANY=[@ANYBLOB="2b63706520fc12119805ec01d80d1b99540608202928695d92db471bc272e264fb0bdcb24c6d9109b37f004c254aa2ab87f3cc7598c4604eba9ccb71132c6ae3937342ee518717deafb0330b84145f7e3da5386f539131667bd6bafb056c5925bb83581649b730f72eeace8c3c10da85a52de05b12777047761ababc4de26a82a7cf277106b530b577500bf460ba4e3a403f0ca9c43ca7b09f1c89fdefc41ac3bdc3f98f05e781e619a6478aa49ce24da638f8"], 0x5) 23:42:07 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x500}], 0x2, 0x0) 23:42:07 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0x1800) 23:42:07 executing program 3: r0 = socket(0x10, 0x803, 0x0) (async) r1 = socket(0x1, 0x803, 0x0) getsockname$packet(r1, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) sendmsg$nl_route(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000300)={&(0x7f0000000240)=@ipv6_newaddr={0x34, 0x14, 0x9535393fea6295b5, 0x0, 0x0, {0xa, 0x0, 0x0, 0x0, r2}, [@IFA_FLAGS={0x8, 0x8, 0x3778bcbaae6b531f}, @IFA_LOCAL={0x14, 0x2, @ipv4}]}, 0x34}}, 0x0) ioctl$sock_inet_tcp_SIOCATMARK(r0, 0x8905, &(0x7f0000000040)) (async) r3 = syz_genetlink_get_family_id$ethtool(&(0x7f00000000c0), r0) (async) r4 = socket(0x10, 0x803, 0x0) (async) r5 = socket(0x1, 0x803, 0x0) getsockname$packet(r5, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) sendmsg$nl_route(r4, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000300)={&(0x7f0000000240)=@ipv6_newaddr={0x34, 0x14, 0x9535393fea6295b5, 0x0, 0x0, {0xa, 0x0, 0x0, 0x0, r6}, [@IFA_FLAGS={0x8, 0x8, 0x3778bcbaae6b531f}, @IFA_LOCAL={0x14, 0x2, @ipv4}]}, 0x34}}, 0x0) sendmsg$ETHTOOL_MSG_COALESCE_GET(r0, &(0x7f0000000180)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x1}, 0xc, &(0x7f0000000140)={&(0x7f0000000340)={0xd4, r3, 0x100, 0x70bd2a, 0x25dfdbfe, {}, [@HEADER={0xc, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}]}, @HEADER={0x58, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth0_to_hsr\x00'}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth0_vlan\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'ip6_vti0\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x3}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r2}]}, @HEADER={0x5c, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x3}, @ETHTOOL_A_HEADER_FLAGS={0x8}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r6}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth0_to_bond\x00'}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'vxcan1\x00'}]}]}, 0xd4}, 0x1, 0x0, 0x0, 0x20000010}, 0xc0) (async) syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) 23:42:07 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) socket$inet_tcp(0x2, 0x1, 0x0) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) pipe2$9p(&(0x7f0000000240)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) write$P9_RVERSION(r2, &(0x7f0000000080)=ANY=[@ANYBLOB="1500000065ffff097b00000800395032303030"], 0x15) r3 = dup(r2) r4 = openat$cuse(0xffffffffffffff9c, &(0x7f0000000200), 0x2, 0x0) read$FUSE(r4, &(0x7f0000002640)={0x2020, 0x0, 0x0, 0x0, 0x0}, 0x2020) write$FUSE_DIRENTPLUS(r4, &(0x7f00000000c0)={0xc8, 0x0, r5, [{{}, {0x0, 0x0, 0x1a, 0x0, './binderfs/binder-control\x00'}}]}, 0xc8) write$FUSE_BMAP(r3, &(0x7f0000000100)={0x18, 0x0, r5, {0xfffff7ffffffffff}}, 0x18) ioctl$AUTOFS_DEV_IOCTL_TIMEOUT(r3, 0xc018937a, &(0x7f0000000140)={{0x1, 0x1, 0x18, r0, {0x2}}, './file0\x00'}) openat$cgroup_ro(r7, &(0x7f0000000280)='cpuacct.stat\x00', 0x0, 0x0) write$FUSE_DIRENTPLUS(r3, &(0x7f00000005c0)=ANY=[@ANYBLOB="b000000000000000", @ANYRES64=0x0, @ANYBLOB="00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000b0238ad4c7388039d01a127d608e7800"/108, @ANYRES32=0x0, @ANYRESOCT=r6, @ANYBLOB="000008000000000000000000000000000000f5ffffff00000003400000000000003970000000000000000100d9e5593b679cb2d65f09a668eda4416c67b6e67811131d1af8c05b9f486054a80116b5349dbb3926d97a18a2a5fad3aa779d3169e5f6592c905b805db66318fbe7a15e3a44ce885229c9a6f7e7cf08199c9736282dde3766d1f76d26ca08ed7fb710cfe2fcb16bb33eaea4ca30cec4171aec6fa0815aaf2baabf57689d7f6527e79ac743d29b6a2b72268de3dde430a0470618cd482c412b77a960f9947c2362035ae14216e8980627614097e047f74f3ce977534c9f625b86a60de8c9633a3173e0e9c41a03773813d46547ce08a3f8779083f7ed8ee0685345414cd766d57608d25854ae93d5d67b7e02c267d835db1184ce2cc9f89a8d205e72f311beed82d952d4bf4899491835c886fbe91b0d2cd77345369a99cd03e6a6949ce523aa6313d695a2d485e11d00a60cc636a9abf727d658e5b4e4eb10191b50a2105e0d7323e05d66"], 0xb0) write$FUSE_NOTIFY_RETRIEVE(r3, &(0x7f00000002c0)={0x30, 0x5, 0x0, {0x0, 0x3, 0x8001, 0x6}}, 0x30) openat$cgroup_ro(r3, &(0x7f00000000c0)='cgroup.stat\x00', 0x0, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000080)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) getsockname$packet(0xffffffffffffffff, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) write$binfmt_misc(0xffffffffffffffff, &(0x7f0000000500)={'syz0', "2fa744b02aa2641507ae49a4ce7c442edc0248af44a51286f811081067e8e2025da269adf1e7a31f03ed403587d0c09128865b384892f4b14e354433eb07ebc28d9c381226e3dc78c553f013806dd49e31709434489a3e737a4ce6083762f5ad909a15439d07ce110ad592768e5ac235813820d4debe7b498c9439c25ebddae69492f45ac56c253c8d11d422e12ae68709e5f87716c3d0eaf4cc"}, 0x9e) write$cgroup_subtree(r1, &(0x7f0000000180)=ANY=[@ANYBLOB="2b63706520fc12119805ec01d80d1b99540608202928695d92db471bc272e264fb0bdcb24c6d9109b37f004c254aa2ab87f3cc7598c4604eba9ccb71132c6ae3937342ee518717deafb0330b84145f7e3da5386f539131667bd6bafb056c5925bb83581649b730f72eeace8c3c10da85a52de05b12777047761ababc4de26a82a7cf277106b530b577500bf460ba4e3a403f0ca9c43ca7b09f1c89fdefc41ac3bdc3f98f05e781e619a6478aa49ce24da638f8"], 0x5) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) socket$inet_tcp(0x2, 0x1, 0x0) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) (async) pipe2$9p(&(0x7f0000000240), 0x0) (async) write$P9_RVERSION(r2, &(0x7f0000000080)=ANY=[@ANYBLOB="1500000065ffff097b00000800395032303030"], 0x15) (async) dup(r2) (async) openat$cuse(0xffffffffffffff9c, &(0x7f0000000200), 0x2, 0x0) (async) read$FUSE(r4, &(0x7f0000002640)={0x2020}, 0x2020) (async) write$FUSE_DIRENTPLUS(r4, &(0x7f00000000c0)={0xc8, 0x0, r5, [{{}, {0x0, 0x0, 0x1a, 0x0, './binderfs/binder-control\x00'}}]}, 0xc8) (async) write$FUSE_BMAP(r3, &(0x7f0000000100)={0x18, 0x0, r5, {0xfffff7ffffffffff}}, 0x18) (async) ioctl$AUTOFS_DEV_IOCTL_TIMEOUT(r3, 0xc018937a, &(0x7f0000000140)={{0x1, 0x1, 0x18, r0, {0x2}}, './file0\x00'}) (async) openat$cgroup_ro(r7, &(0x7f0000000280)='cpuacct.stat\x00', 0x0, 0x0) (async) write$FUSE_DIRENTPLUS(r3, &(0x7f00000005c0)=ANY=[@ANYBLOB="b000000000000000", @ANYRES64=0x0, @ANYBLOB="00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000b0238ad4c7388039d01a127d608e7800"/108, @ANYRES32=0x0, @ANYRESOCT=r6, @ANYBLOB="000008000000000000000000000000000000f5ffffff00000003400000000000003970000000000000000100d9e5593b679cb2d65f09a668eda4416c67b6e67811131d1af8c05b9f486054a80116b5349dbb3926d97a18a2a5fad3aa779d3169e5f6592c905b805db66318fbe7a15e3a44ce885229c9a6f7e7cf08199c9736282dde3766d1f76d26ca08ed7fb710cfe2fcb16bb33eaea4ca30cec4171aec6fa0815aaf2baabf57689d7f6527e79ac743d29b6a2b72268de3dde430a0470618cd482c412b77a960f9947c2362035ae14216e8980627614097e047f74f3ce977534c9f625b86a60de8c9633a3173e0e9c41a03773813d46547ce08a3f8779083f7ed8ee0685345414cd766d57608d25854ae93d5d67b7e02c267d835db1184ce2cc9f89a8d205e72f311beed82d952d4bf4899491835c886fbe91b0d2cd77345369a99cd03e6a6949ce523aa6313d695a2d485e11d00a60cc636a9abf727d658e5b4e4eb10191b50a2105e0d7323e05d66"], 0xb0) (async) write$FUSE_NOTIFY_RETRIEVE(r3, &(0x7f00000002c0)={0x30, 0x5, 0x0, {0x0, 0x3, 0x8001, 0x6}}, 0x30) (async) openat$cgroup_ro(r3, &(0x7f00000000c0)='cgroup.stat\x00', 0x0, 0x0) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000080)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) (async) getsockname$packet(0xffffffffffffffff, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) (async) write$binfmt_misc(0xffffffffffffffff, &(0x7f0000000500)={'syz0', "2fa744b02aa2641507ae49a4ce7c442edc0248af44a51286f811081067e8e2025da269adf1e7a31f03ed403587d0c09128865b384892f4b14e354433eb07ebc28d9c381226e3dc78c553f013806dd49e31709434489a3e737a4ce6083762f5ad909a15439d07ce110ad592768e5ac235813820d4debe7b498c9439c25ebddae69492f45ac56c253c8d11d422e12ae68709e5f87716c3d0eaf4cc"}, 0x9e) (async) write$cgroup_subtree(r1, &(0x7f0000000180)=ANY=[@ANYBLOB="2b63706520fc12119805ec01d80d1b99540608202928695d92db471bc272e264fb0bdcb24c6d9109b37f004c254aa2ab87f3cc7598c4604eba9ccb71132c6ae3937342ee518717deafb0330b84145f7e3da5386f539131667bd6bafb056c5925bb83581649b730f72eeace8c3c10da85a52de05b12777047761ababc4de26a82a7cf277106b530b577500bf460ba4e3a403f0ca9c43ca7b09f1c89fdefc41ac3bdc3f98f05e781e619a6478aa49ce24da638f8"], 0x5) (async) 23:42:07 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x600}], 0x2, 0x0) 23:42:07 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000000140)='\x00\x00', 0x2}, {&(0x7f0000011780)="16", 0x1, 0x2}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0x1f}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000108001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c6673002000"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000000c00)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010200000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f00000011c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000001200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020004475b37000002000000020000000200000002000000020000000200000002000000020000000200000002000ffe5200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000742dafd9f6161cc100200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000070000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100000000000000000000ab00"/1064, 0x428, 0xa800}, {&(0x7f00000129e0)="01000000000000000000000100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000fc0)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b55773950000830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000dce7c1be11c9d29e61759a5db8e1cd15b2f8c56cb74159db9325bb515b81ef738a56af04ef96494168e07a65d65d89fa89630cf8e5dcfedf3137bd76be0fe379470f4af2b59b39b90ab4467daa3f8859ea87d72dcb283d2244cda3b5a18726dba0eac9c00b8f188328cda6260b62f401735f215c48823fe30ef34fbd88b0a3436238"], 0x1) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (async) r1 = syz_mount_image$exfat(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x8100000, 0xd, &(0x7f0000000200)=[{&(0x7f0000010000)="eb769045584641542020200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000030000000000000000010000000000008000000008000000880000000f00000005000000341234120001000009030180", 0x70}, {&(0x7f0000010100)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x1e0}, {&(0x7f0000010200)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x3e0}, {&(0x7f0000010300)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x5e0}, {&(0x7f0000010400)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x7e0}, {&(0x7f0000000080)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x9e0}, {&(0x7f0000010600)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0xbe0}, {&(0x7f0000010700)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0xde0}, {&(0x7f0000010800)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0xfe0}, {&(0x7f0000010900)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x11e0}, {&(0x7f0000010a00)="00ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac1911", 0x200, 0x1600}, {&(0x7f0000011800)="f8ffffffffffffffffffffff04000000ffffffffffffffff", 0x18, 0x10000}, {&(0x7f0000013100)="03000000000000000000000000000000000000000000000000000000000000008100000000000000000000000000000000000000020000000200000000000000820000000dd319e600000000000000000000000003000000cc", 0x59, 0x14000}], 0x0, &(0x7f0000000380)={[{@iocharset={'iocharset', 0x3d, 'macturkish'}}]}, 0x0) mkdirat(r1, &(0x7f0000000040)='./file0\x00', 0x0) (async) r2 = open$dir(&(0x7f0000000080)='./file0\x00', 0x0, 0x0) utimensat(r2, &(0x7f0000000ac0)='./file0\x00', &(0x7f0000000b40)={{}, {0x0, 0xea60}}, 0x0) r3 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (async) ioctl$DRM_IOCTL_MODE_DIRTYFB(0xffffffffffffffff, 0xc01864b1, &(0x7f0000000c80)={0x40, 0x3, 0xad, 0x4, &(0x7f0000000c40)=[{0x800, 0xe83, 0x80, 0xcf}, {0x6, 0xfffb, 0x4}, {0x3, 0x2, 0x83d, 0x3}, {0xffff, 0x1, 0xffff, 0x8}]}) (async) r4 = socket(0x10, 0x803, 0x0) sendmsg$NBD_CMD_DISCONNECT(r4, &(0x7f0000000080)={0x0, 0x382, &(0x7f0000000140)={0x0, 0xb7ff}}, 0x0) (async) move_mount(0xffffffffffffff9c, &(0x7f00000007c0)='./file0\x00', r0, &(0x7f0000000bc0)='./file2\x00', 0x41) mkdir(&(0x7f00000001c0)='./file0\x00', 0x0) (async) open(&(0x7f0000000080)='./file0\x00', 0x0, 0x0) (async) r5 = eventfd(0x81) write$eventfd(r5, &(0x7f0000000140)=0xfffffffffffffffa, 0x8) (async) read$eventfd(r5, &(0x7f0000000040), 0x8) getsockname$packet(r4, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) (async) ioctl$BTRFS_IOC_ADD_DEV(r4, 0x5000940a, &(0x7f0000001c40)={{r3}, "f20d324dec287583d2a25c9e692c672a9a718a247a3d5e6252c857ba6856be925e80ea9e37a0b662bc51c0bb9c90b312cd39c9dcbc98bde87bb2d2155addd2e3ba67e8c8a170ca422d84cb875ea7ce244b742d438070f7c7c6155f13d3dc0a3939803e762e4c19f328fbb7235573c5b26fef627326e2fc4fe0c5956962e2e9a3d5275deb0f95cf7921c9a1f66d7cb16408abc968e8ca17af28773ae4545ab9962fd0a3c0f4e223deda882665eba33b92dc7c94e30b22e5c08a3869bce50c9da88432ed7f40a9b711ac934cc2b1368ef54132dc31d67be5fca090ff3f7a63fdf436d17952fdcdc010e392478cf76bfa656956000843a80f472d5c4aba01fd6a59eba16a0ed0a3b07cfb549074d9f01e0df3b8e55657d57d92ca36e6cd6346fea0c24529825bccc92dd5b4f65454aebfdf44845e8a9acdb170d8a79b0646ecf32786d44712da6d135cb5e8141e6e3f18d57d143b1d2728eb1c46bdd6e4def83365b09378c8719a09314fbff744cb6a9547602629ba0b520964bcf7746fcfae95f7d98a6e69da46bae7a400c3f5b6267243df9e4108207078546fbc399313d51ac49981800e3b070d103343f3a575c62bfdb28b3a774b5ebc1f90ea659b2003142817feef8e2e723d38d0f128c6104528f848962e9d19da22ecf8f2e18ff52d2ea1c1fc95da71c91a4a809c996db509ea7c355655082c78252ada778b360e4286923b6ad582f16412957ff669f50fc69cd0c7640e1f9d05b3b301a39ef553aa750c87393be514aef7c6c983fe67e04b7109d3a0472dff76d9767df0a8241d04be0c4584613d9edcf30a34b0d2380df1682dcc14f26b910467c5282047c4ab01800ae3b06227469cacbebfa35b3f114522ced73ab7f205cffc5cf9a7795f9b89fcf3c84bc4615bc2b4c5b57cb3110083c06230a3662a02982f359976161dd2880a2caac867e278ebb2a6d93b43983be2fa6638c6c5017e33cfd4259356c7827f00657df00169c36552e41b470ebb0fe5b68318797215702ac21efe665b8ba8fae8fd20a5813d33e85344b69ae84e0ca691374c8b657ea4897842c2bb81eec76ea01a4dd81649eb4f56ffb300dd76da4ad4630fcf4de0a4d7259dcee9b24e01ac6f2102b5e44ec854165f474d664f2be1a0b73e636a1161faaa9756c8c278cade3fa8db4df345fbbf87bd09c57ca090176a2763458ca5e5ad2320667e6ad335784b54cf3622c20f41816319b99520b4c90e73c3c806b9fdc32e76f4a570bf8610e5e51300bc13bfcffdd210effbce0d5a69407827d55f2e0c328c6c5082fafdf3aa463778b6419716f4e41eae94845dea5e8841e5e68e0b6407f963af2022906f48aaf9b18f369c88641f941dd8213117c7618ba7ab0dbbccc90edb90b7de6aa4dd900d86e34d5c2a12671ec15b11b21a3dc89c61ada2adab3dc300f02efc24266a2bb9cd99a085b299ab85b660f7b5f26c52a6874f61a8a76fe6ea189cfd7aee72ec62fe5d7c52e20ff58ef7afc39329a5b7b73153fe29ea410f5228dd5b7c5a8262b3d43ddcda7b442b34f0367781b45a74fe31551fbd20ea613594fb8be317aaa59138c87e09f743a316d0747a9ad24813b9de55bfc7c2f58206b7aee8cb29d595adfeae1b68f58af8f9f20c510af71ab9855a2ebc8a99457ac2b91419d3cb67afc5da64743b2117673d3f888feb6621765b29e34745ffdb1d0566723f86def54138a30d2d2bb722c69cbfee3268b33d20e5b1a4940598e45ec70d7c73ab2e0a8fac4f0806cf70917f8a8fa1b7612b070d3373b1e6e58b60acdd35df2d9abc47e8900344268e605216ff448869df1f8da3f27ecf18d45587bf3fdc7de276b657e943a7f113c7fa8b8a2ef9d4abe331718b66d414cda715000e5cea8258bd7b27c55d396e86e77c2ff8aa3b77e9010e91cfed1852e26553be06e6b6defee622cea74d147a406e13209d62120bd5d5b77ab974df186d552e94118cc91e76270af34bc89d2c1e14de223dd06d07d9c96ce014f917100f15138ce1c0bc6bd62f4a9ff506799f297c11564e3f3f59a5313df3caf4527f49225e427de5a813be0737bbf0ad7eecf289c662f4d69a8627099451b8093f5d0bf1592d176c0c7e74424e985020a2c46363026bf54ec3db8acd156f83b681ae371302fdfecf0c899fab0c723f86eab548fcda707e5b72ba3c18f84489cd77924f8b9559b5c61f6719d928c9865481b46ba039751bdc17feaff93e17e391eeb4addf9008671ae6d83e50c0c17f124a2170f7b97c1fcfb7fb3573fb43c3270adc1c3224402fe8d8379b106c3a4a9c87b9d894ffff44bc47ad93f15374742f9d7623e7ddfa0bb6fe1086c448a4dcb8d25b6b4f652423083c8db29fccc1ab7c1c9c560956ee7466adc884334cce94e836f4eb8a5a3ec1d1f734ed8b89bf9d265c985d4a66738ce33acb3cbcdbe28402bcd088416502594e5922ad90504b74ce023eb4b57f4969ac84835251df2afeb6b85bc5007b51270a795e896b5c071eab4f5e4efca97c2eae63dcae5635c4345e1bf6ea592a693b3e589c7506cd82cd696932fa47d7f776e5cdb2401b0d397d2ddba4bd06ae5cf575b451cfcc1648af38f916ba0485973c29598aaadd04cdc4339af5841d710f93f6bc9e396a58cef5f3c33006fc57655a54aee595f1bbe3d4c76b316a7c843b3f74714217ba9d0f2cc86ba08d2d1cbf3e20392a244a493cb71c20b03d1d726829058baaf4b735d40ab1cc9f02804f73800d8b944b2dc7921ee5eba283d3384e98afdd97c992c41bbcac3a185a3e49602578b0f51b0f5a300cb2a19c07716b04d59fee10a1f4379557631b2926b7d5778e236ccf49b8849c1412fb215292d7bd19d6b35d4cbe31f32316c6c770d88d5c12e3754f8dd30b520e974b79da6053f7bb6d07080dec4900b986dd6418499ca84ee35117a4adc1bd2f4b555cbbc1aacccd106bf5bcd46cc543bc8ae6961734c5c902f428931d65676951fc5c7abc6f7ae5ff04e358bf4c888445e2d108861b6fdfa0805b4a45dc9754e0a80b2ce06cd6c0cc0eebfd8e3544b14b5abbbc00d53a015fdb8a1b3f842463ae7cd640c6a2379b551fe92462612ccea6d1cd8fcd26d9820fddc9fbb479b5e654d23d97bbfd6f433709c00846718ab929c207d5a813683771e779a735cd0fb7446612ad593d8d1d6d2644e66e83cf5fa928c484e56b77bad3b074d4ea98144d29bf86798bdbf97fcab01f6f1679301930ae512ba9814c23c79e3790998dc6dc5a0bb252cb174e2e0a1862db1692a9796a30a8c341d3e0daf63944c5e9cece02765766a67d4bf5f6a806ffa7f5f8358c328909123b0a13795194bd469bff6b7cfb1750e611695ccf42607d94f0258312b8b04b5923399d2849dbb3398d8481e6a03ccc2b33ef56ad383df56117c8a900be12a25304d7e3bb8e7e4f060ac7b3728df018c26a5ccbafb5b0ab563288345c5cc436dac55ddf60f5dfc9c62830255f689c56eb00ddb9d03fae173453826fa8a985d939ee2157c4f21c9fb2c32a4552255a2c8359e353dca8b4fe344545f9d723e4f340c9197bad57974b8e2fab3beb805a65e2f64d947c457ea42c0abea3c519c9c107c9ff5b070b5efb4d36a8981604d3312463f71afb005e68ca55b44a73177365f09b2c3cc7dfff337135479f5c1a0737192f45a87fc906db39fc7e25321ea07d1e7bf5d91863784fb72c910c488854dc9ce9f824e92dafe17c788f238243c28828736e7c3f4492ee51a042331b5e318bacac1bf50b3051e99f828d3d08c4045415c7db3e39ff4fe1a323d5f8f5561ace64fdd0a5f327833b2473d00b6ffc738630f62f26cea4de44c8bb99f18559b93ef34a3f43de65d8378913b1b56b413aa5dc0670bd5a519af85d10ebd74f50b4b1b9a6c5e123d2dd1a2de808dc91ade0172c5994e5b2b833cf3eb969a8a754f925bae301b5d0cd40bbadf05c79e2bd4de93308d0d0a45a0f7958a5bfa4f89756607c0438429310cc6741bec162c1d80ca8d938cb2f8ce37a069e97646c8ed92a20bf2d2849a9ed24e6a1f504a74984df8b1d85a6007c2f3ddbc5d210cb4ff1a51908f97574a3c982e866305cab3df6da7f851d351beb8765407a093e6c7d8ef515533fc29c8658a76d05e449bc9e4c06f5cc7f8cf6ec457417d26e5090b45f2aabd261bdd2e73ffef7d79b59622a7f5f2d096f104af787e5cad723df4c06874c5a989952ff682f217235ed3faca936a412622de7688cba1812c50d949755a70e65d8cc5d1ef59747fc1025b9eb9561f308b691898170a222e425f59b7a6a81c5a2818925449b63638a01c6edc6056c8bd4ea18c261aaaf9606e4de15bbe17f1338ea11c2a10f527143cddb14674d7d70904f9d5cfc34b0b07f2a6c0414871c049002bd8ca8d840e1ae4be3913c8f72cd6aead8a9d6a04138b3164c31f027a3eac1826a1a2346fbd46ae58375d5e518b2ace208557c8002c4cf1f0ec972af1128ffe27d38311c49f02f952f53b183f0f3e5b07f7c897c868b19a24c84a5d7a583202412280cbff320ae13519482af54dc4827c73ad6355f8fb52368a8a1ea7e5cfc160293fa77a66961426646628fc90f75cd06159243fa1d731378215b0adac150bf7d23f398dea3b894524b9c5b5afb242db40a971d4578ea1fe51dae41b02f580687377da8f4dd4658f5000a77dd08f599b1aff4e87aa72c469bb8731fbeaa7f1e2a5ba1c682e7201a959481fd1c24f03f5bce1cf2aa4bce54b8b363b8022f2a37147f7fcf12cadd67334995c1683331ea96a33e610b102068b58c39918997874eeb8d79a3e1fce6a372c614ae748c1eca533bbe7d56742c0fa5286e6d3c782eb11b4be98c4d69068ee0708a4bbcb90138aeadc414964f8ec7fa9be28bad11b313d8c92677a06c2d0fa7f3cd2ab630a1a5cb13a43cfdcee580241ce373546de5429c3cde9fb848f6e68014e698c5fee2194c1e7f82dce6e36b80d3c3f084de63b590a29ceaeb6723585c34bfa711d08dd04c740f380df57626e5e3fffc8605ce85eb87f2d71c3c50867947482ea1dd39c717d12e058ebeb46937410791c438a08febb118240aeddd19854761a9c4d10cac0592e85bdf94ac2dae2dea280c82fdbc9c7ac4b439a65bf30da448c5ab0be5bcc096f022f1f7efd303aeb317870ab5bb0fda3ea2c49611e7557b91a51c5d829f114d37293ae8e0c5b92ea894c2ad772f8df44b11ee9a4208240c76ecdecdc8cc0d177f993dcc947392f5f8a74f4cbcbfc4578604f6459b7259372b555818fb8bbcaf6a67b053837a40981b701578b20bbfef599f1067b469f5fa02fb260150ee9818bce164886705aff3c4f7c0c4e060527d3ac9720c7c12be3256b4e46a8fe232191e2376040a6fdc020b642b31f5a0f858330258bb09c7ba689253d8cf2c95bfc1bd5266d160b82e1a32c78a9df43b5b1148d46ef0011baf99d1d1a13a06a6a57ef512427d00553be1513c6b15908ad0f92ac7ff9829ff794b89442430afb2c73398092d24c64011bce6f9f403116cf43e3ee400374fe4d30e25911f7ca3676b04c2b949f1dcad9fc99b504f9c7cacfbb313ba105a0276593a2cc4b9b2ecd2feaae7f55dbdeefbbb88c5781b0904dc4c8de792631a34c8bc28b660da8136536203b8921417ad51fe92c8b4ecdf67e71936524b6496f6f54055468ffd334305477e6cb0143d77599164683e7455b36b3981a50a4b6a1648763a3e80b1c2fd8f0d1692d11901d477aea1bd04c5977d68ab5991bf8fa313b263aa2dc194ccd7c0b39d9531"}) signalfd4(r0, &(0x7f0000000040)={[0x80000000]}, 0x8, 0x800) (async) linkat(r0, &(0x7f0000000e40)='./file0\x00', r3, &(0x7f0000000e80)='./file2\x00', 0x0) 23:42:07 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc8, 0xce}, "929fa7902809f4a222816df6e01e969163b15968154ca6193a1100f868c7bb580bc8bf88e8a291a9144ac3a120dbd57b8417db5988126e8a1311ce3050e8d0fa4f85892983f26b2b05084ec7d9315c37c2ba8f4fa953b1eb2892dc3125df00e6a979607a93ed0a0b9d9ceadcc3f4ee905d37ea9ad9e96306e7f27c98333270f5f42f99b76dc4dd629276523352cf621d747e06c934c0bde12f762bbd5c1b67cc0ef9968751a2556101d5055102fe9335f0825df891c48b7368b97210bab263d828a7d2e9d0572cda85a33ce6ab34"}, 0xd2) 23:42:07 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x700}], 0x2, 0x0) [ 537.269003][T20549] pit: kvm: requested 6704 ns i8254 timer period limited to 200000 ns [ 537.292354][T20576] loop0: detected capacity change from 0 to 2048 23:42:07 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) socket$inet_tcp(0x2, 0x1, 0x0) (async) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) pipe2$9p(&(0x7f0000000240)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) write$P9_RVERSION(r2, &(0x7f0000000080)=ANY=[@ANYBLOB="1500000065ffff097b00000800395032303030"], 0x15) r3 = dup(r2) (async) r4 = openat$cuse(0xffffffffffffff9c, &(0x7f0000000200), 0x2, 0x0) read$FUSE(r4, &(0x7f0000002640)={0x2020, 0x0, 0x0, 0x0, 0x0}, 0x2020) write$FUSE_DIRENTPLUS(r4, &(0x7f00000000c0)={0xc8, 0x0, r5, [{{}, {0x0, 0x0, 0x1a, 0x0, './binderfs/binder-control\x00'}}]}, 0xc8) write$FUSE_BMAP(r3, &(0x7f0000000100)={0x18, 0x0, r5, {0xfffff7ffffffffff}}, 0x18) (async) ioctl$AUTOFS_DEV_IOCTL_TIMEOUT(r3, 0xc018937a, &(0x7f0000000140)={{0x1, 0x1, 0x18, r0, {0x2}}, './file0\x00'}) openat$cgroup_ro(r7, &(0x7f0000000280)='cpuacct.stat\x00', 0x0, 0x0) write$FUSE_DIRENTPLUS(r3, &(0x7f00000005c0)=ANY=[@ANYBLOB="b000000000000000", @ANYRES64=0x0, @ANYBLOB="00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000b0238ad4c7388039d01a127d608e7800"/108, @ANYRES32=0x0, @ANYRESOCT=r6, @ANYBLOB="000008000000000000000000000000000000f5ffffff00000003400000000000003970000000000000000100d9e5593b679cb2d65f09a668eda4416c67b6e67811131d1af8c05b9f486054a80116b5349dbb3926d97a18a2a5fad3aa779d3169e5f6592c905b805db66318fbe7a15e3a44ce885229c9a6f7e7cf08199c9736282dde3766d1f76d26ca08ed7fb710cfe2fcb16bb33eaea4ca30cec4171aec6fa0815aaf2baabf57689d7f6527e79ac743d29b6a2b72268de3dde430a0470618cd482c412b77a960f9947c2362035ae14216e8980627614097e047f74f3ce977534c9f625b86a60de8c9633a3173e0e9c41a03773813d46547ce08a3f8779083f7ed8ee0685345414cd766d57608d25854ae93d5d67b7e02c267d835db1184ce2cc9f89a8d205e72f311beed82d952d4bf4899491835c886fbe91b0d2cd77345369a99cd03e6a6949ce523aa6313d695a2d485e11d00a60cc636a9abf727d658e5b4e4eb10191b50a2105e0d7323e05d66"], 0xb0) write$FUSE_NOTIFY_RETRIEVE(r3, &(0x7f00000002c0)={0x30, 0x5, 0x0, {0x0, 0x3, 0x8001, 0x6}}, 0x30) openat$cgroup_ro(r3, &(0x7f00000000c0)='cgroup.stat\x00', 0x0, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000080)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) (async) getsockname$packet(0xffffffffffffffff, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) write$binfmt_misc(0xffffffffffffffff, &(0x7f0000000500)={'syz0', "2fa744b02aa2641507ae49a4ce7c442edc0248af44a51286f811081067e8e2025da269adf1e7a31f03ed403587d0c09128865b384892f4b14e354433eb07ebc28d9c381226e3dc78c553f013806dd49e31709434489a3e737a4ce6083762f5ad909a15439d07ce110ad592768e5ac235813820d4debe7b498c9439c25ebddae69492f45ac56c253c8d11d422e12ae68709e5f87716c3d0eaf4cc"}, 0x9e) (async) write$cgroup_subtree(r1, &(0x7f0000000180)=ANY=[@ANYBLOB="2b63706520fc12119805ec01d80d1b99540608202928695d92db471bc272e264fb0bdcb24c6d9109b37f004c254aa2ab87f3cc7598c4604eba9ccb71132c6ae3937342ee518717deafb0330b84145f7e3da5386f539131667bd6bafb056c5925bb83581649b730f72eeace8c3c10da85a52de05b12777047761ababc4de26a82a7cf277106b530b577500bf460ba4e3a403f0ca9c43ca7b09f1c89fdefc41ac3bdc3f98f05e781e619a6478aa49ce24da638f8"], 0x5) 23:42:07 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0x1900) 23:42:07 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc8, 0xce}, "929fa7902809f4a222816df6e01e969163b15968154ca6193a1100f868c7bb580bc8bf88e8a291a9144ac3a120dbd57b8417db5988126e8a1311ce3050e8d0fa4f85892983f26b2b05084ec7d9315c37c2ba8f4fa953b1eb2892dc3125df00e6a979607a93ed0a0b9d9ceadcc3f4ee905d37ea9ad9e96306e7f27c98333270f5f42f99b76dc4dd629276523352cf621d747e06c934c0bde12f762bbd5c1b67cc0ef9968751a2556101d5055102fe9335f0825df891c48b7368b97210bab263d828a7d2e9d0572cda85a33ce6ab34"}, 0xd2) syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) (async) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc8, 0xce}, "929fa7902809f4a222816df6e01e969163b15968154ca6193a1100f868c7bb580bc8bf88e8a291a9144ac3a120dbd57b8417db5988126e8a1311ce3050e8d0fa4f85892983f26b2b05084ec7d9315c37c2ba8f4fa953b1eb2892dc3125df00e6a979607a93ed0a0b9d9ceadcc3f4ee905d37ea9ad9e96306e7f27c98333270f5f42f99b76dc4dd629276523352cf621d747e06c934c0bde12f762bbd5c1b67cc0ef9968751a2556101d5055102fe9335f0825df891c48b7368b97210bab263d828a7d2e9d0572cda85a33ce6ab34"}, 0xd2) (async) 23:42:07 executing program 5: getsockname$packet(0xffffffffffffffff, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) ioctl$F2FS_IOC_START_VOLATILE_WRITE(0xffffffffffffffff, 0xf503, 0x0) r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000005c0)={&(0x7f0000000540)=ANY=[@ANYRESDEC, @ANYRES16=r0, @ANYBLOB="00042abd7000ffdbdf252a00080208000200", @ANYRES32=0x0, @ANYBLOB="0a0001007770616e3400000005003700000000000c00050000000000000000000c0005000203aaaaaaaaaaaa08002f0002000000"], 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) sendmsg$IEEE802154_LLSEC_ADD_SECLEVEL(0xffffffffffffffff, &(0x7f0000000500)={&(0x7f0000000440)={0x10, 0x0, 0x0, 0xc000}, 0xc, &(0x7f00000004c0)={&(0x7f00000002c0)=ANY=[@ANYBLOB="1c000000", @ANYRES16=0x0, @ANYBLOB="00022abd7000fc7d000000002bcb03aed618101be3b56e14d86dfed200"/39], 0x1c}, 0x1, 0x0, 0x0, 0x80}, 0x800) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00', 0x0}, 0x30) r4 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r5 = openat$cgroup_subtree(r4, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r5, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) r6 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r7 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r8 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r9 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), r8) sendmsg$IEEE802154_LLSEC_DEL_KEY(r7, &(0x7f0000000640)={0x0, 0x0, &(0x7f0000000480)={&(0x7f00000001c0)=ANY=[@ANYRESOCT=r3], 0x14}}, 0x0) sendmsg$IEEE802154_LLSEC_LIST_SECLEVEL(r6, &(0x7f0000000400)={&(0x7f0000000340)={0x10, 0x0, 0x0, 0x200000}, 0xc, &(0x7f00000003c0)={&(0x7f0000000380)={0x14, r9, 0x4, 0x70bd28, 0x25dfdbff, {}, ["", "", "", "", "", "", "", "", ""]}, 0x14}, 0x1, 0x0, 0x0, 0x4008840}, 0x8048040) 23:42:07 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x1100}], 0x2, 0x0) 23:42:07 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000000140)='\x00\x00', 0x2}, {&(0x7f0000011780)="16", 0x1, 0x2}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0x1f}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000108001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c6673002000"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000000c00)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010200000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f00000011c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000001200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020004475b37000002000000020000000200000002000000020000000200000002000000020000000200000002000ffe5200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000742dafd9f6161cc100200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000070000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100000000000000000000ab00"/1064, 0x428, 0xa800}, {&(0x7f00000129e0)="01000000000000000000000100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000fc0)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b55773950000830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000dce7c1be11c9d29e61759a5db8e1cd15b2f8c56cb74159db9325bb515b81ef738a56af04ef96494168e07a65d65d89fa89630cf8e5dcfedf3137bd76be0fe379470f4af2b59b39b90ab4467daa3f8859ea87d72dcb283d2244cda3b5a18726dba0eac9c00b8f188328cda6260b62f401735f215c48823fe30ef34fbd88b0a3436238"], 0x1) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) r1 = syz_mount_image$exfat(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x8100000, 0xd, &(0x7f0000000200)=[{&(0x7f0000010000)="eb769045584641542020200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000030000000000000000010000000000008000000008000000880000000f00000005000000341234120001000009030180", 0x70}, {&(0x7f0000010100)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x1e0}, {&(0x7f0000010200)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x3e0}, {&(0x7f0000010300)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x5e0}, {&(0x7f0000010400)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x7e0}, {&(0x7f0000000080)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x9e0}, {&(0x7f0000010600)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0xbe0}, {&(0x7f0000010700)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0xde0}, {&(0x7f0000010800)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0xfe0}, {&(0x7f0000010900)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x11e0}, {&(0x7f0000010a00)="00ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac1911", 0x200, 0x1600}, {&(0x7f0000011800)="f8ffffffffffffffffffffff04000000ffffffffffffffff", 0x18, 0x10000}, {&(0x7f0000013100)="03000000000000000000000000000000000000000000000000000000000000008100000000000000000000000000000000000000020000000200000000000000820000000dd319e600000000000000000000000003000000cc", 0x59, 0x14000}], 0x0, &(0x7f0000000380)={[{@iocharset={'iocharset', 0x3d, 'macturkish'}}]}, 0x0) mkdirat(r1, &(0x7f0000000040)='./file0\x00', 0x0) r2 = open$dir(&(0x7f0000000080)='./file0\x00', 0x0, 0x0) utimensat(r2, &(0x7f0000000ac0)='./file0\x00', &(0x7f0000000b40)={{}, {0x0, 0xea60}}, 0x0) r3 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) ioctl$DRM_IOCTL_MODE_DIRTYFB(0xffffffffffffffff, 0xc01864b1, &(0x7f0000000c80)={0x40, 0x3, 0xad, 0x4, &(0x7f0000000c40)=[{0x800, 0xe83, 0x80, 0xcf}, {0x6, 0xfffb, 0x4}, {0x3, 0x2, 0x83d, 0x3}, {0xffff, 0x1, 0xffff, 0x8}]}) r4 = socket(0x10, 0x803, 0x0) sendmsg$NBD_CMD_DISCONNECT(r4, &(0x7f0000000080)={0x0, 0x382, &(0x7f0000000140)={0x0, 0xb7ff}}, 0x0) move_mount(0xffffffffffffff9c, &(0x7f00000007c0)='./file0\x00', r0, &(0x7f0000000bc0)='./file2\x00', 0x41) mkdir(&(0x7f00000001c0)='./file0\x00', 0x0) open(&(0x7f0000000080)='./file0\x00', 0x0, 0x0) r5 = eventfd(0x81) write$eventfd(r5, &(0x7f0000000140)=0xfffffffffffffffa, 0x8) read$eventfd(r5, &(0x7f0000000040), 0x8) getsockname$packet(r4, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) ioctl$BTRFS_IOC_ADD_DEV(r4, 0x5000940a, &(0x7f0000001c40)={{r3}, "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"}) signalfd4(r0, &(0x7f0000000040)={[0x80000000]}, 0x8, 0x800) linkat(r0, &(0x7f0000000e40)='./file0\x00', r3, &(0x7f0000000e80)='./file2\x00', 0x0) syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000000140)='\x00\x00', 0x2}, {&(0x7f0000011780)="16", 0x1, 0x2}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0x1f}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000108001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c6673002000"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000000c00)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010200000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f00000011c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000001200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020004475b37000002000000020000000200000002000000020000000200000002000000020000000200000002000ffe5200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000742dafd9f6161cc100200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000070000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100000000000000000000ab00"/1064, 0x428, 0xa800}, {&(0x7f00000129e0)="01000000000000000000000100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000fc0)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b55773950000830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000dce7c1be11c9d29e61759a5db8e1cd15b2f8c56cb74159db9325bb515b81ef738a56af04ef96494168e07a65d65d89fa89630cf8e5dcfedf3137bd76be0fe379470f4af2b59b39b90ab4467daa3f8859ea87d72dcb283d2244cda3b5a18726dba0eac9c00b8f188328cda6260b62f401735f215c48823fe30ef34fbd88b0a3436238"], 0x1) (async) openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (async) syz_mount_image$exfat(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x8100000, 0xd, &(0x7f0000000200)=[{&(0x7f0000010000)="eb769045584641542020200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000030000000000000000010000000000008000000008000000880000000f00000005000000341234120001000009030180", 0x70}, {&(0x7f0000010100)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x1e0}, {&(0x7f0000010200)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x3e0}, {&(0x7f0000010300)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x5e0}, {&(0x7f0000010400)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x7e0}, {&(0x7f0000000080)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x9e0}, {&(0x7f0000010600)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0xbe0}, {&(0x7f0000010700)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0xde0}, {&(0x7f0000010800)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0xfe0}, {&(0x7f0000010900)="00000000000000000000000000000000000000000000000000000000000055aa", 0x20, 0x11e0}, {&(0x7f0000010a00)="00ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac191100ac1911", 0x200, 0x1600}, {&(0x7f0000011800)="f8ffffffffffffffffffffff04000000ffffffffffffffff", 0x18, 0x10000}, {&(0x7f0000013100)="03000000000000000000000000000000000000000000000000000000000000008100000000000000000000000000000000000000020000000200000000000000820000000dd319e600000000000000000000000003000000cc", 0x59, 0x14000}], 0x0, &(0x7f0000000380)={[{@iocharset={'iocharset', 0x3d, 'macturkish'}}]}, 0x0) (async) mkdirat(r1, &(0x7f0000000040)='./file0\x00', 0x0) (async) open$dir(&(0x7f0000000080)='./file0\x00', 0x0, 0x0) (async) utimensat(r2, &(0x7f0000000ac0)='./file0\x00', &(0x7f0000000b40)={{}, {0x0, 0xea60}}, 0x0) (async) openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (async) ioctl$DRM_IOCTL_MODE_DIRTYFB(0xffffffffffffffff, 0xc01864b1, &(0x7f0000000c80)={0x40, 0x3, 0xad, 0x4, &(0x7f0000000c40)=[{0x800, 0xe83, 0x80, 0xcf}, {0x6, 0xfffb, 0x4}, {0x3, 0x2, 0x83d, 0x3}, {0xffff, 0x1, 0xffff, 0x8}]}) (async) socket(0x10, 0x803, 0x0) (async) sendmsg$NBD_CMD_DISCONNECT(r4, &(0x7f0000000080)={0x0, 0x382, &(0x7f0000000140)={0x0, 0xb7ff}}, 0x0) (async) move_mount(0xffffffffffffff9c, &(0x7f00000007c0)='./file0\x00', r0, &(0x7f0000000bc0)='./file2\x00', 0x41) (async) mkdir(&(0x7f00000001c0)='./file0\x00', 0x0) (async) open(&(0x7f0000000080)='./file0\x00', 0x0, 0x0) (async) eventfd(0x81) (async) write$eventfd(r5, &(0x7f0000000140)=0xfffffffffffffffa, 0x8) (async) read$eventfd(r5, &(0x7f0000000040), 0x8) (async) getsockname$packet(r4, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) (async) ioctl$BTRFS_IOC_ADD_DEV(r4, 0x5000940a, &(0x7f0000001c40)={{r3}, "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"}) (async) signalfd4(r0, &(0x7f0000000040)={[0x80000000]}, 0x8, 0x800) (async) linkat(r0, &(0x7f0000000e40)='./file0\x00', r3, &(0x7f0000000e80)='./file2\x00', 0x0) (async) 23:42:07 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$binder_debug(0xffffffffffffff9c, &(0x7f00000000c0)='/sys/kernel/debug/binder/transactions\x00', 0x0, 0x0) openat$cgroup_ro(r1, &(0x7f0000000100)='freezer.state\x00', 0x0, 0x0) r2 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r2, &(0x7f0000000080)=ANY=[@ANYBLOB="2b667265657a6572202b6e657420ad72646d61202d667265657a6572202d6e65745f7072696f202d6e6574202d6370756163637420006e657420"], 0x21) [ 537.392293][T20581] NILFS (loop0): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 23:42:07 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x3200}], 0x2, 0x0) 23:42:07 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc8, 0xce}, "929fa7902809f4a222816df6e01e969163b15968154ca6193a1100f868c7bb580bc8bf88e8a291a9144ac3a120dbd57b8417db5988126e8a1311ce3050e8d0fa4f85892983f26b2b05084ec7d9315c37c2ba8f4fa953b1eb2892dc3125df00e6a979607a93ed0a0b9d9ceadcc3f4ee905d37ea9ad9e96306e7f27c98333270f5f42f99b76dc4dd629276523352cf621d747e06c934c0bde12f762bbd5c1b67cc0ef9968751a2556101d5055102fe9335f0825df891c48b7368b97210bab263d828a7d2e9d0572cda85a33ce6ab34"}, 0xd2) 23:42:07 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) r1 = openat$binder_debug(0xffffffffffffff9c, &(0x7f00000000c0)='/sys/kernel/debug/binder/transactions\x00', 0x0, 0x0) openat$cgroup_ro(r1, &(0x7f0000000100)='freezer.state\x00', 0x0, 0x0) (async) r2 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r2, &(0x7f0000000080)=ANY=[@ANYBLOB="2b667265657a6572202b6e657420ad72646d61202d667265657a6572202d6e65745f7072696f202d6e6574202d6370756163637420006e657420"], 0x21) 23:42:07 executing program 5: getsockname$packet(0xffffffffffffffff, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) (async) ioctl$F2FS_IOC_START_VOLATILE_WRITE(0xffffffffffffffff, 0xf503, 0x0) r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000005c0)={&(0x7f0000000540)=ANY=[@ANYRESDEC, @ANYRES16=r0, @ANYBLOB="00042abd7000ffdbdf252a00080208000200", @ANYRES32=0x0, @ANYBLOB="0a0001007770616e3400000005003700000000000c00050000000000000000000c0005000203aaaaaaaaaaaa08002f0002000000"], 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) (async) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) (async, rerun: 32) sendmsg$IEEE802154_LLSEC_ADD_SECLEVEL(0xffffffffffffffff, &(0x7f0000000500)={&(0x7f0000000440)={0x10, 0x0, 0x0, 0xc000}, 0xc, &(0x7f00000004c0)={&(0x7f00000002c0)=ANY=[@ANYBLOB="1c000000", @ANYRES16=0x0, @ANYBLOB="00022abd7000fc7d000000002bcb03aed618101be3b56e14d86dfed200"/39], 0x1c}, 0x1, 0x0, 0x0, 0x80}, 0x800) (rerun: 32) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00', 0x0}, 0x30) r4 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r5 = openat$cgroup_subtree(r4, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r5, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) r6 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r7 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) (async) r8 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r9 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), r8) sendmsg$IEEE802154_LLSEC_DEL_KEY(r7, &(0x7f0000000640)={0x0, 0x0, &(0x7f0000000480)={&(0x7f00000001c0)=ANY=[@ANYRESOCT=r3], 0x14}}, 0x0) sendmsg$IEEE802154_LLSEC_LIST_SECLEVEL(r6, &(0x7f0000000400)={&(0x7f0000000340)={0x10, 0x0, 0x0, 0x200000}, 0xc, &(0x7f00000003c0)={&(0x7f0000000380)={0x14, r9, 0x4, 0x70bd28, 0x25dfdbff, {}, ["", "", "", "", "", "", "", "", ""]}, 0x14}, 0x1, 0x0, 0x0, 0x4008840}, 0x8048040) [ 537.567050][T20606] loop0: detected capacity change from 0 to 2048 23:42:07 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x3e80}], 0x2, 0x0) 23:42:07 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0x1a00) 23:42:07 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$binder_debug(0xffffffffffffff9c, &(0x7f00000000c0)='/sys/kernel/debug/binder/transactions\x00', 0x0, 0x0) openat$cgroup_ro(r1, &(0x7f0000000100)='freezer.state\x00', 0x0, 0x0) r2 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r2, &(0x7f0000000080)=ANY=[@ANYBLOB="2b667265657a6572202b6e657420ad72646d61202d667265657a6572202d6e65745f7072696f202d6e6574202d6370756163637420006e657420"], 0x21) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) openat$binder_debug(0xffffffffffffff9c, &(0x7f00000000c0)='/sys/kernel/debug/binder/transactions\x00', 0x0, 0x0) (async) openat$cgroup_ro(r1, &(0x7f0000000100)='freezer.state\x00', 0x0, 0x0) (async) openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) (async) write$cgroup_subtree(r2, &(0x7f0000000080)=ANY=[@ANYBLOB="2b667265657a6572202b6e657420ad72646d61202d667265657a6572202d6e65745f7072696f202d6e6574202d6370756163637420006e657420"], 0x21) (async) 23:42:07 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0x57}, "1306005409cbeff03e71f54184be157c28c603b7784f3c1b7156f0d55cb28e8119a7ef7beca7cec4e2aebc8de9219d5bda18ccf11ce379ce784004094080f7b813d18190e5a0e84eac74a74192295e9746889a9f39f5b7"}, 0x5b) 23:42:07 executing program 5: getsockname$packet(0xffffffffffffffff, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) ioctl$F2FS_IOC_START_VOLATILE_WRITE(0xffffffffffffffff, 0xf503, 0x0) r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000005c0)={&(0x7f0000000540)=ANY=[@ANYRESDEC, @ANYRES16=r0, @ANYBLOB="00042abd7000ffdbdf252a00080208000200", @ANYRES32=0x0, @ANYBLOB="0a0001007770616e3400000005003700000000000c00050000000000000000000c0005000203aaaaaaaaaaaa08002f0002000000"], 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async, rerun: 32) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (rerun: 32) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) (async) sendmsg$IEEE802154_LLSEC_ADD_SECLEVEL(0xffffffffffffffff, &(0x7f0000000500)={&(0x7f0000000440)={0x10, 0x0, 0x0, 0xc000}, 0xc, &(0x7f00000004c0)={&(0x7f00000002c0)=ANY=[@ANYBLOB="1c000000", @ANYRES16=0x0, @ANYBLOB="00022abd7000fc7d000000002bcb03aed618101be3b56e14d86dfed200"/39], 0x1c}, 0x1, 0x0, 0x0, 0x80}, 0x800) (async) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00', 0x0}, 0x30) r4 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r5 = openat$cgroup_subtree(r4, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r5, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) (async) r6 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) (async, rerun: 64) r7 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) (async, rerun: 64) r8 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r9 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), r8) sendmsg$IEEE802154_LLSEC_DEL_KEY(r7, &(0x7f0000000640)={0x0, 0x0, &(0x7f0000000480)={&(0x7f00000001c0)=ANY=[@ANYRESOCT=r3], 0x14}}, 0x0) (async) sendmsg$IEEE802154_LLSEC_LIST_SECLEVEL(r6, &(0x7f0000000400)={&(0x7f0000000340)={0x10, 0x0, 0x0, 0x200000}, 0xc, &(0x7f00000003c0)={&(0x7f0000000380)={0x14, r9, 0x4, 0x70bd28, 0x25dfdbff, {}, ["", "", "", "", "", "", "", "", ""]}, 0x14}, 0x1, 0x0, 0x0, 0x4008840}, 0x8048040) [ 537.640497][T20612] NILFS (loop0): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 23:42:07 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f00000000c0), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000080)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) 23:42:07 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0x57}, "1306005409cbeff03e71f54184be157c28c603b7784f3c1b7156f0d55cb28e8119a7ef7beca7cec4e2aebc8de9219d5bda18ccf11ce379ce784004094080f7b813d18190e5a0e84eac74a74192295e9746889a9f39f5b7"}, 0x5b) 23:42:07 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x3ec0}], 0x2, 0x0) 23:42:07 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0716e18304ef2b9f4fadd5ec8c0fa978096f3f04f4d4e77e74393b35904000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000d40)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) r2 = syz_clone(0x20a0000, &(0x7f00000006c0)="9be00741fd8c7a7e242c40603d5810190f0113d50b1e8ade64df0403f5f6c595a320a7faf650a869d2f0f7a831e26d8f3b344ea16c34fd166e878d6fd9c075a9972065e162d7af6f0a39ab0cfbf22cb5f54d250d0d6f621b8d2cb58fbf88619e97a3542f98464195d0e76224c05ab9d4bfd2afcc2d6a8eb870570c3644c526a5757d02c86ff878dc4458b0a749ae6c3645f7cdac350f869bba11ba247fd0342004d816", 0xa3, &(0x7f0000000780), &(0x7f00000007c0), &(0x7f0000000800)) fcntl$getownex(0xffffffffffffffff, 0x10, &(0x7f0000000b00)={0x0, 0x0}) pipe(&(0x7f00000000c0)={0xffffffffffffffff}) tee(r4, 0xffffffffffffffff, 0x8, 0x0) r5 = dup(0xffffffffffffffff) pipe(&(0x7f00000000c0)={0xffffffffffffffff}) tee(r6, 0xffffffffffffffff, 0x8, 0x0) r7 = socket$inet_udp(0x2, 0x2, 0x0) r8 = syz_clone(0x40800000, 0x0, 0x0, &(0x7f0000000080), &(0x7f00000000c0), 0x0) sendmsg$NL802154_CMD_SET_WPAN_PHY_NETNS(0xffffffffffffffff, &(0x7f0000001180)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x8000}, 0xc, &(0x7f0000001140)={&(0x7f0000001100)={0x3c, 0x0, 0x800, 0x70bd25, 0x0, {}, [@NL802154_ATTR_PID={0x8, 0x1c, r8}, @NL802154_ATTR_WPAN_PHY={0x8, 0x1, 0x1}, @NL802154_ATTR_IFINDEX={0x8}, @NL802154_ATTR_WPAN_PHY={0x8}, @NL802154_ATTR_WPAN_PHY={0x8, 0x1, 0x3}]}, 0x3c}, 0x1, 0x0, 0x0, 0x8800}, 0x800) statx(0xffffffffffffff9c, &(0x7f0000000b40)='./file0\x00', 0x400, 0x20, &(0x7f0000000b80)={0x0, 0x0, 0x0, 0x0, 0x0}) r10 = syz_open_dev$tty20(0xc, 0x4, 0x1) fcntl$getownex(0xffffffffffffffff, 0x10, &(0x7f0000000c80)={0x0, 0x0}) statx(0xffffffffffffffff, &(0x7f0000000f40)='./file0/file0\x00', 0x6000, 0x800, &(0x7f0000000d00)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) sendmsg$netlink(0xffffffffffffffff, &(0x7f0000000f00)={0x0, 0x0, &(0x7f0000000ac0)=[{&(0x7f0000000100)={0x414, 0x33, 0x100, 0x70bd25, 0x25dfdbfb, "", [@generic="f98e2254f200cb5d0bdfb25f351891600ac4384a3c3ebe8826db94f08e59ab9c7354c8167fd1802afb4bfd3018fcdb7135ec956669d8fdb74e445b32f3518e3c4a977189ca", @generic="bf9c7afb68d64ec34d28da21d13d33685928cdcd27124c5a8facb6a66e5c14b6c4aa073356366c8e881add6d20d99ea4fcc0b3e6e426b6c8b62e7a20962d58f6b142878d6bdafd88adfcfaa752572b9b4259b44ccb87c6ba1ba2b9ce4266bc024e363b7eaeb2d899b09f81c0b552d68f23dff40e51a5c41e571ce833d1fab0958794b898c8e2d6d02ba19da1d7030403f41abab97f406cb92df42f036c9fbee818c2beafc4bb5820bc47d60155c71124106631bdc117c7b77b05f89cc941818d9adea4ea5c6cf8ab8eef59", @typed={0x8, 0x8, 0x0, 0x0, @u32=0x6}, @generic="badff91bdea8600ffba15d9be9cf12914e65b99f00af6c2e9bc9ef9e56e1fb62bf1b81e34a289018bccd8c5a51c1c8460033e9cecabd927750ccc815998ee087a0ee5486c5e428d765f6ac0ab36b0b8c0be73656e5a5736cdb1e71989fa96cc68e8bc140a48446eac7b540dafdc451df22fac6f26c9a", @generic="4baa8c189e25737b7ea119d8249d73e020779f994a2da7add8114af0e04d528c2552e0e64903e24ff28214041351d84d68ae7b8344141e90c44ab9bec28a84ec368756e4666fe181e5a2c7b4d70178a72314d2e6f334f61382345e26c9a474851938edb9636d6702142666316cde8c8f03a5463550eee8eca5e02c543b65edaf264048264175c86f09de95b9ae629930bdeddeaaf2e70fc4474c1c142cd441b3ece0e0ac4e2421922f5571ca8f6179ed5732df40f222c45e83af214b4c53c4ee3f2f29155eddccc6f99b967d6e4913dcc7ec433da85b05411403115dbc469fd249f5885d0c", @generic="f8f3e96efa9cc18c44882048857b8cd8ac48ea31ca14ef2c24cc16700411b86d47b45dce9d7ecfe23492465894de1c3a3c21a1043a78ee18041ad0bdd9e03a35e546c33650c9499565abadc52b3cc5af4e0747a79762ec752e8b66a854a8929d163a9b40f5e516db0f1cae91660ab9fdda663f96b5311cc50ecf50c1b9788a6cbb854c21bb8421ffdf52e0ef7ccafbbf132ff2f9ccfb3516bf519b8c3707a77179ba0d779f0be7e9354a28502d5857cf5fbe49ae1f4f762df98bfc8cf711f12521d5e6a7d319e862fa35139975354d", @generic="3359ff5bb7b55830473ed0938c1df081a0c698aa77b03ecc2a2e1ca87e2d6117751e7865897c9b9572016b7ed90427bc440224f133af3ccef4709ba854e4d63cf182dc722fbc74acb8cae37b27664bd30f12d3182d36ef2199e53856382d83fccd2635946e3e212899e6b600e06b802ff619a68695e21e67c5e90406002a1a4f4c453c238e9049f4033523007c6edc98b999d814c1fd327ed32f8ac09b7ee9c0c7b4df1b599259b03686b6047e991e5106d9e1e3d9c99d201a40a206ca1792"]}, 0x414}, {&(0x7f0000000600)={0x60, 0x41, 0x0, 0x70bd28, 0x25dfdbff, "", [@typed={0x47, 0x0, 0x0, 0x0, @binary="8ab02eea23559b85773f59c2a00a4080eb77209c440554c1dc2b901169aaed43a35a385d9c7936803c4331cac7080d73e6ba1d62e3dc7e41aeeb4ef61f105f754ec3e8"}, @typed={0x8, 0x12, 0x0, 0x0, @fd}]}, 0x60}, {&(0x7f0000000680)={0x40, 0x1b, 0x20, 0x70bd25, 0x25dfdbfe, "", [@typed={0xc, 0x1a, 0x0, 0x0, @u64=0x2}, @nested={0x21, 0x8, 0x0, 0x1, [@generic="03be47e2fdafd3186a3d89b0b0d929b6c7c983aec841e5ca48eed74043"]}]}, 0x40}, {&(0x7f0000000900)={0x2c, 0x37, 0x300, 0x70bd2a, 0x25dfdbff, "", [@typed={0x4, 0x5d}, @typed={0x8, 0x1d, 0x0, 0x0, @pid=r2}, @typed={0x8, 0x38, 0x0, 0x0, @u32=0x3}, @generic, @nested={0x8, 0x91, 0x0, 0x1, [@typed={0x4}]}]}, 0x2c}], 0x4, &(0x7f0000000e00)=[@rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {r3, 0xffffffffffffffff, 0xffffffffffffffff}}}, @rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, r4, 0xffffffffffffffff]}}, @rights={{0x28, 0x1, 0x1, [r5, 0xffffffffffffffff, r6, 0xffffffffffffffff, 0xffffffffffffffff, r7]}}, @cred={{0x1c, 0x1, 0x2, {r8, r9}}}, @rights={{0x20, 0x1, 0x1, [r10, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {r11, 0xee01, r12}}}], 0xe8, 0x20000000}, 0x81) mount$fuse(0x0, &(0x7f0000000040)='./file0\x00', &(0x7f0000000080), 0x1000000, &(0x7f0000000bc0)={{'fd', 0x3d, r0}, 0x2c, {'rootmode', 0x3d, 0xa000}, 0x2c, {}, 0x2c, {'group_id', 0x3d, r12}, 0x2c, {[{@max_read={'max_read', 0x3d, 0xffffffffffffee35}}, {@blksize={'blksize', 0x3d, 0x1264b14e24ba2c96}}], [{@func={'func', 0x3d, 'KEXEC_KERNEL_CHECK'}}, {@obj_user={'obj_user', 0x3d, ')\xa0[}'}}, {@subj_user={'subj_user', 0x3d, 'nilfs2\x00'}}, {@smackfsroot={'smackfsroot', 0x3d, 'nilfs2\x00'}}, {@subj_user={'subj_user', 0x3d, 'nilfs2\x00'}}]}}) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) 23:42:07 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0x57}, "1306005409cbeff03e71f54184be157c28c603b7784f3c1b7156f0d55cb28e8119a7ef7beca7cec4e2aebc8de9219d5bda18ccf11ce379ce784004094080f7b813d18190e5a0e84eac74a74192295e9746889a9f39f5b7"}, 0x5b) syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) (async) syz_emit_vhci(&(0x7f0000000040)=@HCI_SCODATA_PKT={0x3, {0xc9, 0x57}, "1306005409cbeff03e71f54184be157c28c603b7784f3c1b7156f0d55cb28e8119a7ef7beca7cec4e2aebc8de9219d5bda18ccf11ce379ce784004094080f7b813d18190e5a0e84eac74a74192295e9746889a9f39f5b7"}, 0x5b) (async) 23:42:07 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0x1b00) 23:42:07 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f00000000c0), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) (async, rerun: 64) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000080)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) (rerun: 64) 23:42:07 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x3f00}], 0x2, 0x0) 23:42:07 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)=ANY=[@ANYBLOB="9c0a0000", @ANYRES16=r0, @ANYBLOB="00042abd7000ffdbdf252a00000008000200", @ANYRES32=0x0, @ANYBLOB="0a0001007770616e3400000005003700000000000c00050000000000000000000c0005000203aaaaaaaaaaaa08002f0002000000"], 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) mkdirat$cgroup(0xffffffffffffffff, &(0x7f0000000380)='syz1\x00', 0x1ff) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) ioctl$F2FS_IOC_SET_PIN_FILE(r2, 0x4004f50d, &(0x7f0000000340)=0x1) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000140)=ANY=[@ANYBLOB="2d637075202b637075202b70696473200069a3acce7741000000000000796f202d696f20"], 0x24) 23:42:07 executing program 3: syz_emit_vhci(&(0x7f0000000040)=ANY=[@ANYBLOB="cbc4ed42ec110504f10000"], 0x7) syz_emit_vhci(&(0x7f0000000080)=ANY=[@ANYBLOB="02c98012000e00010000000000000000000000b713b3ffd0ea0e9e6c5800000000"], 0x17) [ 537.879032][T20652] loop0: detected capacity change from 0 to 2048 [ 537.910288][T16473] I/O error, dev loop0, sector 0 op 0x0:(READ) flags 0x80700 phys_seg 1 prio class 2 23:42:07 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x803e}], 0x2, 0x0) 23:42:07 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)=ANY=[@ANYBLOB="9c0a0000", @ANYRES16=r0, @ANYBLOB="00042abd7000ffdbdf252a00000008000200", @ANYRES32=0x0, @ANYBLOB="0a0001007770616e3400000005003700000000000c00050000000000000000000c0005000203aaaaaaaaaaaa08002f0002000000"], 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) mkdirat$cgroup(0xffffffffffffffff, &(0x7f0000000380)='syz1\x00', 0x1ff) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) (async) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) (async, rerun: 32) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (rerun: 32) ioctl$F2FS_IOC_SET_PIN_FILE(r2, 0x4004f50d, &(0x7f0000000340)=0x1) (async) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000140)=ANY=[@ANYBLOB="2d637075202b637075202b70696473200069a3acce7741000000000000796f202d696f20"], 0x24) [ 537.968561][T20661] NILFS (loop0): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 23:42:07 executing program 3: syz_emit_vhci(&(0x7f0000000040)=ANY=[@ANYBLOB="cbc4ed42ec110504f10000"], 0x7) syz_emit_vhci(&(0x7f0000000080)=ANY=[@ANYBLOB="02c98012000e00010000000000000000000000b713b3ffd0ea0e9e6c5800000000"], 0x17) syz_emit_vhci(&(0x7f0000000040)=ANY=[@ANYBLOB="cbc4ed42ec110504f10000"], 0x7) (async) syz_emit_vhci(&(0x7f0000000080)=ANY=[@ANYBLOB="02c98012000e00010000000000000000000000b713b3ffd0ea0e9e6c5800000000"], 0x17) (async) 23:42:07 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f00000000c0), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) (async, rerun: 32) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000080)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) (rerun: 32) [ 538.052516][T20673] fuse: Bad value for 'max_read' 23:42:07 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0xc000}], 0x2, 0x0) 23:42:08 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0716e18304ef2b9f4fadd5ec8c0fa978096f3f04f4d4e77e74393b35904000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000d40)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) r2 = syz_clone(0x20a0000, &(0x7f00000006c0)="9be00741fd8c7a7e242c40603d5810190f0113d50b1e8ade64df0403f5f6c595a320a7faf650a869d2f0f7a831e26d8f3b344ea16c34fd166e878d6fd9c075a9972065e162d7af6f0a39ab0cfbf22cb5f54d250d0d6f621b8d2cb58fbf88619e97a3542f98464195d0e76224c05ab9d4bfd2afcc2d6a8eb870570c3644c526a5757d02c86ff878dc4458b0a749ae6c3645f7cdac350f869bba11ba247fd0342004d816", 0xa3, &(0x7f0000000780), &(0x7f00000007c0), &(0x7f0000000800)) fcntl$getownex(0xffffffffffffffff, 0x10, &(0x7f0000000b00)={0x0, 0x0}) pipe(&(0x7f00000000c0)={0xffffffffffffffff}) tee(r4, 0xffffffffffffffff, 0x8, 0x0) r5 = dup(0xffffffffffffffff) pipe(&(0x7f00000000c0)={0xffffffffffffffff}) tee(r6, 0xffffffffffffffff, 0x8, 0x0) r7 = socket$inet_udp(0x2, 0x2, 0x0) r8 = syz_clone(0x40800000, 0x0, 0x0, &(0x7f0000000080), &(0x7f00000000c0), 0x0) sendmsg$NL802154_CMD_SET_WPAN_PHY_NETNS(0xffffffffffffffff, &(0x7f0000001180)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x8000}, 0xc, &(0x7f0000001140)={&(0x7f0000001100)={0x3c, 0x0, 0x800, 0x70bd25, 0x0, {}, [@NL802154_ATTR_PID={0x8, 0x1c, r8}, @NL802154_ATTR_WPAN_PHY={0x8, 0x1, 0x1}, @NL802154_ATTR_IFINDEX={0x8}, @NL802154_ATTR_WPAN_PHY={0x8}, @NL802154_ATTR_WPAN_PHY={0x8, 0x1, 0x3}]}, 0x3c}, 0x1, 0x0, 0x0, 0x8800}, 0x800) statx(0xffffffffffffff9c, &(0x7f0000000b40)='./file0\x00', 0x400, 0x20, &(0x7f0000000b80)={0x0, 0x0, 0x0, 0x0, 0x0}) r10 = syz_open_dev$tty20(0xc, 0x4, 0x1) fcntl$getownex(0xffffffffffffffff, 0x10, &(0x7f0000000c80)={0x0, 0x0}) statx(0xffffffffffffffff, &(0x7f0000000f40)='./file0/file0\x00', 0x6000, 0x800, &(0x7f0000000d00)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) sendmsg$netlink(0xffffffffffffffff, &(0x7f0000000f00)={0x0, 0x0, &(0x7f0000000ac0)=[{&(0x7f0000000100)={0x414, 0x33, 0x100, 0x70bd25, 0x25dfdbfb, "", [@generic="f98e2254f200cb5d0bdfb25f351891600ac4384a3c3ebe8826db94f08e59ab9c7354c8167fd1802afb4bfd3018fcdb7135ec956669d8fdb74e445b32f3518e3c4a977189ca", @generic="bf9c7afb68d64ec34d28da21d13d33685928cdcd27124c5a8facb6a66e5c14b6c4aa073356366c8e881add6d20d99ea4fcc0b3e6e426b6c8b62e7a20962d58f6b142878d6bdafd88adfcfaa752572b9b4259b44ccb87c6ba1ba2b9ce4266bc024e363b7eaeb2d899b09f81c0b552d68f23dff40e51a5c41e571ce833d1fab0958794b898c8e2d6d02ba19da1d7030403f41abab97f406cb92df42f036c9fbee818c2beafc4bb5820bc47d60155c71124106631bdc117c7b77b05f89cc941818d9adea4ea5c6cf8ab8eef59", @typed={0x8, 0x8, 0x0, 0x0, @u32=0x6}, @generic="badff91bdea8600ffba15d9be9cf12914e65b99f00af6c2e9bc9ef9e56e1fb62bf1b81e34a289018bccd8c5a51c1c8460033e9cecabd927750ccc815998ee087a0ee5486c5e428d765f6ac0ab36b0b8c0be73656e5a5736cdb1e71989fa96cc68e8bc140a48446eac7b540dafdc451df22fac6f26c9a", @generic="4baa8c189e25737b7ea119d8249d73e020779f994a2da7add8114af0e04d528c2552e0e64903e24ff28214041351d84d68ae7b8344141e90c44ab9bec28a84ec368756e4666fe181e5a2c7b4d70178a72314d2e6f334f61382345e26c9a474851938edb9636d6702142666316cde8c8f03a5463550eee8eca5e02c543b65edaf264048264175c86f09de95b9ae629930bdeddeaaf2e70fc4474c1c142cd441b3ece0e0ac4e2421922f5571ca8f6179ed5732df40f222c45e83af214b4c53c4ee3f2f29155eddccc6f99b967d6e4913dcc7ec433da85b05411403115dbc469fd249f5885d0c", @generic="f8f3e96efa9cc18c44882048857b8cd8ac48ea31ca14ef2c24cc16700411b86d47b45dce9d7ecfe23492465894de1c3a3c21a1043a78ee18041ad0bdd9e03a35e546c33650c9499565abadc52b3cc5af4e0747a79762ec752e8b66a854a8929d163a9b40f5e516db0f1cae91660ab9fdda663f96b5311cc50ecf50c1b9788a6cbb854c21bb8421ffdf52e0ef7ccafbbf132ff2f9ccfb3516bf519b8c3707a77179ba0d779f0be7e9354a28502d5857cf5fbe49ae1f4f762df98bfc8cf711f12521d5e6a7d319e862fa35139975354d", @generic="3359ff5bb7b55830473ed0938c1df081a0c698aa77b03ecc2a2e1ca87e2d6117751e7865897c9b9572016b7ed90427bc440224f133af3ccef4709ba854e4d63cf182dc722fbc74acb8cae37b27664bd30f12d3182d36ef2199e53856382d83fccd2635946e3e212899e6b600e06b802ff619a68695e21e67c5e90406002a1a4f4c453c238e9049f4033523007c6edc98b999d814c1fd327ed32f8ac09b7ee9c0c7b4df1b599259b03686b6047e991e5106d9e1e3d9c99d201a40a206ca1792"]}, 0x414}, {&(0x7f0000000600)={0x60, 0x41, 0x0, 0x70bd28, 0x25dfdbff, "", [@typed={0x47, 0x0, 0x0, 0x0, @binary="8ab02eea23559b85773f59c2a00a4080eb77209c440554c1dc2b901169aaed43a35a385d9c7936803c4331cac7080d73e6ba1d62e3dc7e41aeeb4ef61f105f754ec3e8"}, @typed={0x8, 0x12, 0x0, 0x0, @fd}]}, 0x60}, {&(0x7f0000000680)={0x40, 0x1b, 0x20, 0x70bd25, 0x25dfdbfe, "", [@typed={0xc, 0x1a, 0x0, 0x0, @u64=0x2}, @nested={0x21, 0x8, 0x0, 0x1, [@generic="03be47e2fdafd3186a3d89b0b0d929b6c7c983aec841e5ca48eed74043"]}]}, 0x40}, {&(0x7f0000000900)={0x2c, 0x37, 0x300, 0x70bd2a, 0x25dfdbff, "", [@typed={0x4, 0x5d}, @typed={0x8, 0x1d, 0x0, 0x0, @pid=r2}, @typed={0x8, 0x38, 0x0, 0x0, @u32=0x3}, @generic, @nested={0x8, 0x91, 0x0, 0x1, [@typed={0x4}]}]}, 0x2c}], 0x4, &(0x7f0000000e00)=[@rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {r3, 0xffffffffffffffff, 0xffffffffffffffff}}}, @rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, r4, 0xffffffffffffffff]}}, @rights={{0x28, 0x1, 0x1, [r5, 0xffffffffffffffff, r6, 0xffffffffffffffff, 0xffffffffffffffff, r7]}}, @cred={{0x1c, 0x1, 0x2, {r8, r9}}}, @rights={{0x20, 0x1, 0x1, [r10, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {r11, 0xee01, r12}}}], 0xe8, 0x20000000}, 0x81) mount$fuse(0x0, &(0x7f0000000040)='./file0\x00', &(0x7f0000000080), 0x1000000, &(0x7f0000000bc0)={{'fd', 0x3d, r0}, 0x2c, {'rootmode', 0x3d, 0xa000}, 0x2c, {}, 0x2c, {'group_id', 0x3d, r12}, 0x2c, {[{@max_read={'max_read', 0x3d, 0xffffffffffffee35}}, {@blksize={'blksize', 0x3d, 0x1264b14e24ba2c96}}], [{@func={'func', 0x3d, 'KEXEC_KERNEL_CHECK'}}, {@obj_user={'obj_user', 0x3d, ')\xa0[}'}}, {@subj_user={'subj_user', 0x3d, 'nilfs2\x00'}}, {@smackfsroot={'smackfsroot', 0x3d, 'nilfs2\x00'}}, {@subj_user={'subj_user', 0x3d, 'nilfs2\x00'}}]}}) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0716e18304ef2b9f4fadd5ec8c0fa978096f3f04f4d4e77e74393b35904000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000d40)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) (async) openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (async) openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (async) syz_clone(0x20a0000, &(0x7f00000006c0)="9be00741fd8c7a7e242c40603d5810190f0113d50b1e8ade64df0403f5f6c595a320a7faf650a869d2f0f7a831e26d8f3b344ea16c34fd166e878d6fd9c075a9972065e162d7af6f0a39ab0cfbf22cb5f54d250d0d6f621b8d2cb58fbf88619e97a3542f98464195d0e76224c05ab9d4bfd2afcc2d6a8eb870570c3644c526a5757d02c86ff878dc4458b0a749ae6c3645f7cdac350f869bba11ba247fd0342004d816", 0xa3, &(0x7f0000000780), &(0x7f00000007c0), &(0x7f0000000800)) (async) fcntl$getownex(0xffffffffffffffff, 0x10, &(0x7f0000000b00)) (async) pipe(&(0x7f00000000c0)) (async) tee(r4, 0xffffffffffffffff, 0x8, 0x0) (async) dup(0xffffffffffffffff) (async) pipe(&(0x7f00000000c0)) (async) tee(r6, 0xffffffffffffffff, 0x8, 0x0) (async) socket$inet_udp(0x2, 0x2, 0x0) (async) syz_clone(0x40800000, 0x0, 0x0, &(0x7f0000000080), &(0x7f00000000c0), 0x0) (async) sendmsg$NL802154_CMD_SET_WPAN_PHY_NETNS(0xffffffffffffffff, &(0x7f0000001180)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x8000}, 0xc, &(0x7f0000001140)={&(0x7f0000001100)={0x3c, 0x0, 0x800, 0x70bd25, 0x0, {}, [@NL802154_ATTR_PID={0x8, 0x1c, r8}, @NL802154_ATTR_WPAN_PHY={0x8, 0x1, 0x1}, @NL802154_ATTR_IFINDEX={0x8}, @NL802154_ATTR_WPAN_PHY={0x8}, @NL802154_ATTR_WPAN_PHY={0x8, 0x1, 0x3}]}, 0x3c}, 0x1, 0x0, 0x0, 0x8800}, 0x800) (async) statx(0xffffffffffffff9c, &(0x7f0000000b40)='./file0\x00', 0x400, 0x20, &(0x7f0000000b80)) (async) syz_open_dev$tty20(0xc, 0x4, 0x1) (async) fcntl$getownex(0xffffffffffffffff, 0x10, &(0x7f0000000c80)) (async) statx(0xffffffffffffffff, &(0x7f0000000f40)='./file0/file0\x00', 0x6000, 0x800, &(0x7f0000000d00)) (async) sendmsg$netlink(0xffffffffffffffff, &(0x7f0000000f00)={0x0, 0x0, &(0x7f0000000ac0)=[{&(0x7f0000000100)={0x414, 0x33, 0x100, 0x70bd25, 0x25dfdbfb, "", [@generic="f98e2254f200cb5d0bdfb25f351891600ac4384a3c3ebe8826db94f08e59ab9c7354c8167fd1802afb4bfd3018fcdb7135ec956669d8fdb74e445b32f3518e3c4a977189ca", @generic="bf9c7afb68d64ec34d28da21d13d33685928cdcd27124c5a8facb6a66e5c14b6c4aa073356366c8e881add6d20d99ea4fcc0b3e6e426b6c8b62e7a20962d58f6b142878d6bdafd88adfcfaa752572b9b4259b44ccb87c6ba1ba2b9ce4266bc024e363b7eaeb2d899b09f81c0b552d68f23dff40e51a5c41e571ce833d1fab0958794b898c8e2d6d02ba19da1d7030403f41abab97f406cb92df42f036c9fbee818c2beafc4bb5820bc47d60155c71124106631bdc117c7b77b05f89cc941818d9adea4ea5c6cf8ab8eef59", @typed={0x8, 0x8, 0x0, 0x0, @u32=0x6}, @generic="badff91bdea8600ffba15d9be9cf12914e65b99f00af6c2e9bc9ef9e56e1fb62bf1b81e34a289018bccd8c5a51c1c8460033e9cecabd927750ccc815998ee087a0ee5486c5e428d765f6ac0ab36b0b8c0be73656e5a5736cdb1e71989fa96cc68e8bc140a48446eac7b540dafdc451df22fac6f26c9a", @generic="4baa8c189e25737b7ea119d8249d73e020779f994a2da7add8114af0e04d528c2552e0e64903e24ff28214041351d84d68ae7b8344141e90c44ab9bec28a84ec368756e4666fe181e5a2c7b4d70178a72314d2e6f334f61382345e26c9a474851938edb9636d6702142666316cde8c8f03a5463550eee8eca5e02c543b65edaf264048264175c86f09de95b9ae629930bdeddeaaf2e70fc4474c1c142cd441b3ece0e0ac4e2421922f5571ca8f6179ed5732df40f222c45e83af214b4c53c4ee3f2f29155eddccc6f99b967d6e4913dcc7ec433da85b05411403115dbc469fd249f5885d0c", @generic="f8f3e96efa9cc18c44882048857b8cd8ac48ea31ca14ef2c24cc16700411b86d47b45dce9d7ecfe23492465894de1c3a3c21a1043a78ee18041ad0bdd9e03a35e546c33650c9499565abadc52b3cc5af4e0747a79762ec752e8b66a854a8929d163a9b40f5e516db0f1cae91660ab9fdda663f96b5311cc50ecf50c1b9788a6cbb854c21bb8421ffdf52e0ef7ccafbbf132ff2f9ccfb3516bf519b8c3707a77179ba0d779f0be7e9354a28502d5857cf5fbe49ae1f4f762df98bfc8cf711f12521d5e6a7d319e862fa35139975354d", @generic="3359ff5bb7b55830473ed0938c1df081a0c698aa77b03ecc2a2e1ca87e2d6117751e7865897c9b9572016b7ed90427bc440224f133af3ccef4709ba854e4d63cf182dc722fbc74acb8cae37b27664bd30f12d3182d36ef2199e53856382d83fccd2635946e3e212899e6b600e06b802ff619a68695e21e67c5e90406002a1a4f4c453c238e9049f4033523007c6edc98b999d814c1fd327ed32f8ac09b7ee9c0c7b4df1b599259b03686b6047e991e5106d9e1e3d9c99d201a40a206ca1792"]}, 0x414}, {&(0x7f0000000600)={0x60, 0x41, 0x0, 0x70bd28, 0x25dfdbff, "", [@typed={0x47, 0x0, 0x0, 0x0, @binary="8ab02eea23559b85773f59c2a00a4080eb77209c440554c1dc2b901169aaed43a35a385d9c7936803c4331cac7080d73e6ba1d62e3dc7e41aeeb4ef61f105f754ec3e8"}, @typed={0x8, 0x12, 0x0, 0x0, @fd}]}, 0x60}, {&(0x7f0000000680)={0x40, 0x1b, 0x20, 0x70bd25, 0x25dfdbfe, "", [@typed={0xc, 0x1a, 0x0, 0x0, @u64=0x2}, @nested={0x21, 0x8, 0x0, 0x1, [@generic="03be47e2fdafd3186a3d89b0b0d929b6c7c983aec841e5ca48eed74043"]}]}, 0x40}, {&(0x7f0000000900)={0x2c, 0x37, 0x300, 0x70bd2a, 0x25dfdbff, "", [@typed={0x4, 0x5d}, @typed={0x8, 0x1d, 0x0, 0x0, @pid=r2}, @typed={0x8, 0x38, 0x0, 0x0, @u32=0x3}, @generic, @nested={0x8, 0x91, 0x0, 0x1, [@typed={0x4}]}]}, 0x2c}], 0x4, &(0x7f0000000e00)=[@rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {r3, 0xffffffffffffffff, 0xffffffffffffffff}}}, @rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, r4, 0xffffffffffffffff]}}, @rights={{0x28, 0x1, 0x1, [r5, 0xffffffffffffffff, r6, 0xffffffffffffffff, 0xffffffffffffffff, r7]}}, @cred={{0x1c, 0x1, 0x2, {r8, r9}}}, @rights={{0x20, 0x1, 0x1, [r10, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {r11, 0xee01, r12}}}], 0xe8, 0x20000000}, 0x81) (async) mount$fuse(0x0, &(0x7f0000000040)='./file0\x00', &(0x7f0000000080), 0x1000000, &(0x7f0000000bc0)={{'fd', 0x3d, r0}, 0x2c, {'rootmode', 0x3d, 0xa000}, 0x2c, {}, 0x2c, {'group_id', 0x3d, r12}, 0x2c, {[{@max_read={'max_read', 0x3d, 0xffffffffffffee35}}, {@blksize={'blksize', 0x3d, 0x1264b14e24ba2c96}}], [{@func={'func', 0x3d, 'KEXEC_KERNEL_CHECK'}}, {@obj_user={'obj_user', 0x3d, ')\xa0[}'}}, {@subj_user={'subj_user', 0x3d, 'nilfs2\x00'}}, {@smackfsroot={'smackfsroot', 0x3d, 'nilfs2\x00'}}, {@subj_user={'subj_user', 0x3d, 'nilfs2\x00'}}]}}) (async) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) (async) 23:42:08 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0x1c00) 23:42:08 executing program 3: syz_emit_vhci(&(0x7f0000000040)=ANY=[@ANYBLOB="cbc4ed42ec110504f10000"], 0x7) syz_emit_vhci(&(0x7f0000000080)=ANY=[@ANYBLOB="02c98012000e00010000000000000000000000b713b3ffd0ea0e9e6c5800000000"], 0x17) syz_emit_vhci(&(0x7f0000000040)=ANY=[@ANYBLOB="cbc4ed42ec110504f10000"], 0x7) (async) syz_emit_vhci(&(0x7f0000000080)=ANY=[@ANYBLOB="02c98012000e00010000000000000000000000b713b3ffd0ea0e9e6c5800000000"], 0x17) (async) 23:42:08 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) r2 = socket(0x10, 0x803, 0x0) r3 = socket(0x1, 0x803, 0x0) getsockname$packet(r3, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) sendmsg$nl_route(r2, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000300)={&(0x7f0000000340)=ANY=[@ANYBLOB="2c0000001400b59500000000000000000a400000", @ANYRES32=r4, @ANYBLOB="1400020000000000000000000000ffff"], 0x2c}}, 0x0) setsockopt$netrom_NETROM_T4(r2, 0x103, 0x6, &(0x7f0000000080)=0x7c9, 0x4) 23:42:08 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)=ANY=[@ANYBLOB="9c0a0000", @ANYRES16=r0, @ANYBLOB="00042abd7000ffdbdf252a00000008000200", @ANYRES32=0x0, @ANYBLOB="0a0001007770616e3400000005003700000000000c00050000000000000000000c0005000203aaaaaaaaaaaa08002f0002000000"], 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) mkdirat$cgroup(0xffffffffffffffff, &(0x7f0000000380)='syz1\x00', 0x1ff) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) (async) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) ioctl$F2FS_IOC_SET_PIN_FILE(r2, 0x4004f50d, &(0x7f0000000340)=0x1) (async) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000140)=ANY=[@ANYBLOB="2d637075202b637075202b70696473200069a3acce7741000000000000796f202d696f20"], 0x24) 23:42:08 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0xc03e}], 0x2, 0x0) 23:42:08 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_VENDOR_PKT={0xff, 0x40}, 0x2) 23:42:08 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) (async) r2 = socket(0x10, 0x803, 0x0) (async) r3 = socket(0x1, 0x803, 0x0) getsockname$packet(r3, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) sendmsg$nl_route(r2, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000300)={&(0x7f0000000340)=ANY=[@ANYBLOB="2c0000001400b59500000000000000000a400000", @ANYRES32=r4, @ANYBLOB="1400020000000000000000000000ffff"], 0x2c}}, 0x0) (async) setsockopt$netrom_NETROM_T4(r2, 0x103, 0x6, &(0x7f0000000080)=0x7c9, 0x4) 23:42:08 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0xff00}], 0x2, 0x0) 23:42:08 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_VENDOR_PKT={0xff, 0x40}, 0x2) syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) (async) syz_emit_vhci(&(0x7f0000000040)=@HCI_VENDOR_PKT={0xff, 0x40}, 0x2) (async) 23:42:08 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x33fe0}], 0x2, 0x0) 23:42:08 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) (async) syz_emit_vhci(&(0x7f0000000040)=@HCI_VENDOR_PKT={0xff, 0x40}, 0x2) 23:42:09 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0716e18304ef2b9f4fadd5ec8c0fa978096f3f04f4d4e77e74393b35904000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000d40)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) (async) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (async) r2 = syz_clone(0x20a0000, &(0x7f00000006c0)="9be00741fd8c7a7e242c40603d5810190f0113d50b1e8ade64df0403f5f6c595a320a7faf650a869d2f0f7a831e26d8f3b344ea16c34fd166e878d6fd9c075a9972065e162d7af6f0a39ab0cfbf22cb5f54d250d0d6f621b8d2cb58fbf88619e97a3542f98464195d0e76224c05ab9d4bfd2afcc2d6a8eb870570c3644c526a5757d02c86ff878dc4458b0a749ae6c3645f7cdac350f869bba11ba247fd0342004d816", 0xa3, &(0x7f0000000780), &(0x7f00000007c0), &(0x7f0000000800)) (async) fcntl$getownex(0xffffffffffffffff, 0x10, &(0x7f0000000b00)={0x0, 0x0}) pipe(&(0x7f00000000c0)={0xffffffffffffffff}) tee(r4, 0xffffffffffffffff, 0x8, 0x0) (async, rerun: 64) r5 = dup(0xffffffffffffffff) (rerun: 64) pipe(&(0x7f00000000c0)={0xffffffffffffffff}) tee(r6, 0xffffffffffffffff, 0x8, 0x0) r7 = socket$inet_udp(0x2, 0x2, 0x0) (async, rerun: 64) r8 = syz_clone(0x40800000, 0x0, 0x0, &(0x7f0000000080), &(0x7f00000000c0), 0x0) (rerun: 64) sendmsg$NL802154_CMD_SET_WPAN_PHY_NETNS(0xffffffffffffffff, &(0x7f0000001180)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x8000}, 0xc, &(0x7f0000001140)={&(0x7f0000001100)={0x3c, 0x0, 0x800, 0x70bd25, 0x0, {}, [@NL802154_ATTR_PID={0x8, 0x1c, r8}, @NL802154_ATTR_WPAN_PHY={0x8, 0x1, 0x1}, @NL802154_ATTR_IFINDEX={0x8}, @NL802154_ATTR_WPAN_PHY={0x8}, @NL802154_ATTR_WPAN_PHY={0x8, 0x1, 0x3}]}, 0x3c}, 0x1, 0x0, 0x0, 0x8800}, 0x800) statx(0xffffffffffffff9c, &(0x7f0000000b40)='./file0\x00', 0x400, 0x20, &(0x7f0000000b80)={0x0, 0x0, 0x0, 0x0, 0x0}) r10 = syz_open_dev$tty20(0xc, 0x4, 0x1) (async, rerun: 32) fcntl$getownex(0xffffffffffffffff, 0x10, &(0x7f0000000c80)={0x0, 0x0}) (rerun: 32) statx(0xffffffffffffffff, &(0x7f0000000f40)='./file0/file0\x00', 0x6000, 0x800, &(0x7f0000000d00)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) sendmsg$netlink(0xffffffffffffffff, &(0x7f0000000f00)={0x0, 0x0, &(0x7f0000000ac0)=[{&(0x7f0000000100)={0x414, 0x33, 0x100, 0x70bd25, 0x25dfdbfb, "", [@generic="f98e2254f200cb5d0bdfb25f351891600ac4384a3c3ebe8826db94f08e59ab9c7354c8167fd1802afb4bfd3018fcdb7135ec956669d8fdb74e445b32f3518e3c4a977189ca", @generic="bf9c7afb68d64ec34d28da21d13d33685928cdcd27124c5a8facb6a66e5c14b6c4aa073356366c8e881add6d20d99ea4fcc0b3e6e426b6c8b62e7a20962d58f6b142878d6bdafd88adfcfaa752572b9b4259b44ccb87c6ba1ba2b9ce4266bc024e363b7eaeb2d899b09f81c0b552d68f23dff40e51a5c41e571ce833d1fab0958794b898c8e2d6d02ba19da1d7030403f41abab97f406cb92df42f036c9fbee818c2beafc4bb5820bc47d60155c71124106631bdc117c7b77b05f89cc941818d9adea4ea5c6cf8ab8eef59", @typed={0x8, 0x8, 0x0, 0x0, @u32=0x6}, @generic="badff91bdea8600ffba15d9be9cf12914e65b99f00af6c2e9bc9ef9e56e1fb62bf1b81e34a289018bccd8c5a51c1c8460033e9cecabd927750ccc815998ee087a0ee5486c5e428d765f6ac0ab36b0b8c0be73656e5a5736cdb1e71989fa96cc68e8bc140a48446eac7b540dafdc451df22fac6f26c9a", @generic="4baa8c189e25737b7ea119d8249d73e020779f994a2da7add8114af0e04d528c2552e0e64903e24ff28214041351d84d68ae7b8344141e90c44ab9bec28a84ec368756e4666fe181e5a2c7b4d70178a72314d2e6f334f61382345e26c9a474851938edb9636d6702142666316cde8c8f03a5463550eee8eca5e02c543b65edaf264048264175c86f09de95b9ae629930bdeddeaaf2e70fc4474c1c142cd441b3ece0e0ac4e2421922f5571ca8f6179ed5732df40f222c45e83af214b4c53c4ee3f2f29155eddccc6f99b967d6e4913dcc7ec433da85b05411403115dbc469fd249f5885d0c", @generic="f8f3e96efa9cc18c44882048857b8cd8ac48ea31ca14ef2c24cc16700411b86d47b45dce9d7ecfe23492465894de1c3a3c21a1043a78ee18041ad0bdd9e03a35e546c33650c9499565abadc52b3cc5af4e0747a79762ec752e8b66a854a8929d163a9b40f5e516db0f1cae91660ab9fdda663f96b5311cc50ecf50c1b9788a6cbb854c21bb8421ffdf52e0ef7ccafbbf132ff2f9ccfb3516bf519b8c3707a77179ba0d779f0be7e9354a28502d5857cf5fbe49ae1f4f762df98bfc8cf711f12521d5e6a7d319e862fa35139975354d", @generic="3359ff5bb7b55830473ed0938c1df081a0c698aa77b03ecc2a2e1ca87e2d6117751e7865897c9b9572016b7ed90427bc440224f133af3ccef4709ba854e4d63cf182dc722fbc74acb8cae37b27664bd30f12d3182d36ef2199e53856382d83fccd2635946e3e212899e6b600e06b802ff619a68695e21e67c5e90406002a1a4f4c453c238e9049f4033523007c6edc98b999d814c1fd327ed32f8ac09b7ee9c0c7b4df1b599259b03686b6047e991e5106d9e1e3d9c99d201a40a206ca1792"]}, 0x414}, {&(0x7f0000000600)={0x60, 0x41, 0x0, 0x70bd28, 0x25dfdbff, "", [@typed={0x47, 0x0, 0x0, 0x0, @binary="8ab02eea23559b85773f59c2a00a4080eb77209c440554c1dc2b901169aaed43a35a385d9c7936803c4331cac7080d73e6ba1d62e3dc7e41aeeb4ef61f105f754ec3e8"}, @typed={0x8, 0x12, 0x0, 0x0, @fd}]}, 0x60}, {&(0x7f0000000680)={0x40, 0x1b, 0x20, 0x70bd25, 0x25dfdbfe, "", [@typed={0xc, 0x1a, 0x0, 0x0, @u64=0x2}, @nested={0x21, 0x8, 0x0, 0x1, [@generic="03be47e2fdafd3186a3d89b0b0d929b6c7c983aec841e5ca48eed74043"]}]}, 0x40}, {&(0x7f0000000900)={0x2c, 0x37, 0x300, 0x70bd2a, 0x25dfdbff, "", [@typed={0x4, 0x5d}, @typed={0x8, 0x1d, 0x0, 0x0, @pid=r2}, @typed={0x8, 0x38, 0x0, 0x0, @u32=0x3}, @generic, @nested={0x8, 0x91, 0x0, 0x1, [@typed={0x4}]}]}, 0x2c}], 0x4, &(0x7f0000000e00)=[@rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {r3, 0xffffffffffffffff, 0xffffffffffffffff}}}, @rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, r4, 0xffffffffffffffff]}}, @rights={{0x28, 0x1, 0x1, [r5, 0xffffffffffffffff, r6, 0xffffffffffffffff, 0xffffffffffffffff, r7]}}, @cred={{0x1c, 0x1, 0x2, {r8, r9}}}, @rights={{0x20, 0x1, 0x1, [r10, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {r11, 0xee01, r12}}}], 0xe8, 0x20000000}, 0x81) (async, rerun: 32) mount$fuse(0x0, &(0x7f0000000040)='./file0\x00', &(0x7f0000000080), 0x1000000, &(0x7f0000000bc0)={{'fd', 0x3d, r0}, 0x2c, {'rootmode', 0x3d, 0xa000}, 0x2c, {}, 0x2c, {'group_id', 0x3d, r12}, 0x2c, {[{@max_read={'max_read', 0x3d, 0xffffffffffffee35}}, {@blksize={'blksize', 0x3d, 0x1264b14e24ba2c96}}], [{@func={'func', 0x3d, 'KEXEC_KERNEL_CHECK'}}, {@obj_user={'obj_user', 0x3d, ')\xa0[}'}}, {@subj_user={'subj_user', 0x3d, 'nilfs2\x00'}}, {@smackfsroot={'smackfsroot', 0x3d, 'nilfs2\x00'}}, {@subj_user={'subj_user', 0x3d, 'nilfs2\x00'}}]}}) (rerun: 32) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) 23:42:09 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) r2 = socket(0x10, 0x803, 0x0) r3 = socket(0x1, 0x803, 0x0) getsockname$packet(r3, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) sendmsg$nl_route(r2, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000300)={&(0x7f0000000340)=ANY=[@ANYBLOB="2c0000001400b59500000000000000000a400000", @ANYRES32=r4, @ANYBLOB="1400020000000000000000000000ffff"], 0x2c}}, 0x0) (async) setsockopt$netrom_NETROM_T4(r2, 0x103, 0x6, &(0x7f0000000080)=0x7c9, 0x4) 23:42:09 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x34000}], 0x2, 0x0) 23:42:09 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0x1d00) 23:42:09 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x4c, r0, 0x400, 0x70bd28, 0x25dfdbff, {}, [@IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0xe5b0}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_SHORT_ADDR={0x6, 0x4, 0xaaa3}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x4c}, 0x1, 0x0, 0x0, 0x4008800}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r2 = syz_genetlink_get_family_id$nl802154(&(0x7f0000000280), 0xffffffffffffffff) sendmsg$NL802154_CMD_GET_INTERFACE(r1, &(0x7f0000000540)={0x0, 0x0, &(0x7f0000000500)={&(0x7f00000004c0)={0x1c, r2, 0x1, 0x0, 0x0, {}, [@NL802154_ATTR_IFINDEX={0x8}]}, 0x1c}}, 0x0) r3 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r4 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r5 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), r4) sendmsg$IEEE802154_LLSEC_DEL_KEY(r3, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f0000000100)={0x14, r5, 0x1, 0x0, 0x0, {0x3}}, 0x14}}, 0x0) sendmsg$IEEE802154_SET_MACPARAMS(r1, &(0x7f0000000400)={&(0x7f0000000340)={0x10, 0x0, 0x0, 0x8}, 0xc, &(0x7f00000003c0)={&(0x7f0000000380)={0x34, r5, 0x20, 0x70bd2b, 0x25dfdbfc, {}, [@IEEE802154_ATTR_CCA_MODE={0x5, 0x23, 0x82}, @IEEE802154_ATTR_CCA_MODE={0x5, 0x23, 0x41}, @IEEE802154_ATTR_CSMA_MIN_BE={0x5, 0x26, 0x7a}, @IEEE802154_ATTR_CSMA_MAX_BE={0x5}]}, 0x34}, 0x1, 0x0, 0x0, 0x8000}, 0x90) r6 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r7 = openat$cgroup_procs(r6, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r7, &(0x7f0000000280), 0x12) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) sendmsg$IEEE802154_SET_MACPARAMS(r4, &(0x7f0000000600)={&(0x7f0000000440)={0x10, 0x0, 0x0, 0x4000}, 0xc, &(0x7f0000000480)={&(0x7f0000000580)={0x44, r0, 0x200, 0x70bd29, 0x25dfdbfe, {}, [@IEEE802154_ATTR_CSMA_MIN_BE={0x5, 0x26, 0xbf}, @IEEE802154_ATTR_TXPOWER={0x5, 0x21, 0x5}, @IEEE802154_ATTR_CCA_ED_LEVEL={0x8, 0x24, 0x4}, @IEEE802154_ATTR_CSMA_MIN_BE={0x5, 0x26, 0x7}, @IEEE802154_ATTR_CSMA_MIN_BE={0x5, 0x26, 0x6}, @IEEE802154_ATTR_CCA_ED_LEVEL={0x8}]}, 0x44}, 0x1, 0x0, 0x0, 0x8000}, 0x20008000) r8 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r9 = openat$cgroup_subtree(r8, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r9, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) 23:42:09 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_ACLDATA_PKT={0x2, {0xc9, 0x1, 0x1, 0xc}, @l2cap_cid_le_signaling={{0x8}, @l2cap_disconn_req={{0x6, 0x2, 0x4}, {0x8, 0x7ff}}}}, 0x11) 23:42:09 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_ACLDATA_PKT={0x2, {0xc9, 0x1, 0x1, 0xc}, @l2cap_cid_le_signaling={{0x8}, @l2cap_disconn_req={{0x6, 0x2, 0x4}, {0x8, 0x7ff}}}}, 0x11) 23:42:09 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x40000}], 0x2, 0x0) 23:42:09 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_ACLDATA_PKT={0x2, {0xc9, 0x1, 0x1, 0xc}, @l2cap_cid_le_signaling={{0x8}, @l2cap_disconn_req={{0x6, 0x2, 0x4}, {0x8, 0x7ff}}}}, 0x11) 23:42:09 executing program 3: syz_emit_vhci(&(0x7f0000000000)=ANY=[@ANYBLOB="040f2448c497f8"], 0x7) 23:42:09 executing program 3: syz_emit_vhci(&(0x7f0000000000)=ANY=[@ANYBLOB="040f2448c497f8"], 0x7) 23:42:09 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x4c, r0, 0x400, 0x70bd28, 0x25dfdbff, {}, [@IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0xe5b0}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_SHORT_ADDR={0x6, 0x4, 0xaaa3}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x4c}, 0x1, 0x0, 0x0, 0x4008800}, 0x50) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r1 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) (async) r2 = syz_genetlink_get_family_id$nl802154(&(0x7f0000000280), 0xffffffffffffffff) sendmsg$NL802154_CMD_GET_INTERFACE(r1, &(0x7f0000000540)={0x0, 0x0, &(0x7f0000000500)={&(0x7f00000004c0)={0x1c, r2, 0x1, 0x0, 0x0, {}, [@NL802154_ATTR_IFINDEX={0x8}]}, 0x1c}}, 0x0) (async) r3 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) (async) r4 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r5 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), r4) sendmsg$IEEE802154_LLSEC_DEL_KEY(r3, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f0000000100)={0x14, r5, 0x1, 0x0, 0x0, {0x3}}, 0x14}}, 0x0) (async) sendmsg$IEEE802154_SET_MACPARAMS(r1, &(0x7f0000000400)={&(0x7f0000000340)={0x10, 0x0, 0x0, 0x8}, 0xc, &(0x7f00000003c0)={&(0x7f0000000380)={0x34, r5, 0x20, 0x70bd2b, 0x25dfdbfc, {}, [@IEEE802154_ATTR_CCA_MODE={0x5, 0x23, 0x82}, @IEEE802154_ATTR_CCA_MODE={0x5, 0x23, 0x41}, @IEEE802154_ATTR_CSMA_MIN_BE={0x5, 0x26, 0x7a}, @IEEE802154_ATTR_CSMA_MAX_BE={0x5}]}, 0x34}, 0x1, 0x0, 0x0, 0x8000}, 0x90) r6 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r7 = openat$cgroup_procs(r6, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r7, &(0x7f0000000280), 0x12) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) (async) sendmsg$IEEE802154_SET_MACPARAMS(r4, &(0x7f0000000600)={&(0x7f0000000440)={0x10, 0x0, 0x0, 0x4000}, 0xc, &(0x7f0000000480)={&(0x7f0000000580)={0x44, r0, 0x200, 0x70bd29, 0x25dfdbfe, {}, [@IEEE802154_ATTR_CSMA_MIN_BE={0x5, 0x26, 0xbf}, @IEEE802154_ATTR_TXPOWER={0x5, 0x21, 0x5}, @IEEE802154_ATTR_CCA_ED_LEVEL={0x8, 0x24, 0x4}, @IEEE802154_ATTR_CSMA_MIN_BE={0x5, 0x26, 0x7}, @IEEE802154_ATTR_CSMA_MIN_BE={0x5, 0x26, 0x6}, @IEEE802154_ATTR_CCA_ED_LEVEL={0x8}]}, 0x44}, 0x1, 0x0, 0x0, 0x8000}, 0x20008000) (async) r8 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r9 = openat$cgroup_subtree(r8, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r9, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) [ 539.645099][T20746] create_pit_timer: 4 callbacks suppressed [ 539.645117][T20746] pit: kvm: requested 6704 ns i8254 timer period limited to 200000 ns 23:42:09 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0716e18304ef2b9f4fadd5ec8c0fa978096f3f04f4d4e77e74393b35904000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000bc0)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ccca9a569ea0bcbeba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) 23:42:09 executing program 3: syz_emit_vhci(&(0x7f0000000000)=ANY=[@ANYBLOB="040f2448c497f8"], 0x7) syz_emit_vhci(&(0x7f0000000000)=ANY=[@ANYBLOB="040f2448c497f8"], 0x7) (async) 23:42:09 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x4c, r0, 0x400, 0x70bd28, 0x25dfdbff, {}, [@IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0xe5b0}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_SHORT_ADDR={0x6, 0x4, 0xaaa3}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x4c}, 0x1, 0x0, 0x0, 0x4008800}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) (async) r2 = syz_genetlink_get_family_id$nl802154(&(0x7f0000000280), 0xffffffffffffffff) sendmsg$NL802154_CMD_GET_INTERFACE(r1, &(0x7f0000000540)={0x0, 0x0, &(0x7f0000000500)={&(0x7f00000004c0)={0x1c, r2, 0x1, 0x0, 0x0, {}, [@NL802154_ATTR_IFINDEX={0x8}]}, 0x1c}}, 0x0) (async) r3 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) (async, rerun: 32) r4 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) (rerun: 32) r5 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), r4) sendmsg$IEEE802154_LLSEC_DEL_KEY(r3, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f0000000100)={0x14, r5, 0x1, 0x0, 0x0, {0x3}}, 0x14}}, 0x0) (async) sendmsg$IEEE802154_SET_MACPARAMS(r1, &(0x7f0000000400)={&(0x7f0000000340)={0x10, 0x0, 0x0, 0x8}, 0xc, &(0x7f00000003c0)={&(0x7f0000000380)={0x34, r5, 0x20, 0x70bd2b, 0x25dfdbfc, {}, [@IEEE802154_ATTR_CCA_MODE={0x5, 0x23, 0x82}, @IEEE802154_ATTR_CCA_MODE={0x5, 0x23, 0x41}, @IEEE802154_ATTR_CSMA_MIN_BE={0x5, 0x26, 0x7a}, @IEEE802154_ATTR_CSMA_MAX_BE={0x5}]}, 0x34}, 0x1, 0x0, 0x0, 0x8000}, 0x90) (async, rerun: 32) r6 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (rerun: 32) r7 = openat$cgroup_procs(r6, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) (async) write$cgroup_pid(r7, &(0x7f0000000280), 0x12) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) sendmsg$IEEE802154_SET_MACPARAMS(r4, &(0x7f0000000600)={&(0x7f0000000440)={0x10, 0x0, 0x0, 0x4000}, 0xc, &(0x7f0000000480)={&(0x7f0000000580)={0x44, r0, 0x200, 0x70bd29, 0x25dfdbfe, {}, [@IEEE802154_ATTR_CSMA_MIN_BE={0x5, 0x26, 0xbf}, @IEEE802154_ATTR_TXPOWER={0x5, 0x21, 0x5}, @IEEE802154_ATTR_CCA_ED_LEVEL={0x8, 0x24, 0x4}, @IEEE802154_ATTR_CSMA_MIN_BE={0x5, 0x26, 0x7}, @IEEE802154_ATTR_CSMA_MIN_BE={0x5, 0x26, 0x6}, @IEEE802154_ATTR_CCA_ED_LEVEL={0x8}]}, 0x44}, 0x1, 0x0, 0x0, 0x8000}, 0x20008000) (async) r8 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r9 = openat$cgroup_subtree(r8, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r9, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) 23:42:09 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0x1e00) 23:42:09 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x400300}], 0x2, 0x0) 23:42:09 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) r2 = dup(0xffffffffffffffff) pipe(&(0x7f00000000c0)={0xffffffffffffffff}) tee(r3, 0xffffffffffffffff, 0x8, 0x0) r4 = socket$inet_udp(0x2, 0x2, 0x0) r5 = syz_clone(0x40800000, 0x0, 0x0, &(0x7f0000000080), &(0x7f00000000c0), 0x0) sendmsg$NL802154_CMD_SET_WPAN_PHY_NETNS(0xffffffffffffffff, &(0x7f0000001180)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x8000}, 0xc, &(0x7f0000001140)={&(0x7f0000001100)={0x3c, 0x0, 0x800, 0x70bd25, 0x0, {}, [@NL802154_ATTR_PID={0x8, 0x1c, r5}, @NL802154_ATTR_WPAN_PHY={0x8, 0x1, 0x1}, @NL802154_ATTR_IFINDEX={0x8}, @NL802154_ATTR_WPAN_PHY={0x8}, @NL802154_ATTR_WPAN_PHY={0x8, 0x1, 0x3}]}, 0x3c}, 0x1, 0x0, 0x0, 0x8800}, 0x800) statx(0xffffffffffffff9c, &(0x7f0000000b40)='./file0\x00', 0x400, 0x20, &(0x7f0000000b80)={0x0, 0x0, 0x0, 0x0, 0x0}) r7 = syz_open_dev$tty20(0xc, 0x4, 0x1) fcntl$getownex(0xffffffffffffffff, 0x10, &(0x7f0000000c80)={0x0, 0x0}) statx(0xffffffffffffffff, &(0x7f0000000f40)='./file0/file0\x00', 0x6000, 0x800, &(0x7f0000000d00)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) sendmsg$netlink(0xffffffffffffffff, &(0x7f0000000f00)={0x0, 0x0, &(0x7f0000000ac0)=[{&(0x7f0000000100)={0x414, 0x33, 0x100, 0x70bd25, 0x25dfdbfb, "", [@generic="f98e2254f200cb5d0bdfb25f351891600ac4384a3c3ebe8826db94f08e59ab9c7354c8167fd1802afb4bfd3018fcdb7135ec956669d8fdb74e445b32f3518e3c4a977189ca", @generic="bf9c7afb68d64ec34d28da21d13d33685928cdcd27124c5a8facb6a66e5c14b6c4aa073356366c8e881add6d20d99ea4fcc0b3e6e426b6c8b62e7a20962d58f6b142878d6bdafd88adfcfaa752572b9b4259b44ccb87c6ba1ba2b9ce4266bc024e363b7eaeb2d899b09f81c0b552d68f23dff40e51a5c41e571ce833d1fab0958794b898c8e2d6d02ba19da1d7030403f41abab97f406cb92df42f036c9fbee818c2beafc4bb5820bc47d60155c71124106631bdc117c7b77b05f89cc941818d9adea4ea5c6cf8ab8eef59", @typed={0x8, 0x8, 0x0, 0x0, @u32=0x6}, @generic="badff91bdea8600ffba15d9be9cf12914e65b99f00af6c2e9bc9ef9e56e1fb62bf1b81e34a289018bccd8c5a51c1c8460033e9cecabd927750ccc815998ee087a0ee5486c5e428d765f6ac0ab36b0b8c0be73656e5a5736cdb1e71989fa96cc68e8bc140a48446eac7b540dafdc451df22fac6f26c9a", @generic="4baa8c189e25737b7ea119d8249d73e020779f994a2da7add8114af0e04d528c2552e0e64903e24ff28214041351d84d68ae7b8344141e90c44ab9bec28a84ec368756e4666fe181e5a2c7b4d70178a72314d2e6f334f61382345e26c9a474851938edb9636d6702142666316cde8c8f03a5463550eee8eca5e02c543b65edaf264048264175c86f09de95b9ae629930bdeddeaaf2e70fc4474c1c142cd441b3ece0e0ac4e2421922f5571ca8f6179ed5732df40f222c45e83af214b4c53c4ee3f2f29155eddccc6f99b967d6e4913dcc7ec433da85b05411403115dbc469fd249f5885d0c", @generic="f8f3e96efa9cc18c44882048857b8cd8ac48ea31ca14ef2c24cc16700411b86d47b45dce9d7ecfe23492465894de1c3a3c21a1043a78ee18041ad0bdd9e03a35e546c33650c9499565abadc52b3cc5af4e0747a79762ec752e8b66a854a8929d163a9b40f5e516db0f1cae91660ab9fdda663f96b5311cc50ecf50c1b9788a6cbb854c21bb8421ffdf52e0ef7ccafbbf132ff2f9ccfb3516bf519b8c3707a77179ba0d779f0be7e9354a28502d5857cf5fbe49ae1f4f762df98bfc8cf711f12521d5e6a7d319e862fa35139975354d", @generic="3359ff5bb7b55830473ed0938c1df081a0c698aa77b03ecc2a2e1ca87e2d6117751e7865897c9b9572016b7ed90427bc440224f133af3ccef4709ba854e4d63cf182dc722fbc74acb8cae37b27664bd30f12d3182d36ef2199e53856382d83fccd2635946e3e212899e6b600e06b802ff619a68695e21e67c5e90406002a1a4f4c453c238e9049f4033523007c6edc98b999d814c1fd327ed32f8ac09b7ee9c0c7b4df1b599259b03686b6047e991e5106d9e1e3d9c99d201a40a206ca1792"]}, 0x414}, {&(0x7f0000000600)={0x60, 0x41, 0x0, 0x70bd28, 0x25dfdbff, "", [@typed={0x47, 0x0, 0x0, 0x0, @binary="8ab02eea23559b85773f59c2a00a4080eb77209c440554c1dc2b901169aaed43a35a385d9c7936803c4331cac7080d73e6ba1d62e3dc7e41aeeb4ef61f105f754ec3e8"}, @typed={0x8, 0x12, 0x0, 0x0, @fd}]}, 0x60}, {&(0x7f0000000680)={0x40, 0x1b, 0x20, 0x70bd25, 0x25dfdbfe, "", [@typed={0xc, 0x1a, 0x0, 0x0, @u64=0x2}, @nested={0x21, 0x8, 0x0, 0x1, [@generic="03be47e2fdafd3186a3d89b0b0d929b6c7c983aec841e5ca48eed74043"]}]}, 0x40}, {&(0x7f0000000900)={0x2c, 0x37, 0x300, 0x70bd2a, 0x25dfdbff, "", [@typed={0x4, 0x5d}, @typed={0x8, 0x1d, 0x0, 0x0, @pid}, @typed={0x8, 0x38, 0x0, 0x0, @u32=0x3}, @generic, @nested={0x8, 0x91, 0x0, 0x1, [@typed={0x4}]}]}, 0x2c}], 0x4, &(0x7f0000000e00)=[@rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xffffffffffffffff, 0xffffffffffffffff}}}, @rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @rights={{0x28, 0x1, 0x1, [r2, 0xffffffffffffffff, r3, 0xffffffffffffffff, 0xffffffffffffffff, r4]}}, @cred={{0x1c, 0x1, 0x2, {r5, r6}}}, @rights={{0x20, 0x1, 0x1, [r7, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {r8, 0xee01, r9}}}], 0xe8, 0x20000000}, 0x81) newfstatat(0xffffffffffffff9c, &(0x7f0000001600)='./file0\x00', &(0x7f0000001640)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}, 0x400) r11 = syz_clone3(&(0x7f0000001900)={0x2200a0800, &(0x7f00000016c0), &(0x7f0000001700), &(0x7f0000001740), {0x40}, &(0x7f0000001780)=""/82, 0x52, &(0x7f0000001800)=""/144, &(0x7f00000018c0)=[0x0, 0x0, 0x0, 0x0], 0x4, {r0}}, 0x58) fstat(r1, &(0x7f0000001980)={0x0, 0x0, 0x0, 0x0, 0x0}) fstat(0xffffffffffffffff, &(0x7f0000001a00)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) sendmsg$unix(0xffffffffffffffff, &(0x7f0000001c00)={&(0x7f0000000080)=@abs={0x1, 0x0, 0x4e22}, 0x6e, &(0x7f00000013c0)=[{&(0x7f0000000180)="8b37bfa64ba0f077e145b62d06626c3ef82671f94a6e599da79c42ecb9b8b16d76287d068ed88000f50d21001ce66faee74af950eb4b7b72e602f4478c02ab3b7a4ef9dfd7a51438bebb1594db3e", 0x4e}, {&(0x7f0000000200)="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", 0x1000}, {&(0x7f0000000100)="de9f61a325d9e164efe000f33cb128c0fef5ee5367", 0x15}, {&(0x7f0000001200)="7416bdba2d1b920501f3190e7b23e3ddc6b69bfb7fba1d570d81e92790befac4666b97a2968d30cf9185d14de493ea7e9df23c4518a71ebe3a1eccc74aa30f331b315d653a388b42fb3431738cfc6aead3cdde2b389ce1eff7c6a39e7d6b2d8bf9c34c5c9376a6a557f69724a8e95a45e0bd59fe7f779f58fc00a79e53fdac7562265a39f30ed26630ca6849a1832ded6e49b0485a4114b121007a6f2e5e628806865a82b9ac7f4118043060564230ec26549cb9a09b8b910c2a795b3deac1807839fed963afef0c2820277260", 0xcd}, {&(0x7f0000001300)="94b0d842d7178657ab6947b7ed8f336f0cf55579b18aaaf0ffbbd8e1846d2b9bcc3f584dd389aa0b2e181d7fd846db44e547397aeae097c0c1e09fc08ed4614a56bb55681979329e87da9fa29742e5b4020fee66728364502415d0c17e1dde60d11ef15b4ed96409ef01ac24f36edb9f05ced9f9765314d3d015452f8d09439c5d59fa220836754dbfc1830fb7e21762c6ed90de54d0324fee7628ba98cf136466fd27cd0650b183b27589c9f62c21ab403dac48941130d772", 0xb9}], 0x5, &(0x7f0000001a80)=[@cred={{0x1c, 0x1, 0x2, {0x0, 0xee00}}}, @rights={{0x34, 0x1, 0x1, [0xffffffffffffffff, r0, r1, 0xffffffffffffffff, 0xffffffffffffffff, r1, 0xffffffffffffffff, 0xffffffffffffffff, r1]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xffffffffffffffff, 0xffffffffffffffff}}}, @rights={{0x24, 0x1, 0x1, [0xffffffffffffffff, r1, 0xffffffffffffffff, 0xffffffffffffffff, r0]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xee00, 0xee00}}}, @cred={{0x1c}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0x0, 0xee00}}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0x0, 0xee01}}}, @cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff, r6, r10}}}, @cred={{0x1c, 0x1, 0x2, {r11, r12, r13}}}], 0x160, 0x11}, 0x40080) 23:42:09 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000080)=@HCI_ACLDATA_PKT={0x2, {0x64, 0x0, 0x0, 0x69}, @l2cap_cid_signaling={{0x65}, [@l2cap_create_chan_req={{0xc, 0x8, 0x5}, {0x4, 0x667, 0x4}}, @l2cap_conn_rsp={{0x3, 0x7, 0x8}, {0x3, 0xbff, 0x40, 0x5}}, @l2cap_info_rsp={{0xb, 0xfb, 0x4c}, {0x0, 0x1, "3ecbb479c91649eb2a6ae52fbd843b0a814ae14c3884894c48cd9943f2aa902967e77a1a8e5e232b8aacab434cb73daeec01ffce6ff6acec1a7a10ea1ddcbc9bd906ed6ee837fd77"}}]}}, 0x6e) syz_emit_vhci(&(0x7f0000000040)=@HCI_EVENT_PKT={0x4, @hci_ev_key_refresh_complete={{0x30, 0x3}, {0x2, 0xc8}}}, 0x6) 23:42:09 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) pipe2$9p(&(0x7f0000000240)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) write$P9_RVERSION(r2, &(0x7f0000000080)=ANY=[@ANYBLOB="1500000065ffff097b00000800395032303030"], 0x15) r3 = dup(r2) write$FUSE_BMAP(r3, &(0x7f0000000100)={0x18}, 0x18) write$FUSE_DIRENTPLUS(r3, &(0x7f0000000500)={0xb0, 0x0, 0x0, [{{0x0, 0x0, 0x0, 0x0, 0x0, 0x0, {0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xee00}}, {0x0, 0x0, 0x3, 0x0, '9p\x00'}}]}, 0xb0) openat$cgroup_devices(r1, &(0x7f00000003c0)='devices.deny\x00', 0x2, 0x0) openat$cgroup_int(r3, &(0x7f0000000380)='memory.low\x00', 0x2, 0x0) r4 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r4, &(0x7f0000000280), 0x12) prctl$PR_SCHED_CORE(0x3e, 0x0, 0xffffffffffffffff, 0x0, &(0x7f0000000340)) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) r5 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r6 = openat$cgroup_subtree(r5, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r6, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) 23:42:09 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000080)=@HCI_ACLDATA_PKT={0x2, {0x64, 0x0, 0x0, 0x69}, @l2cap_cid_signaling={{0x65}, [@l2cap_create_chan_req={{0xc, 0x8, 0x5}, {0x4, 0x667, 0x4}}, @l2cap_conn_rsp={{0x3, 0x7, 0x8}, {0x3, 0xbff, 0x40, 0x5}}, @l2cap_info_rsp={{0xb, 0xfb, 0x4c}, {0x0, 0x1, "3ecbb479c91649eb2a6ae52fbd843b0a814ae14c3884894c48cd9943f2aa902967e77a1a8e5e232b8aacab434cb73daeec01ffce6ff6acec1a7a10ea1ddcbc9bd906ed6ee837fd77"}}]}}, 0x6e) syz_emit_vhci(&(0x7f0000000040)=@HCI_EVENT_PKT={0x4, @hci_ev_key_refresh_complete={{0x30, 0x3}, {0x2, 0xc8}}}, 0x6) syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) (async) syz_emit_vhci(&(0x7f0000000080)=@HCI_ACLDATA_PKT={0x2, {0x64, 0x0, 0x0, 0x69}, @l2cap_cid_signaling={{0x65}, [@l2cap_create_chan_req={{0xc, 0x8, 0x5}, {0x4, 0x667, 0x4}}, @l2cap_conn_rsp={{0x3, 0x7, 0x8}, {0x3, 0xbff, 0x40, 0x5}}, @l2cap_info_rsp={{0xb, 0xfb, 0x4c}, {0x0, 0x1, "3ecbb479c91649eb2a6ae52fbd843b0a814ae14c3884894c48cd9943f2aa902967e77a1a8e5e232b8aacab434cb73daeec01ffce6ff6acec1a7a10ea1ddcbc9bd906ed6ee837fd77"}}]}}, 0x6e) (async) syz_emit_vhci(&(0x7f0000000040)=@HCI_EVENT_PKT={0x4, @hci_ev_key_refresh_complete={{0x30, 0x3}, {0x2, 0xc8}}}, 0x6) (async) 23:42:09 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x1000000}], 0x2, 0x0) [ 539.781565][T20783] loop0: detected capacity change from 0 to 2048 23:42:09 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) (async, rerun: 64) syz_emit_vhci(&(0x7f0000000080)=@HCI_ACLDATA_PKT={0x2, {0x64, 0x0, 0x0, 0x69}, @l2cap_cid_signaling={{0x65}, [@l2cap_create_chan_req={{0xc, 0x8, 0x5}, {0x4, 0x667, 0x4}}, @l2cap_conn_rsp={{0x3, 0x7, 0x8}, {0x3, 0xbff, 0x40, 0x5}}, @l2cap_info_rsp={{0xb, 0xfb, 0x4c}, {0x0, 0x1, "3ecbb479c91649eb2a6ae52fbd843b0a814ae14c3884894c48cd9943f2aa902967e77a1a8e5e232b8aacab434cb73daeec01ffce6ff6acec1a7a10ea1ddcbc9bd906ed6ee837fd77"}}]}}, 0x6e) (async, rerun: 64) syz_emit_vhci(&(0x7f0000000040)=@HCI_EVENT_PKT={0x4, @hci_ev_key_refresh_complete={{0x30, 0x3}, {0x2, 0xc8}}}, 0x6) 23:42:09 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) pipe2$9p(&(0x7f0000000240)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) write$P9_RVERSION(r2, &(0x7f0000000080)=ANY=[@ANYBLOB="1500000065ffff097b00000800395032303030"], 0x15) r3 = dup(r2) write$FUSE_BMAP(r3, &(0x7f0000000100)={0x18}, 0x18) (async, rerun: 64) write$FUSE_DIRENTPLUS(r3, &(0x7f0000000500)={0xb0, 0x0, 0x0, [{{0x0, 0x0, 0x0, 0x0, 0x0, 0x0, {0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xee00}}, {0x0, 0x0, 0x3, 0x0, '9p\x00'}}]}, 0xb0) (async, rerun: 64) openat$cgroup_devices(r1, &(0x7f00000003c0)='devices.deny\x00', 0x2, 0x0) openat$cgroup_int(r3, &(0x7f0000000380)='memory.low\x00', 0x2, 0x0) (async, rerun: 64) r4 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) (rerun: 64) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r4, &(0x7f0000000280), 0x12) (async, rerun: 32) prctl$PR_SCHED_CORE(0x3e, 0x0, 0xffffffffffffffff, 0x0, &(0x7f0000000340)) (rerun: 32) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) (async) r5 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r6 = openat$cgroup_subtree(r5, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r6, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) 23:42:09 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0716e18304ef2b9f4fadd5ec8c0fa978096f3f04f4d4e77e74393b35904000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000bc0)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ccca9a569ea0bcbeba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0716e18304ef2b9f4fadd5ec8c0fa978096f3f04f4d4e77e74393b35904000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000bc0)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ccca9a569ea0bcbeba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) (async) openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (async) openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (async) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) (async) 23:42:09 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x2000000}], 0x2, 0x0) 23:42:09 executing program 3: syz_emit_vhci(&(0x7f0000000000)=ANY=[@ANYBLOB="02c83012000e000500090000000100080001a20a8d0901"], 0x17) syz_emit_vhci(&(0x7f0000000040)=@HCI_VENDOR_PKT={0xff, 0x40}, 0x2) [ 539.867822][T20799] NILFS (loop0): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds [ 539.896681][T20790] pit: kvm: requested 6704 ns i8254 timer period limited to 200000 ns 23:42:09 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0x1f00) 23:42:09 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x3000000}], 0x2, 0x0) 23:42:09 executing program 3: syz_emit_vhci(&(0x7f0000000000)=ANY=[@ANYBLOB="02c83012000e000500090000000100080001a20a8d0901"], 0x17) (async) syz_emit_vhci(&(0x7f0000000040)=@HCI_VENDOR_PKT={0xff, 0x40}, 0x2) 23:42:09 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) r2 = dup(0xffffffffffffffff) pipe(&(0x7f00000000c0)={0xffffffffffffffff}) tee(r3, 0xffffffffffffffff, 0x8, 0x0) r4 = socket$inet_udp(0x2, 0x2, 0x0) r5 = syz_clone(0x40800000, 0x0, 0x0, &(0x7f0000000080), &(0x7f00000000c0), 0x0) sendmsg$NL802154_CMD_SET_WPAN_PHY_NETNS(0xffffffffffffffff, &(0x7f0000001180)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x8000}, 0xc, &(0x7f0000001140)={&(0x7f0000001100)={0x3c, 0x0, 0x800, 0x70bd25, 0x0, {}, [@NL802154_ATTR_PID={0x8, 0x1c, r5}, @NL802154_ATTR_WPAN_PHY={0x8, 0x1, 0x1}, @NL802154_ATTR_IFINDEX={0x8}, @NL802154_ATTR_WPAN_PHY={0x8}, @NL802154_ATTR_WPAN_PHY={0x8, 0x1, 0x3}]}, 0x3c}, 0x1, 0x0, 0x0, 0x8800}, 0x800) statx(0xffffffffffffff9c, &(0x7f0000000b40)='./file0\x00', 0x400, 0x20, &(0x7f0000000b80)={0x0, 0x0, 0x0, 0x0, 0x0}) r7 = syz_open_dev$tty20(0xc, 0x4, 0x1) fcntl$getownex(0xffffffffffffffff, 0x10, &(0x7f0000000c80)={0x0, 0x0}) statx(0xffffffffffffffff, &(0x7f0000000f40)='./file0/file0\x00', 0x6000, 0x800, &(0x7f0000000d00)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) sendmsg$netlink(0xffffffffffffffff, &(0x7f0000000f00)={0x0, 0x0, &(0x7f0000000ac0)=[{&(0x7f0000000100)={0x414, 0x33, 0x100, 0x70bd25, 0x25dfdbfb, "", [@generic="f98e2254f200cb5d0bdfb25f351891600ac4384a3c3ebe8826db94f08e59ab9c7354c8167fd1802afb4bfd3018fcdb7135ec956669d8fdb74e445b32f3518e3c4a977189ca", @generic="bf9c7afb68d64ec34d28da21d13d33685928cdcd27124c5a8facb6a66e5c14b6c4aa073356366c8e881add6d20d99ea4fcc0b3e6e426b6c8b62e7a20962d58f6b142878d6bdafd88adfcfaa752572b9b4259b44ccb87c6ba1ba2b9ce4266bc024e363b7eaeb2d899b09f81c0b552d68f23dff40e51a5c41e571ce833d1fab0958794b898c8e2d6d02ba19da1d7030403f41abab97f406cb92df42f036c9fbee818c2beafc4bb5820bc47d60155c71124106631bdc117c7b77b05f89cc941818d9adea4ea5c6cf8ab8eef59", @typed={0x8, 0x8, 0x0, 0x0, @u32=0x6}, @generic="badff91bdea8600ffba15d9be9cf12914e65b99f00af6c2e9bc9ef9e56e1fb62bf1b81e34a289018bccd8c5a51c1c8460033e9cecabd927750ccc815998ee087a0ee5486c5e428d765f6ac0ab36b0b8c0be73656e5a5736cdb1e71989fa96cc68e8bc140a48446eac7b540dafdc451df22fac6f26c9a", @generic="4baa8c189e25737b7ea119d8249d73e020779f994a2da7add8114af0e04d528c2552e0e64903e24ff28214041351d84d68ae7b8344141e90c44ab9bec28a84ec368756e4666fe181e5a2c7b4d70178a72314d2e6f334f61382345e26c9a474851938edb9636d6702142666316cde8c8f03a5463550eee8eca5e02c543b65edaf264048264175c86f09de95b9ae629930bdeddeaaf2e70fc4474c1c142cd441b3ece0e0ac4e2421922f5571ca8f6179ed5732df40f222c45e83af214b4c53c4ee3f2f29155eddccc6f99b967d6e4913dcc7ec433da85b05411403115dbc469fd249f5885d0c", @generic="f8f3e96efa9cc18c44882048857b8cd8ac48ea31ca14ef2c24cc16700411b86d47b45dce9d7ecfe23492465894de1c3a3c21a1043a78ee18041ad0bdd9e03a35e546c33650c9499565abadc52b3cc5af4e0747a79762ec752e8b66a854a8929d163a9b40f5e516db0f1cae91660ab9fdda663f96b5311cc50ecf50c1b9788a6cbb854c21bb8421ffdf52e0ef7ccafbbf132ff2f9ccfb3516bf519b8c3707a77179ba0d779f0be7e9354a28502d5857cf5fbe49ae1f4f762df98bfc8cf711f12521d5e6a7d319e862fa35139975354d", @generic="3359ff5bb7b55830473ed0938c1df081a0c698aa77b03ecc2a2e1ca87e2d6117751e7865897c9b9572016b7ed90427bc440224f133af3ccef4709ba854e4d63cf182dc722fbc74acb8cae37b27664bd30f12d3182d36ef2199e53856382d83fccd2635946e3e212899e6b600e06b802ff619a68695e21e67c5e90406002a1a4f4c453c238e9049f4033523007c6edc98b999d814c1fd327ed32f8ac09b7ee9c0c7b4df1b599259b03686b6047e991e5106d9e1e3d9c99d201a40a206ca1792"]}, 0x414}, {&(0x7f0000000600)={0x60, 0x41, 0x0, 0x70bd28, 0x25dfdbff, "", [@typed={0x47, 0x0, 0x0, 0x0, @binary="8ab02eea23559b85773f59c2a00a4080eb77209c440554c1dc2b901169aaed43a35a385d9c7936803c4331cac7080d73e6ba1d62e3dc7e41aeeb4ef61f105f754ec3e8"}, @typed={0x8, 0x12, 0x0, 0x0, @fd}]}, 0x60}, {&(0x7f0000000680)={0x40, 0x1b, 0x20, 0x70bd25, 0x25dfdbfe, "", [@typed={0xc, 0x1a, 0x0, 0x0, @u64=0x2}, @nested={0x21, 0x8, 0x0, 0x1, [@generic="03be47e2fdafd3186a3d89b0b0d929b6c7c983aec841e5ca48eed74043"]}]}, 0x40}, {&(0x7f0000000900)={0x2c, 0x37, 0x300, 0x70bd2a, 0x25dfdbff, "", [@typed={0x4, 0x5d}, @typed={0x8, 0x1d, 0x0, 0x0, @pid}, @typed={0x8, 0x38, 0x0, 0x0, @u32=0x3}, @generic, @nested={0x8, 0x91, 0x0, 0x1, [@typed={0x4}]}]}, 0x2c}], 0x4, &(0x7f0000000e00)=[@rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xffffffffffffffff, 0xffffffffffffffff}}}, @rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @rights={{0x28, 0x1, 0x1, [r2, 0xffffffffffffffff, r3, 0xffffffffffffffff, 0xffffffffffffffff, r4]}}, @cred={{0x1c, 0x1, 0x2, {r5, r6}}}, @rights={{0x20, 0x1, 0x1, [r7, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {r8, 0xee01, r9}}}], 0xe8, 0x20000000}, 0x81) newfstatat(0xffffffffffffff9c, &(0x7f0000001600)='./file0\x00', &(0x7f0000001640)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}, 0x400) r11 = syz_clone3(&(0x7f0000001900)={0x2200a0800, &(0x7f00000016c0), &(0x7f0000001700), &(0x7f0000001740), {0x40}, &(0x7f0000001780)=""/82, 0x52, &(0x7f0000001800)=""/144, &(0x7f00000018c0)=[0x0, 0x0, 0x0, 0x0], 0x4, {r0}}, 0x58) fstat(r1, &(0x7f0000001980)={0x0, 0x0, 0x0, 0x0, 0x0}) fstat(0xffffffffffffffff, &(0x7f0000001a00)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) sendmsg$unix(0xffffffffffffffff, &(0x7f0000001c00)={&(0x7f0000000080)=@abs={0x1, 0x0, 0x4e22}, 0x6e, &(0x7f00000013c0)=[{&(0x7f0000000180)="8b37bfa64ba0f077e145b62d06626c3ef82671f94a6e599da79c42ecb9b8b16d76287d068ed88000f50d21001ce66faee74af950eb4b7b72e602f4478c02ab3b7a4ef9dfd7a51438bebb1594db3e", 0x4e}, {&(0x7f0000000200)="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", 0x1000}, {&(0x7f0000000100)="de9f61a325d9e164efe000f33cb128c0fef5ee5367", 0x15}, {&(0x7f0000001200)="7416bdba2d1b920501f3190e7b23e3ddc6b69bfb7fba1d570d81e92790befac4666b97a2968d30cf9185d14de493ea7e9df23c4518a71ebe3a1eccc74aa30f331b315d653a388b42fb3431738cfc6aead3cdde2b389ce1eff7c6a39e7d6b2d8bf9c34c5c9376a6a557f69724a8e95a45e0bd59fe7f779f58fc00a79e53fdac7562265a39f30ed26630ca6849a1832ded6e49b0485a4114b121007a6f2e5e628806865a82b9ac7f4118043060564230ec26549cb9a09b8b910c2a795b3deac1807839fed963afef0c2820277260", 0xcd}, {&(0x7f0000001300)="94b0d842d7178657ab6947b7ed8f336f0cf55579b18aaaf0ffbbd8e1846d2b9bcc3f584dd389aa0b2e181d7fd846db44e547397aeae097c0c1e09fc08ed4614a56bb55681979329e87da9fa29742e5b4020fee66728364502415d0c17e1dde60d11ef15b4ed96409ef01ac24f36edb9f05ced9f9765314d3d015452f8d09439c5d59fa220836754dbfc1830fb7e21762c6ed90de54d0324fee7628ba98cf136466fd27cd0650b183b27589c9f62c21ab403dac48941130d772", 0xb9}], 0x5, &(0x7f0000001a80)=[@cred={{0x1c, 0x1, 0x2, {0x0, 0xee00}}}, @rights={{0x34, 0x1, 0x1, [0xffffffffffffffff, r0, r1, 0xffffffffffffffff, 0xffffffffffffffff, r1, 0xffffffffffffffff, 0xffffffffffffffff, r1]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xffffffffffffffff, 0xffffffffffffffff}}}, @rights={{0x24, 0x1, 0x1, [0xffffffffffffffff, r1, 0xffffffffffffffff, 0xffffffffffffffff, r0]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xee00, 0xee00}}}, @cred={{0x1c}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0x0, 0xee00}}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0x0, 0xee01}}}, @cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff, r6, r10}}}, @cred={{0x1c, 0x1, 0x2, {r11, r12, r13}}}], 0x160, 0x11}, 0x40080) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) (async) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) (async) dup(0xffffffffffffffff) (async) pipe(&(0x7f00000000c0)) (async) tee(r3, 0xffffffffffffffff, 0x8, 0x0) (async) socket$inet_udp(0x2, 0x2, 0x0) (async) syz_clone(0x40800000, 0x0, 0x0, &(0x7f0000000080), &(0x7f00000000c0), 0x0) (async) sendmsg$NL802154_CMD_SET_WPAN_PHY_NETNS(0xffffffffffffffff, &(0x7f0000001180)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x8000}, 0xc, &(0x7f0000001140)={&(0x7f0000001100)={0x3c, 0x0, 0x800, 0x70bd25, 0x0, {}, [@NL802154_ATTR_PID={0x8, 0x1c, r5}, @NL802154_ATTR_WPAN_PHY={0x8, 0x1, 0x1}, @NL802154_ATTR_IFINDEX={0x8}, @NL802154_ATTR_WPAN_PHY={0x8}, @NL802154_ATTR_WPAN_PHY={0x8, 0x1, 0x3}]}, 0x3c}, 0x1, 0x0, 0x0, 0x8800}, 0x800) (async) statx(0xffffffffffffff9c, &(0x7f0000000b40)='./file0\x00', 0x400, 0x20, &(0x7f0000000b80)) (async) syz_open_dev$tty20(0xc, 0x4, 0x1) (async) fcntl$getownex(0xffffffffffffffff, 0x10, &(0x7f0000000c80)) (async) statx(0xffffffffffffffff, &(0x7f0000000f40)='./file0/file0\x00', 0x6000, 0x800, &(0x7f0000000d00)) (async) sendmsg$netlink(0xffffffffffffffff, &(0x7f0000000f00)={0x0, 0x0, &(0x7f0000000ac0)=[{&(0x7f0000000100)={0x414, 0x33, 0x100, 0x70bd25, 0x25dfdbfb, "", [@generic="f98e2254f200cb5d0bdfb25f351891600ac4384a3c3ebe8826db94f08e59ab9c7354c8167fd1802afb4bfd3018fcdb7135ec956669d8fdb74e445b32f3518e3c4a977189ca", @generic="bf9c7afb68d64ec34d28da21d13d33685928cdcd27124c5a8facb6a66e5c14b6c4aa073356366c8e881add6d20d99ea4fcc0b3e6e426b6c8b62e7a20962d58f6b142878d6bdafd88adfcfaa752572b9b4259b44ccb87c6ba1ba2b9ce4266bc024e363b7eaeb2d899b09f81c0b552d68f23dff40e51a5c41e571ce833d1fab0958794b898c8e2d6d02ba19da1d7030403f41abab97f406cb92df42f036c9fbee818c2beafc4bb5820bc47d60155c71124106631bdc117c7b77b05f89cc941818d9adea4ea5c6cf8ab8eef59", @typed={0x8, 0x8, 0x0, 0x0, @u32=0x6}, @generic="badff91bdea8600ffba15d9be9cf12914e65b99f00af6c2e9bc9ef9e56e1fb62bf1b81e34a289018bccd8c5a51c1c8460033e9cecabd927750ccc815998ee087a0ee5486c5e428d765f6ac0ab36b0b8c0be73656e5a5736cdb1e71989fa96cc68e8bc140a48446eac7b540dafdc451df22fac6f26c9a", @generic="4baa8c189e25737b7ea119d8249d73e020779f994a2da7add8114af0e04d528c2552e0e64903e24ff28214041351d84d68ae7b8344141e90c44ab9bec28a84ec368756e4666fe181e5a2c7b4d70178a72314d2e6f334f61382345e26c9a474851938edb9636d6702142666316cde8c8f03a5463550eee8eca5e02c543b65edaf264048264175c86f09de95b9ae629930bdeddeaaf2e70fc4474c1c142cd441b3ece0e0ac4e2421922f5571ca8f6179ed5732df40f222c45e83af214b4c53c4ee3f2f29155eddccc6f99b967d6e4913dcc7ec433da85b05411403115dbc469fd249f5885d0c", @generic="f8f3e96efa9cc18c44882048857b8cd8ac48ea31ca14ef2c24cc16700411b86d47b45dce9d7ecfe23492465894de1c3a3c21a1043a78ee18041ad0bdd9e03a35e546c33650c9499565abadc52b3cc5af4e0747a79762ec752e8b66a854a8929d163a9b40f5e516db0f1cae91660ab9fdda663f96b5311cc50ecf50c1b9788a6cbb854c21bb8421ffdf52e0ef7ccafbbf132ff2f9ccfb3516bf519b8c3707a77179ba0d779f0be7e9354a28502d5857cf5fbe49ae1f4f762df98bfc8cf711f12521d5e6a7d319e862fa35139975354d", @generic="3359ff5bb7b55830473ed0938c1df081a0c698aa77b03ecc2a2e1ca87e2d6117751e7865897c9b9572016b7ed90427bc440224f133af3ccef4709ba854e4d63cf182dc722fbc74acb8cae37b27664bd30f12d3182d36ef2199e53856382d83fccd2635946e3e212899e6b600e06b802ff619a68695e21e67c5e90406002a1a4f4c453c238e9049f4033523007c6edc98b999d814c1fd327ed32f8ac09b7ee9c0c7b4df1b599259b03686b6047e991e5106d9e1e3d9c99d201a40a206ca1792"]}, 0x414}, {&(0x7f0000000600)={0x60, 0x41, 0x0, 0x70bd28, 0x25dfdbff, "", [@typed={0x47, 0x0, 0x0, 0x0, @binary="8ab02eea23559b85773f59c2a00a4080eb77209c440554c1dc2b901169aaed43a35a385d9c7936803c4331cac7080d73e6ba1d62e3dc7e41aeeb4ef61f105f754ec3e8"}, @typed={0x8, 0x12, 0x0, 0x0, @fd}]}, 0x60}, {&(0x7f0000000680)={0x40, 0x1b, 0x20, 0x70bd25, 0x25dfdbfe, "", [@typed={0xc, 0x1a, 0x0, 0x0, @u64=0x2}, @nested={0x21, 0x8, 0x0, 0x1, [@generic="03be47e2fdafd3186a3d89b0b0d929b6c7c983aec841e5ca48eed74043"]}]}, 0x40}, {&(0x7f0000000900)={0x2c, 0x37, 0x300, 0x70bd2a, 0x25dfdbff, "", [@typed={0x4, 0x5d}, @typed={0x8, 0x1d, 0x0, 0x0, @pid}, @typed={0x8, 0x38, 0x0, 0x0, @u32=0x3}, @generic, @nested={0x8, 0x91, 0x0, 0x1, [@typed={0x4}]}]}, 0x2c}], 0x4, &(0x7f0000000e00)=[@rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xffffffffffffffff, 0xffffffffffffffff}}}, @rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @rights={{0x28, 0x1, 0x1, [r2, 0xffffffffffffffff, r3, 0xffffffffffffffff, 0xffffffffffffffff, r4]}}, @cred={{0x1c, 0x1, 0x2, {r5, r6}}}, @rights={{0x20, 0x1, 0x1, [r7, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {r8, 0xee01, r9}}}], 0xe8, 0x20000000}, 0x81) (async) newfstatat(0xffffffffffffff9c, &(0x7f0000001600)='./file0\x00', &(0x7f0000001640), 0x400) (async) syz_clone3(&(0x7f0000001900)={0x2200a0800, &(0x7f00000016c0), &(0x7f0000001700), &(0x7f0000001740), {0x40}, &(0x7f0000001780)=""/82, 0x52, &(0x7f0000001800)=""/144, &(0x7f00000018c0)=[0x0, 0x0, 0x0, 0x0], 0x4, {r0}}, 0x58) (async) fstat(r1, &(0x7f0000001980)) (async) fstat(0xffffffffffffffff, &(0x7f0000001a00)) (async) sendmsg$unix(0xffffffffffffffff, &(0x7f0000001c00)={&(0x7f0000000080)=@abs={0x1, 0x0, 0x4e22}, 0x6e, &(0x7f00000013c0)=[{&(0x7f0000000180)="8b37bfa64ba0f077e145b62d06626c3ef82671f94a6e599da79c42ecb9b8b16d76287d068ed88000f50d21001ce66faee74af950eb4b7b72e602f4478c02ab3b7a4ef9dfd7a51438bebb1594db3e", 0x4e}, {&(0x7f0000000200)="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", 0x1000}, {&(0x7f0000000100)="de9f61a325d9e164efe000f33cb128c0fef5ee5367", 0x15}, {&(0x7f0000001200)="7416bdba2d1b920501f3190e7b23e3ddc6b69bfb7fba1d570d81e92790befac4666b97a2968d30cf9185d14de493ea7e9df23c4518a71ebe3a1eccc74aa30f331b315d653a388b42fb3431738cfc6aead3cdde2b389ce1eff7c6a39e7d6b2d8bf9c34c5c9376a6a557f69724a8e95a45e0bd59fe7f779f58fc00a79e53fdac7562265a39f30ed26630ca6849a1832ded6e49b0485a4114b121007a6f2e5e628806865a82b9ac7f4118043060564230ec26549cb9a09b8b910c2a795b3deac1807839fed963afef0c2820277260", 0xcd}, {&(0x7f0000001300)="94b0d842d7178657ab6947b7ed8f336f0cf55579b18aaaf0ffbbd8e1846d2b9bcc3f584dd389aa0b2e181d7fd846db44e547397aeae097c0c1e09fc08ed4614a56bb55681979329e87da9fa29742e5b4020fee66728364502415d0c17e1dde60d11ef15b4ed96409ef01ac24f36edb9f05ced9f9765314d3d015452f8d09439c5d59fa220836754dbfc1830fb7e21762c6ed90de54d0324fee7628ba98cf136466fd27cd0650b183b27589c9f62c21ab403dac48941130d772", 0xb9}], 0x5, &(0x7f0000001a80)=[@cred={{0x1c, 0x1, 0x2, {0x0, 0xee00}}}, @rights={{0x34, 0x1, 0x1, [0xffffffffffffffff, r0, r1, 0xffffffffffffffff, 0xffffffffffffffff, r1, 0xffffffffffffffff, 0xffffffffffffffff, r1]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xffffffffffffffff, 0xffffffffffffffff}}}, @rights={{0x24, 0x1, 0x1, [0xffffffffffffffff, r1, 0xffffffffffffffff, 0xffffffffffffffff, r0]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xee00, 0xee00}}}, @cred={{0x1c}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0x0, 0xee00}}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0x0, 0xee01}}}, @cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff, r6, r10}}}, @cred={{0x1c, 0x1, 0x2, {r11, r12, r13}}}], 0x160, 0x11}, 0x40080) (async) 23:42:09 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) pipe2$9p(&(0x7f0000000240)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) write$P9_RVERSION(r2, &(0x7f0000000080)=ANY=[@ANYBLOB="1500000065ffff097b00000800395032303030"], 0x15) r3 = dup(r2) write$FUSE_BMAP(r3, &(0x7f0000000100)={0x18}, 0x18) (async) write$FUSE_DIRENTPLUS(r3, &(0x7f0000000500)={0xb0, 0x0, 0x0, [{{0x0, 0x0, 0x0, 0x0, 0x0, 0x0, {0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xee00}}, {0x0, 0x0, 0x3, 0x0, '9p\x00'}}]}, 0xb0) openat$cgroup_devices(r1, &(0x7f00000003c0)='devices.deny\x00', 0x2, 0x0) (async) openat$cgroup_int(r3, &(0x7f0000000380)='memory.low\x00', 0x2, 0x0) r4 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r4, &(0x7f0000000280), 0x12) prctl$PR_SCHED_CORE(0x3e, 0x0, 0xffffffffffffffff, 0x0, &(0x7f0000000340)) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) (async) r5 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r6 = openat$cgroup_subtree(r5, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r6, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) [ 540.010071][T20816] loop0: detected capacity change from 0 to 2048 [ 540.047148][T16473] I/O error, dev loop0, sector 0 op 0x0:(READ) flags 0x80700 phys_seg 1 prio class 2 23:42:09 executing program 3: syz_emit_vhci(&(0x7f0000000000)=ANY=[@ANYBLOB="02c83012000e000500090000000100080001a20a8d0901"], 0x17) (async) syz_emit_vhci(&(0x7f0000000040)=@HCI_VENDOR_PKT={0xff, 0x40}, 0x2) 23:42:10 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0716e18304ef2b9f4fadd5ec8c0fa978096f3f04f4d4e77e74393b35904000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000bc0)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ccca9a569ea0bcbeba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (async, rerun: 64) r1 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (rerun: 64) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) 23:42:10 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000140)=ANY=[@ANYBLOB='-cpu +cpu +pids \x00io \x00'/36], 0x24) [ 540.120373][T20820] NILFS (loop0): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 23:42:10 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x4000000}], 0x2, 0x0) 23:42:10 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_VENDOR_PKT={0xff, 0x40}, 0x2) [ 540.188093][T20847] loop0: detected capacity change from 0 to 2048 [ 540.197236][T20829] pit: kvm: requested 6704 ns i8254 timer period limited to 200000 ns [ 540.227589][T20848] NILFS (loop0): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 23:42:10 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) (async) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) (async) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000140)=ANY=[@ANYBLOB='-cpu +cpu +pids \x00io \x00'/36], 0x24) 23:42:10 executing program 0: r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) mknodat(r0, &(0x7f0000000040)='./file0\x00', 0x8000, 0x8) 23:42:10 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0x2000) 23:42:10 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) syz_emit_vhci(&(0x7f0000000040)=@HCI_VENDOR_PKT={0xff, 0x40}, 0x2) syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) (async) syz_emit_vhci(&(0x7f0000000040)=@HCI_VENDOR_PKT={0xff, 0x40}, 0x2) (async) 23:42:10 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x5000000}], 0x2, 0x0) [ 540.485140][T20886] pit: kvm: requested 6704 ns i8254 timer period limited to 200000 ns 23:42:10 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async, rerun: 32) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (rerun: 32) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) (async) r2 = dup(0xffffffffffffffff) pipe(&(0x7f00000000c0)={0xffffffffffffffff}) tee(r3, 0xffffffffffffffff, 0x8, 0x0) r4 = socket$inet_udp(0x2, 0x2, 0x0) (async) r5 = syz_clone(0x40800000, 0x0, 0x0, &(0x7f0000000080), &(0x7f00000000c0), 0x0) sendmsg$NL802154_CMD_SET_WPAN_PHY_NETNS(0xffffffffffffffff, &(0x7f0000001180)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x8000}, 0xc, &(0x7f0000001140)={&(0x7f0000001100)={0x3c, 0x0, 0x800, 0x70bd25, 0x0, {}, [@NL802154_ATTR_PID={0x8, 0x1c, r5}, @NL802154_ATTR_WPAN_PHY={0x8, 0x1, 0x1}, @NL802154_ATTR_IFINDEX={0x8}, @NL802154_ATTR_WPAN_PHY={0x8}, @NL802154_ATTR_WPAN_PHY={0x8, 0x1, 0x3}]}, 0x3c}, 0x1, 0x0, 0x0, 0x8800}, 0x800) statx(0xffffffffffffff9c, &(0x7f0000000b40)='./file0\x00', 0x400, 0x20, &(0x7f0000000b80)={0x0, 0x0, 0x0, 0x0, 0x0}) r7 = syz_open_dev$tty20(0xc, 0x4, 0x1) (async) fcntl$getownex(0xffffffffffffffff, 0x10, &(0x7f0000000c80)={0x0, 0x0}) statx(0xffffffffffffffff, &(0x7f0000000f40)='./file0/file0\x00', 0x6000, 0x800, &(0x7f0000000d00)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) sendmsg$netlink(0xffffffffffffffff, &(0x7f0000000f00)={0x0, 0x0, &(0x7f0000000ac0)=[{&(0x7f0000000100)={0x414, 0x33, 0x100, 0x70bd25, 0x25dfdbfb, "", [@generic="f98e2254f200cb5d0bdfb25f351891600ac4384a3c3ebe8826db94f08e59ab9c7354c8167fd1802afb4bfd3018fcdb7135ec956669d8fdb74e445b32f3518e3c4a977189ca", @generic="bf9c7afb68d64ec34d28da21d13d33685928cdcd27124c5a8facb6a66e5c14b6c4aa073356366c8e881add6d20d99ea4fcc0b3e6e426b6c8b62e7a20962d58f6b142878d6bdafd88adfcfaa752572b9b4259b44ccb87c6ba1ba2b9ce4266bc024e363b7eaeb2d899b09f81c0b552d68f23dff40e51a5c41e571ce833d1fab0958794b898c8e2d6d02ba19da1d7030403f41abab97f406cb92df42f036c9fbee818c2beafc4bb5820bc47d60155c71124106631bdc117c7b77b05f89cc941818d9adea4ea5c6cf8ab8eef59", @typed={0x8, 0x8, 0x0, 0x0, @u32=0x6}, @generic="badff91bdea8600ffba15d9be9cf12914e65b99f00af6c2e9bc9ef9e56e1fb62bf1b81e34a289018bccd8c5a51c1c8460033e9cecabd927750ccc815998ee087a0ee5486c5e428d765f6ac0ab36b0b8c0be73656e5a5736cdb1e71989fa96cc68e8bc140a48446eac7b540dafdc451df22fac6f26c9a", @generic="4baa8c189e25737b7ea119d8249d73e020779f994a2da7add8114af0e04d528c2552e0e64903e24ff28214041351d84d68ae7b8344141e90c44ab9bec28a84ec368756e4666fe181e5a2c7b4d70178a72314d2e6f334f61382345e26c9a474851938edb9636d6702142666316cde8c8f03a5463550eee8eca5e02c543b65edaf264048264175c86f09de95b9ae629930bdeddeaaf2e70fc4474c1c142cd441b3ece0e0ac4e2421922f5571ca8f6179ed5732df40f222c45e83af214b4c53c4ee3f2f29155eddccc6f99b967d6e4913dcc7ec433da85b05411403115dbc469fd249f5885d0c", @generic="f8f3e96efa9cc18c44882048857b8cd8ac48ea31ca14ef2c24cc16700411b86d47b45dce9d7ecfe23492465894de1c3a3c21a1043a78ee18041ad0bdd9e03a35e546c33650c9499565abadc52b3cc5af4e0747a79762ec752e8b66a854a8929d163a9b40f5e516db0f1cae91660ab9fdda663f96b5311cc50ecf50c1b9788a6cbb854c21bb8421ffdf52e0ef7ccafbbf132ff2f9ccfb3516bf519b8c3707a77179ba0d779f0be7e9354a28502d5857cf5fbe49ae1f4f762df98bfc8cf711f12521d5e6a7d319e862fa35139975354d", @generic="3359ff5bb7b55830473ed0938c1df081a0c698aa77b03ecc2a2e1ca87e2d6117751e7865897c9b9572016b7ed90427bc440224f133af3ccef4709ba854e4d63cf182dc722fbc74acb8cae37b27664bd30f12d3182d36ef2199e53856382d83fccd2635946e3e212899e6b600e06b802ff619a68695e21e67c5e90406002a1a4f4c453c238e9049f4033523007c6edc98b999d814c1fd327ed32f8ac09b7ee9c0c7b4df1b599259b03686b6047e991e5106d9e1e3d9c99d201a40a206ca1792"]}, 0x414}, {&(0x7f0000000600)={0x60, 0x41, 0x0, 0x70bd28, 0x25dfdbff, "", [@typed={0x47, 0x0, 0x0, 0x0, @binary="8ab02eea23559b85773f59c2a00a4080eb77209c440554c1dc2b901169aaed43a35a385d9c7936803c4331cac7080d73e6ba1d62e3dc7e41aeeb4ef61f105f754ec3e8"}, @typed={0x8, 0x12, 0x0, 0x0, @fd}]}, 0x60}, {&(0x7f0000000680)={0x40, 0x1b, 0x20, 0x70bd25, 0x25dfdbfe, "", [@typed={0xc, 0x1a, 0x0, 0x0, @u64=0x2}, @nested={0x21, 0x8, 0x0, 0x1, [@generic="03be47e2fdafd3186a3d89b0b0d929b6c7c983aec841e5ca48eed74043"]}]}, 0x40}, {&(0x7f0000000900)={0x2c, 0x37, 0x300, 0x70bd2a, 0x25dfdbff, "", [@typed={0x4, 0x5d}, @typed={0x8, 0x1d, 0x0, 0x0, @pid}, @typed={0x8, 0x38, 0x0, 0x0, @u32=0x3}, @generic, @nested={0x8, 0x91, 0x0, 0x1, [@typed={0x4}]}]}, 0x2c}], 0x4, &(0x7f0000000e00)=[@rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xffffffffffffffff, 0xffffffffffffffff}}}, @rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @rights={{0x28, 0x1, 0x1, [r2, 0xffffffffffffffff, r3, 0xffffffffffffffff, 0xffffffffffffffff, r4]}}, @cred={{0x1c, 0x1, 0x2, {r5, r6}}}, @rights={{0x20, 0x1, 0x1, [r7, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {r8, 0xee01, r9}}}], 0xe8, 0x20000000}, 0x81) (async) newfstatat(0xffffffffffffff9c, &(0x7f0000001600)='./file0\x00', &(0x7f0000001640)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}, 0x400) r11 = syz_clone3(&(0x7f0000001900)={0x2200a0800, &(0x7f00000016c0), &(0x7f0000001700), &(0x7f0000001740), {0x40}, &(0x7f0000001780)=""/82, 0x52, &(0x7f0000001800)=""/144, &(0x7f00000018c0)=[0x0, 0x0, 0x0, 0x0], 0x4, {r0}}, 0x58) fstat(r1, &(0x7f0000001980)={0x0, 0x0, 0x0, 0x0, 0x0}) fstat(0xffffffffffffffff, &(0x7f0000001a00)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) sendmsg$unix(0xffffffffffffffff, &(0x7f0000001c00)={&(0x7f0000000080)=@abs={0x1, 0x0, 0x4e22}, 0x6e, &(0x7f00000013c0)=[{&(0x7f0000000180)="8b37bfa64ba0f077e145b62d06626c3ef82671f94a6e599da79c42ecb9b8b16d76287d068ed88000f50d21001ce66faee74af950eb4b7b72e602f4478c02ab3b7a4ef9dfd7a51438bebb1594db3e", 0x4e}, {&(0x7f0000000200)="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", 0x1000}, {&(0x7f0000000100)="de9f61a325d9e164efe000f33cb128c0fef5ee5367", 0x15}, {&(0x7f0000001200)="7416bdba2d1b920501f3190e7b23e3ddc6b69bfb7fba1d570d81e92790befac4666b97a2968d30cf9185d14de493ea7e9df23c4518a71ebe3a1eccc74aa30f331b315d653a388b42fb3431738cfc6aead3cdde2b389ce1eff7c6a39e7d6b2d8bf9c34c5c9376a6a557f69724a8e95a45e0bd59fe7f779f58fc00a79e53fdac7562265a39f30ed26630ca6849a1832ded6e49b0485a4114b121007a6f2e5e628806865a82b9ac7f4118043060564230ec26549cb9a09b8b910c2a795b3deac1807839fed963afef0c2820277260", 0xcd}, {&(0x7f0000001300)="94b0d842d7178657ab6947b7ed8f336f0cf55579b18aaaf0ffbbd8e1846d2b9bcc3f584dd389aa0b2e181d7fd846db44e547397aeae097c0c1e09fc08ed4614a56bb55681979329e87da9fa29742e5b4020fee66728364502415d0c17e1dde60d11ef15b4ed96409ef01ac24f36edb9f05ced9f9765314d3d015452f8d09439c5d59fa220836754dbfc1830fb7e21762c6ed90de54d0324fee7628ba98cf136466fd27cd0650b183b27589c9f62c21ab403dac48941130d772", 0xb9}], 0x5, &(0x7f0000001a80)=[@cred={{0x1c, 0x1, 0x2, {0x0, 0xee00}}}, @rights={{0x34, 0x1, 0x1, [0xffffffffffffffff, r0, r1, 0xffffffffffffffff, 0xffffffffffffffff, r1, 0xffffffffffffffff, 0xffffffffffffffff, r1]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xffffffffffffffff, 0xffffffffffffffff}}}, @rights={{0x24, 0x1, 0x1, [0xffffffffffffffff, r1, 0xffffffffffffffff, 0xffffffffffffffff, r0]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xee00, 0xee00}}}, @cred={{0x1c}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0x0, 0xee00}}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0x0, 0xee01}}}, @cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff, r6, r10}}}, @cred={{0x1c, 0x1, 0x2, {r11, r12, r13}}}], 0x160, 0x11}, 0x40080) 23:42:10 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) (async) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) (async) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000140)=ANY=[@ANYBLOB='-cpu +cpu +pids \x00io \x00'/36], 0x24) 23:42:10 executing program 0: r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) mknodat(r0, &(0x7f0000000040)='./file0\x00', 0x8000, 0x8) openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (async) openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (async) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) (async) mknodat(r0, &(0x7f0000000040)='./file0\x00', 0x8000, 0x8) (async) 23:42:10 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x6000000}], 0x2, 0x0) 23:42:10 executing program 3: syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_cmd_status={{0xf, 0x4}, {0x4, 0x0, 0x80b}}}, 0x7) (async) syz_emit_vhci(&(0x7f0000000040)=@HCI_VENDOR_PKT={0xff, 0x40}, 0x2) 23:42:10 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0x2800) 23:42:10 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x7000000}], 0x2, 0x0) 23:42:10 executing program 3: ioctl$SNDRV_TIMER_IOCTL_INFO(0xffffffffffffffff, 0x80e85411, &(0x7f0000000040)) r0 = socket$nl_route(0x10, 0x3, 0x0) r1 = socket(0x2c, 0x803, 0x0) sendmsg$NBD_CMD_DISCONNECT(r1, &(0x7f0000000080)={0x0, 0x382, &(0x7f0000000140)={0x0, 0xb7ff}}, 0x0) setsockopt$inet6_udp_encap(0xffffffffffffffff, 0x11, 0x64, &(0x7f0000000040)=0x3, 0x4) getsockname$packet(r1, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) fcntl$getownex(r1, 0x10, &(0x7f00000001c0)={0x0, 0x0}) fcntl$lock(r0, 0x5, &(0x7f0000000240)={0x1, 0x2, 0x58, 0x1, r3}) r4 = openat$ocfs2_control(0xffffffffffffff9c, &(0x7f00000002c0), 0x115240, 0x0) getsockopt$inet_pktinfo(r1, 0x0, 0x8, &(0x7f0000000340)={0x0, @broadcast}, &(0x7f0000000380)=0xc) sendmsg$nl_route_sched(r4, &(0x7f0000000600)={&(0x7f0000000300)={0x10, 0x0, 0x0, 0x40}, 0xc, &(0x7f00000005c0)={&(0x7f00000003c0)=@delqdisc={0x1e8, 0x25, 0x300, 0x70bd26, 0x25dfdbfb, {0x0, 0x0, 0x0, r5, {0x1, 0x1}, {0xb, 0x10}, {0xfff3, 0x5}}, [@qdisc_kind_options=@q_mq={0x7}, @qdisc_kind_options=@q_mqprio={{0xb}, {0x120, 0x2, {{0xa, [0x6, 0x9, 0xc, 0x5, 0x10, 0x10, 0x10, 0xc, 0xd, 0x5, 0x8, 0xa, 0x10, 0x7, 0x0, 0x3], 0x0, [0xfff, 0x746c, 0x2, 0x8, 0x7fff, 0x8, 0x81, 0xf6ea, 0x0, 0x1000, 0x0, 0x3, 0xf801, 0xf1, 0x2, 0x6], [0x0, 0x101, 0x2, 0x4, 0x7, 0x0, 0x8, 0x1, 0xffff, 0x400, 0x0, 0xbcb, 0x7, 0x7f7e, 0x1, 0x5]}, [@TCA_MQPRIO_MODE={0x6}, @TCA_MQPRIO_MODE={0x6, 0x1, 0x1}, @TCA_MQPRIO_MODE={0x6}, @TCA_MQPRIO_SHAPER={0x6}, @TCA_MQPRIO_MODE={0x6, 0x1, 0x1}, @TCA_MQPRIO_SHAPER={0x6}, @TCA_MQPRIO_MAX_RATE64={0x1c, 0x4, 0x0, 0x1, [{0xc, 0x4, 0xd43}, {0xc, 0x4, 0x90}]}, @TCA_MQPRIO_MAX_RATE64={0x7c, 0x4, 0x0, 0x1, [{0xc, 0x4, 0x7fffffff}, {0xc, 0x4, 0x2}, {0xc, 0x4, 0x6}, {0xc, 0x4, 0x5}, {0xc, 0x4, 0x8000000000000001}, {0xc, 0x4, 0x6}, {0xc, 0x4, 0x5}, {0xc, 0x4, 0x3f}, {0xc, 0x4, 0x1}, {0xc, 0x4, 0x3f800000}]}]}}}, @qdisc_kind_options=@q_cake={{0x9}, {0x30, 0x2, [@TCA_CAKE_WASH={0x8, 0xd, 0x1}, @TCA_CAKE_DIFFSERV_MODE={0x8, 0x3, 0x3}, @TCA_CAKE_MPU={0x8, 0xe, 0x4}, @TCA_CAKE_BASE_RATE64={0xc, 0x2, 0x5}, @TCA_CAKE_NAT={0x8}]}}, @TCA_EGRESS_BLOCK={0x8, 0xe, 0x400}, @TCA_RATE={0x6, 0x5, {0x54, 0x4}}, @TCA_STAB={0x2c, 0x8, 0x0, 0x1, [{{0x1c, 0x1, {0xb3, 0x2, 0x7, 0x6, 0x2, 0x6dc1, 0x10001, 0x3}}, {0xa, 0x2, [0x1ff, 0x1, 0x3]}}]}, @TCA_EGRESS_BLOCK={0x8, 0xe, 0x7}, @TCA_EGRESS_BLOCK={0x8, 0xe, 0x2}, @TCA_INGRESS_BLOCK={0x8, 0xd, 0x4}]}, 0x1e8}, 0x1, 0x0, 0x0, 0x24000800}, 0x404c080) r6 = socket$nl_route(0x10, 0x3, 0x0) r7 = socket(0x10, 0x803, 0x0) sendmsg$NBD_CMD_DISCONNECT(r7, &(0x7f0000000080)={0x0, 0x382, &(0x7f0000000140)={0x0, 0xb7ff}}, 0x0) getsockname$packet(r7, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) sendmsg$nl_route(r6, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000001280)=ANY=[@ANYBLOB="3400000010000104000009040000000000000000", @ANYRES32=r8, @ANYBLOB="d59e9d0000000000140012000c00017269646765"], 0x34}}, 0x0) setsockopt$netlink_NETLINK_NO_ENOBUFS(r6, 0x10e, 0x5, &(0x7f0000000280)=0x1f, 0x4) sendmsg$nl_route(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000001280)=ANY=[@ANYBLOB="3400000010000104000009040000000000000000", @ANYRES32=r2, @ANYBLOB="d500000000000000140012000c000100627269056765"], 0x34}}, 0x0) dup2(r0, 0xffffffffffffffff) syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_phy_link_complete={{0x40, 0x2}, {0x1, 0xc8}}}, 0x5) 23:42:10 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x11000000}], 0x2, 0x0) 23:42:10 executing program 0: r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) (async) r1 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) mknodat(r0, &(0x7f0000000040)='./file0\x00', 0x8000, 0x8) 23:42:10 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) getsockopt$inet_tcp_int(0xffffffffffffffff, 0x6, 0x8, &(0x7f0000000340), &(0x7f0000000380)=0x4) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) 23:42:10 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x32000000}], 0x2, 0x0) [ 541.018238][T20916] netlink: 4 bytes leftover after parsing attributes in process `syz-executor.3'. [ 541.058240][T20916] netlink: 4 bytes leftover after parsing attributes in process `syz-executor.3'. 23:42:11 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) pipe2$9p(&(0x7f0000000240)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup/syz1\x00', 0x200002, 0x0) write$P9_RVERSION(r2, &(0x7f0000000080)=ANY=[@ANYBLOB="1500000065ffff097b00000800395032303030"], 0x15) r4 = dup(r2) write$FUSE_BMAP(r4, &(0x7f0000000100)={0x18}, 0x18) fremovexattr(r3, &(0x7f00000001c0)=@known='trusted.overlay.origin\x00') openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000180)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) write$FUSE_DIRENTPLUS(r4, &(0x7f0000000280)=ANY=[@ANYBLOB="b000000000000000", @ANYRES64=0x0, @ANYBLOB='\x00'/108, @ANYRES32=0x0, @ANYRES32=0xee00, @ANYBLOB="0000000000000002000000000000000000000000000000ce55d504f88f5d108b8f6137000000000003c62ceb3321bc2fc255b11fe457000000000000003970000000000000"], 0xb0) openat$cgroup_int(r4, &(0x7f0000000080)='blkio.throttle.write_iops_device\x00', 0x2, 0x0) 23:42:11 executing program 0: syz_mount_image$nilfs2(&(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x100000, 0x3b, &(0x7f0000000200)=[{&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0xee}, {&(0x7f0000010000)="020000000000343418010000f498e16897043d98000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000008c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000000000000000000006000000000000000100000000000000040000000400000002000000000000000000000000000000030000000000000001000000000000000400000000000000020000000000000005000000000000000300000000000000040000000000000001000000000000000100000001000000060000000000000000000000000000000500000000000000010000000000000001000000010000000700000000000000000000000000000003000000000000000100000000000000030000000300000000000000000000000100000000000000020000000000000005aa30159fdb1123568b6b9cb3962e8ada174637ad16e422f7401ccc7238d84941460405881ff0be4132f1e5e89915500bcb571d7c1958966a0705db254243ca9011e4f8a66aedf3e3730c5d7f561e01554afd17a7e6db333c4fc4a865caf2cb5f40c08828c92020840b3867c45a13de1d0a040e5d8420c1ddc1807ba0aa51969767c931e9b6c9f3c11b35f686ead44f24538580a98d0c54ea44dabe3f8555ffa7701acd1bf0932942bc96ee475a872e0bf75d0e557fb66d3a0aeedbb4789457f55fdd39c93c074206c6aff083072cbd0778fc64d11a5623a4c3502785817b24cf1edfdb81e4be4d4ab5ae3fccc50871444b7e87eee7a5c5619c36a4ced01900"/569, 0x239, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000000f00)="00c7be627e0000000000002b4f81e20078fb551f1f6300000000781f1f6300000000ffffffff000000000000000000000000a481010000000000000000000000000082a4759ec952115ff89e457b5fd29b4656e2f037a89842ceb50b4953637c269443982aa59ec420564daab0464ed320c777ba75f687dfbf14c84f26f58f651f09bc90b5", 0x85, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16", 0x1, 0x8000}, {&(0x7f0000010ec0)="d102c1e890010000781f1f6300000000030000000000000000000000000000002ea4f22200000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e00000000000000000000000000000000000000000000413f0000000000000000000000000001000000000000aa0000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000e6ffffffffff7f01000000000000000000000000000700"/352, 0x160, 0x8001}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000be133a78000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000", 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000001bc0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000bb755ccb35db3f23d2f959c640b3f7e4f89b3e98084087c7d84e05187ba1a4c70bd1d2fa45df73086e0bcab9b39569f71f45460370d5931d172ea6be44eb1b3c", 0x80, 0x9800}, {&(0x7f0000000140)="00000000000000781f1f6300000000781f1f630000000000000000000000000000800100000000000010000000000000000000000000003b7b73f0716e18304ef2b9f4fadd5ec8c0fa978096f3f04f4d4e77e74393b35904000000a9018c19489bda448508099995b60b219c9f163c742391fda258f49cc84fd7babd68b6ad9d", 0x80, 0x9880}, {&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9900}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0x9fff}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f00000125c0)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000000ec0)="26abef878de7a1b56e963bb3e200"/39, 0x27, 0xaf00}, {&(0x7f0000000800)="01000000000000000000000000000000000000000000000000000000000000003c2d92a79615a4bbb3859964ca725ae974fde7f5ffeab1a4723a90bbb7fe55435865a0b3781fce0bba316bab664d4f09000000effffbac4c70696dbf38def734c490253e67650976e2c142808442b2648b82338a0fceff7b5f3c5acced79814630fa22eb9b0f01432664f94952dff3033f57f69e9c35f325450ee9177e200f41", 0xa0, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000000000)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000012e00)="5a83286cef02cd2211faaf1e400006000300000000000000781f1f63000000004000000000000000050000000100000078000000000000000200000000000000030000000000000000000000000000000300000002000000c200000000000000e20000000000000000000000000000000100"/128, 0x80, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcc00}, {&(0x7f0000012f40)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000000b00)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f0000000d40)=ANY=[@ANYBLOB="001f4c9f5c634721fa0640d80ae80934dd515774df6bd6e8ac83bb453caa956ceb4e72e49239bc83dd9c189e0f6332ce60423d81a4cb03a0ff847b3ccddcdc56f59191de205a9dc6b04afdd36916c4937ffd35409d8220701a2227317c118ba2ef40cb0aaf4c2a3c9c7ad66dcc643e359176c21acc79371d73bb586537676f41bdfa4b1f10b0fee5fb6016d5ad90f6a06b6df6880e34e1378a94af2a8fc7e0651a99ddb26db13fb8b8b63bf0e1ea848496d067ceef9ceba1ea8aef7ed85f54bb136e1834a8b6c89a838232432f2623c480564e95c6d5a7e30eaa1beb2c817b2d583242b5573e1c8531830000191f6830b152c850bbebcef89b5aa1b0337c253757fcb1523698cffca96613ba1d4123f8c7f7493043ae7a45b0e991256595e53012030e146a9bc3ad5c6b9dd349580c41851bdfdbccb14ebbf12b37a15a1ad3ee61bc505095d9ef602e8da0d538f630d64720bae7f1189faab36819abe999eeff0000000000"], 0x1) r0 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000004280)='./file0\x00', 0x0, 0x0) r2 = syz_clone(0x20a0000, &(0x7f00000006c0)="9be00741fd8c7a7e242c40603d5810190f0113d50b1e8ade64df0403f5f6c595a320a7faf650a869d2f0f7a831e26d8f3b344ea16c34fd166e878d6fd9c075a9972065e162d7af6f0a39ab0cfbf22cb5f54d250d0d6f621b8d2cb58fbf88619e97a3542f98464195d0e76224c05ab9d4bfd2afcc2d6a8eb870570c3644c526a5757d02c86ff878dc4458b0a749ae6c3645f7cdac350f869bba11ba247fd0342004d816", 0xa3, &(0x7f0000000780), &(0x7f00000007c0), &(0x7f0000000800)) fcntl$getownex(0xffffffffffffffff, 0x10, &(0x7f0000000b00)={0x0, 0x0}) pipe(&(0x7f00000000c0)={0xffffffffffffffff}) tee(r4, 0xffffffffffffffff, 0x8, 0x0) r5 = dup(0xffffffffffffffff) pipe(&(0x7f00000000c0)={0xffffffffffffffff}) tee(r6, 0xffffffffffffffff, 0x8, 0x0) r7 = socket$inet_udp(0x2, 0x2, 0x0) r8 = syz_clone(0x40800000, 0x0, 0x0, &(0x7f0000000080), &(0x7f00000000c0), 0x0) sendmsg$NL802154_CMD_SET_WPAN_PHY_NETNS(0xffffffffffffffff, &(0x7f0000001180)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x8000}, 0xc, &(0x7f0000001140)={&(0x7f0000001100)={0x3c, 0x0, 0x800, 0x70bd25, 0x0, {}, [@NL802154_ATTR_PID={0x8, 0x1c, r8}, @NL802154_ATTR_WPAN_PHY={0x8, 0x1, 0x1}, @NL802154_ATTR_IFINDEX={0x8}, @NL802154_ATTR_WPAN_PHY={0x8}, @NL802154_ATTR_WPAN_PHY={0x8, 0x1, 0x3}]}, 0x3c}, 0x1, 0x0, 0x0, 0x8800}, 0x800) statx(0xffffffffffffff9c, &(0x7f0000000b40)='./file0\x00', 0x400, 0x20, &(0x7f0000000b80)={0x0, 0x0, 0x0, 0x0, 0x0}) r10 = syz_open_dev$tty20(0xc, 0x4, 0x1) fcntl$getownex(0xffffffffffffffff, 0x10, &(0x7f0000000c80)={0x0, 0x0}) statx(0xffffffffffffffff, &(0x7f0000000f40)='./file0/file0\x00', 0x6000, 0x800, &(0x7f0000000d00)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) sendmsg$netlink(0xffffffffffffffff, &(0x7f0000000f00)={0x0, 0x0, &(0x7f0000000ac0)=[{&(0x7f0000000100)={0x414, 0x33, 0x100, 0x70bd25, 0x25dfdbfb, "", [@generic="f98e2254f200cb5d0bdfb25f351891600ac4384a3c3ebe8826db94f08e59ab9c7354c8167fd1802afb4bfd3018fcdb7135ec956669d8fdb74e445b32f3518e3c4a977189ca", @generic="bf9c7afb68d64ec34d28da21d13d33685928cdcd27124c5a8facb6a66e5c14b6c4aa073356366c8e881add6d20d99ea4fcc0b3e6e426b6c8b62e7a20962d58f6b142878d6bdafd88adfcfaa752572b9b4259b44ccb87c6ba1ba2b9ce4266bc024e363b7eaeb2d899b09f81c0b552d68f23dff40e51a5c41e571ce833d1fab0958794b898c8e2d6d02ba19da1d7030403f41abab97f406cb92df42f036c9fbee818c2beafc4bb5820bc47d60155c71124106631bdc117c7b77b05f89cc941818d9adea4ea5c6cf8ab8eef59", @typed={0x8, 0x8, 0x0, 0x0, @u32=0x6}, @generic="badff91bdea8600ffba15d9be9cf12914e65b99f00af6c2e9bc9ef9e56e1fb62bf1b81e34a289018bccd8c5a51c1c8460033e9cecabd927750ccc815998ee087a0ee5486c5e428d765f6ac0ab36b0b8c0be73656e5a5736cdb1e71989fa96cc68e8bc140a48446eac7b540dafdc451df22fac6f26c9a", @generic="4baa8c189e25737b7ea119d8249d73e020779f994a2da7add8114af0e04d528c2552e0e64903e24ff28214041351d84d68ae7b8344141e90c44ab9bec28a84ec368756e4666fe181e5a2c7b4d70178a72314d2e6f334f61382345e26c9a474851938edb9636d6702142666316cde8c8f03a5463550eee8eca5e02c543b65edaf264048264175c86f09de95b9ae629930bdeddeaaf2e70fc4474c1c142cd441b3ece0e0ac4e2421922f5571ca8f6179ed5732df40f222c45e83af214b4c53c4ee3f2f29155eddccc6f99b967d6e4913dcc7ec433da85b05411403115dbc469fd249f5885d0c", @generic="f8f3e96efa9cc18c44882048857b8cd8ac48ea31ca14ef2c24cc16700411b86d47b45dce9d7ecfe23492465894de1c3a3c21a1043a78ee18041ad0bdd9e03a35e546c33650c9499565abadc52b3cc5af4e0747a79762ec752e8b66a854a8929d163a9b40f5e516db0f1cae91660ab9fdda663f96b5311cc50ecf50c1b9788a6cbb854c21bb8421ffdf52e0ef7ccafbbf132ff2f9ccfb3516bf519b8c3707a77179ba0d779f0be7e9354a28502d5857cf5fbe49ae1f4f762df98bfc8cf711f12521d5e6a7d319e862fa35139975354d", @generic="3359ff5bb7b55830473ed0938c1df081a0c698aa77b03ecc2a2e1ca87e2d6117751e7865897c9b9572016b7ed90427bc440224f133af3ccef4709ba854e4d63cf182dc722fbc74acb8cae37b27664bd30f12d3182d36ef2199e53856382d83fccd2635946e3e212899e6b600e06b802ff619a68695e21e67c5e90406002a1a4f4c453c238e9049f4033523007c6edc98b999d814c1fd327ed32f8ac09b7ee9c0c7b4df1b599259b03686b6047e991e5106d9e1e3d9c99d201a40a206ca1792"]}, 0x414}, {&(0x7f0000000600)={0x60, 0x41, 0x0, 0x70bd28, 0x25dfdbff, "", [@typed={0x47, 0x0, 0x0, 0x0, @binary="8ab02eea23559b85773f59c2a00a4080eb77209c440554c1dc2b901169aaed43a35a385d9c7936803c4331cac7080d73e6ba1d62e3dc7e41aeeb4ef61f105f754ec3e8"}, @typed={0x8, 0x12, 0x0, 0x0, @fd}]}, 0x60}, {&(0x7f0000000680)={0x40, 0x1b, 0x20, 0x70bd25, 0x25dfdbfe, "", [@typed={0xc, 0x1a, 0x0, 0x0, @u64=0x2}, @nested={0x21, 0x8, 0x0, 0x1, [@generic="03be47e2fdafd3186a3d89b0b0d929b6c7c983aec841e5ca48eed74043"]}]}, 0x40}, {&(0x7f0000000900)={0x2c, 0x37, 0x300, 0x70bd2a, 0x25dfdbff, "", [@typed={0x4, 0x5d}, @typed={0x8, 0x1d, 0x0, 0x0, @pid=r2}, @typed={0x8, 0x38, 0x0, 0x0, @u32=0x3}, @generic, @nested={0x8, 0x91, 0x0, 0x1, [@typed={0x4}]}]}, 0x2c}], 0x4, &(0x7f0000000e00)=[@rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {r3, 0xffffffffffffffff, 0xffffffffffffffff}}}, @rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, r4, 0xffffffffffffffff]}}, @rights={{0x28, 0x1, 0x1, [r5, 0xffffffffffffffff, r6, 0xffffffffffffffff, 0xffffffffffffffff, r7]}}, @cred={{0x1c, 0x1, 0x2, {r8, r9}}}, @rights={{0x20, 0x1, 0x1, [r10, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {r11, 0xee01, r12}}}], 0xe8, 0x20000000}, 0x81) mount$9p_rdma(&(0x7f0000000040), &(0x7f0000000080)='./file0\x00', &(0x7f00000001c0), 0x0, &(0x7f0000000bc0)={'trans=rdma,', {'port', 0x3d, 0x4e23}, 0x2c, {[{@common=@mmap}], [{@fowner_lt={'fowner<', r9}}]}}) linkat(r0, &(0x7f0000000e40)='./file0\x00', r1, &(0x7f0000000e80)='./file2\x00', 0x0) 23:42:11 executing program 1: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000011000/0x18000)=nil, &(0x7f00000008c0)=[@text32={0x20, &(0x7f0000000040)="b8050000000f01c10f46a78900000066ba2100b067ee66ba2000b000ee6d2f2f800000c00f3266bac0000f3066b808008ed0660f38806f000f011c268ee0", 0x3e}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000000)) ioctl$KVM_SET_PIT(r1, 0x8048ae66, &(0x7f0000000080)={[{0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3, 0x0, 0x20}, {0x0, 0x6, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2}], 0xffffffff}) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000000180)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000440)={[0xbcf, 0x3, 0x0, 0x0, 0x0, 0x7d, 0x2004c7], 0x0, 0xe02}) ioctl$KVM_RUN(r2, 0xae80, 0x2900) 23:42:11 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) (async) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) (async) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) (async) getsockopt$inet_tcp_int(0xffffffffffffffff, 0x6, 0x8, &(0x7f0000000340), &(0x7f0000000380)=0x4) (async) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) 23:42:11 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x3f000000}], 0x2, 0x0) 23:42:11 executing program 3: ioctl$SNDRV_TIMER_IOCTL_INFO(0xffffffffffffffff, 0x80e85411, &(0x7f0000000040)) r0 = socket$nl_route(0x10, 0x3, 0x0) r1 = socket(0x2c, 0x803, 0x0) sendmsg$NBD_CMD_DISCONNECT(r1, &(0x7f0000000080)={0x0, 0x382, &(0x7f0000000140)={0x0, 0xb7ff}}, 0x0) setsockopt$inet6_udp_encap(0xffffffffffffffff, 0x11, 0x64, &(0x7f0000000040)=0x3, 0x4) getsockname$packet(r1, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) fcntl$getownex(r1, 0x10, &(0x7f00000001c0)={0x0, 0x0}) fcntl$lock(r0, 0x5, &(0x7f0000000240)={0x1, 0x2, 0x58, 0x1, r3}) r4 = openat$ocfs2_control(0xffffffffffffff9c, &(0x7f00000002c0), 0x115240, 0x0) getsockopt$inet_pktinfo(r1, 0x0, 0x8, &(0x7f0000000340)={0x0, @broadcast}, &(0x7f0000000380)=0xc) sendmsg$nl_route_sched(r4, &(0x7f0000000600)={&(0x7f0000000300)={0x10, 0x0, 0x0, 0x40}, 0xc, &(0x7f00000005c0)={&(0x7f00000003c0)=@delqdisc={0x1e8, 0x25, 0x300, 0x70bd26, 0x25dfdbfb, {0x0, 0x0, 0x0, r5, {0x1, 0x1}, {0xb, 0x10}, {0xfff3, 0x5}}, [@qdisc_kind_options=@q_mq={0x7}, @qdisc_kind_options=@q_mqprio={{0xb}, {0x120, 0x2, {{0xa, [0x6, 0x9, 0xc, 0x5, 0x10, 0x10, 0x10, 0xc, 0xd, 0x5, 0x8, 0xa, 0x10, 0x7, 0x0, 0x3], 0x0, [0xfff, 0x746c, 0x2, 0x8, 0x7fff, 0x8, 0x81, 0xf6ea, 0x0, 0x1000, 0x0, 0x3, 0xf801, 0xf1, 0x2, 0x6], [0x0, 0x101, 0x2, 0x4, 0x7, 0x0, 0x8, 0x1, 0xffff, 0x400, 0x0, 0xbcb, 0x7, 0x7f7e, 0x1, 0x5]}, [@TCA_MQPRIO_MODE={0x6}, @TCA_MQPRIO_MODE={0x6, 0x1, 0x1}, @TCA_MQPRIO_MODE={0x6}, @TCA_MQPRIO_SHAPER={0x6}, @TCA_MQPRIO_MODE={0x6, 0x1, 0x1}, @TCA_MQPRIO_SHAPER={0x6}, @TCA_MQPRIO_MAX_RATE64={0x1c, 0x4, 0x0, 0x1, [{0xc, 0x4, 0xd43}, {0xc, 0x4, 0x90}]}, @TCA_MQPRIO_MAX_RATE64={0x7c, 0x4, 0x0, 0x1, [{0xc, 0x4, 0x7fffffff}, {0xc, 0x4, 0x2}, {0xc, 0x4, 0x6}, {0xc, 0x4, 0x5}, {0xc, 0x4, 0x8000000000000001}, {0xc, 0x4, 0x6}, {0xc, 0x4, 0x5}, {0xc, 0x4, 0x3f}, {0xc, 0x4, 0x1}, {0xc, 0x4, 0x3f800000}]}]}}}, @qdisc_kind_options=@q_cake={{0x9}, {0x30, 0x2, [@TCA_CAKE_WASH={0x8, 0xd, 0x1}, @TCA_CAKE_DIFFSERV_MODE={0x8, 0x3, 0x3}, @TCA_CAKE_MPU={0x8, 0xe, 0x4}, @TCA_CAKE_BASE_RATE64={0xc, 0x2, 0x5}, @TCA_CAKE_NAT={0x8}]}}, @TCA_EGRESS_BLOCK={0x8, 0xe, 0x400}, @TCA_RATE={0x6, 0x5, {0x54, 0x4}}, @TCA_STAB={0x2c, 0x8, 0x0, 0x1, [{{0x1c, 0x1, {0xb3, 0x2, 0x7, 0x6, 0x2, 0x6dc1, 0x10001, 0x3}}, {0xa, 0x2, [0x1ff, 0x1, 0x3]}}]}, @TCA_EGRESS_BLOCK={0x8, 0xe, 0x7}, @TCA_EGRESS_BLOCK={0x8, 0xe, 0x2}, @TCA_INGRESS_BLOCK={0x8, 0xd, 0x4}]}, 0x1e8}, 0x1, 0x0, 0x0, 0x24000800}, 0x404c080) r6 = socket$nl_route(0x10, 0x3, 0x0) r7 = socket(0x10, 0x803, 0x0) sendmsg$NBD_CMD_DISCONNECT(r7, &(0x7f0000000080)={0x0, 0x382, &(0x7f0000000140)={0x0, 0xb7ff}}, 0x0) getsockname$packet(r7, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) sendmsg$nl_route(r6, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000001280)=ANY=[@ANYBLOB="3400000010000104000009040000000000000000", @ANYRES32=r8, @ANYBLOB="d59e9d0000000000140012000c00017269646765"], 0x34}}, 0x0) setsockopt$netlink_NETLINK_NO_ENOBUFS(r6, 0x10e, 0x5, &(0x7f0000000280)=0x1f, 0x4) sendmsg$nl_route(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000001280)=ANY=[@ANYBLOB="3400000010000104000009040000000000000000", @ANYRES32=r2, @ANYBLOB="d500000000000000140012000c000100627269056765"], 0x34}}, 0x0) dup2(r0, 0xffffffffffffffff) syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_phy_link_complete={{0x40, 0x2}, {0x1, 0xc8}}}, 0x5) ioctl$SNDRV_TIMER_IOCTL_INFO(0xffffffffffffffff, 0x80e85411, &(0x7f0000000040)) (async) socket$nl_route(0x10, 0x3, 0x0) (async) socket(0x2c, 0x803, 0x0) (async) sendmsg$NBD_CMD_DISCONNECT(r1, &(0x7f0000000080)={0x0, 0x382, &(0x7f0000000140)={0x0, 0xb7ff}}, 0x0) (async) setsockopt$inet6_udp_encap(0xffffffffffffffff, 0x11, 0x64, &(0x7f0000000040)=0x3, 0x4) (async) getsockname$packet(r1, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) (async) fcntl$getownex(r1, 0x10, &(0x7f00000001c0)) (async) fcntl$lock(r0, 0x5, &(0x7f0000000240)={0x1, 0x2, 0x58, 0x1, r3}) (async) openat$ocfs2_control(0xffffffffffffff9c, &(0x7f00000002c0), 0x115240, 0x0) (async) getsockopt$inet_pktinfo(r1, 0x0, 0x8, &(0x7f0000000340)={0x0, @broadcast}, &(0x7f0000000380)=0xc) (async) sendmsg$nl_route_sched(r4, &(0x7f0000000600)={&(0x7f0000000300)={0x10, 0x0, 0x0, 0x40}, 0xc, &(0x7f00000005c0)={&(0x7f00000003c0)=@delqdisc={0x1e8, 0x25, 0x300, 0x70bd26, 0x25dfdbfb, {0x0, 0x0, 0x0, r5, {0x1, 0x1}, {0xb, 0x10}, {0xfff3, 0x5}}, [@qdisc_kind_options=@q_mq={0x7}, @qdisc_kind_options=@q_mqprio={{0xb}, {0x120, 0x2, {{0xa, [0x6, 0x9, 0xc, 0x5, 0x10, 0x10, 0x10, 0xc, 0xd, 0x5, 0x8, 0xa, 0x10, 0x7, 0x0, 0x3], 0x0, [0xfff, 0x746c, 0x2, 0x8, 0x7fff, 0x8, 0x81, 0xf6ea, 0x0, 0x1000, 0x0, 0x3, 0xf801, 0xf1, 0x2, 0x6], [0x0, 0x101, 0x2, 0x4, 0x7, 0x0, 0x8, 0x1, 0xffff, 0x400, 0x0, 0xbcb, 0x7, 0x7f7e, 0x1, 0x5]}, [@TCA_MQPRIO_MODE={0x6}, @TCA_MQPRIO_MODE={0x6, 0x1, 0x1}, @TCA_MQPRIO_MODE={0x6}, @TCA_MQPRIO_SHAPER={0x6}, @TCA_MQPRIO_MODE={0x6, 0x1, 0x1}, @TCA_MQPRIO_SHAPER={0x6}, @TCA_MQPRIO_MAX_RATE64={0x1c, 0x4, 0x0, 0x1, [{0xc, 0x4, 0xd43}, {0xc, 0x4, 0x90}]}, @TCA_MQPRIO_MAX_RATE64={0x7c, 0x4, 0x0, 0x1, [{0xc, 0x4, 0x7fffffff}, {0xc, 0x4, 0x2}, {0xc, 0x4, 0x6}, {0xc, 0x4, 0x5}, {0xc, 0x4, 0x8000000000000001}, {0xc, 0x4, 0x6}, {0xc, 0x4, 0x5}, {0xc, 0x4, 0x3f}, {0xc, 0x4, 0x1}, {0xc, 0x4, 0x3f800000}]}]}}}, @qdisc_kind_options=@q_cake={{0x9}, {0x30, 0x2, [@TCA_CAKE_WASH={0x8, 0xd, 0x1}, @TCA_CAKE_DIFFSERV_MODE={0x8, 0x3, 0x3}, @TCA_CAKE_MPU={0x8, 0xe, 0x4}, @TCA_CAKE_BASE_RATE64={0xc, 0x2, 0x5}, @TCA_CAKE_NAT={0x8}]}}, @TCA_EGRESS_BLOCK={0x8, 0xe, 0x400}, @TCA_RATE={0x6, 0x5, {0x54, 0x4}}, @TCA_STAB={0x2c, 0x8, 0x0, 0x1, [{{0x1c, 0x1, {0xb3, 0x2, 0x7, 0x6, 0x2, 0x6dc1, 0x10001, 0x3}}, {0xa, 0x2, [0x1ff, 0x1, 0x3]}}]}, @TCA_EGRESS_BLOCK={0x8, 0xe, 0x7}, @TCA_EGRESS_BLOCK={0x8, 0xe, 0x2}, @TCA_INGRESS_BLOCK={0x8, 0xd, 0x4}]}, 0x1e8}, 0x1, 0x0, 0x0, 0x24000800}, 0x404c080) (async) socket$nl_route(0x10, 0x3, 0x0) (async) socket(0x10, 0x803, 0x0) (async) sendmsg$NBD_CMD_DISCONNECT(r7, &(0x7f0000000080)={0x0, 0x382, &(0x7f0000000140)={0x0, 0xb7ff}}, 0x0) (async) getsockname$packet(r7, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) (async) sendmsg$nl_route(r6, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000001280)=ANY=[@ANYBLOB="3400000010000104000009040000000000000000", @ANYRES32=r8, @ANYBLOB="d59e9d0000000000140012000c00017269646765"], 0x34}}, 0x0) (async) setsockopt$netlink_NETLINK_NO_ENOBUFS(r6, 0x10e, 0x5, &(0x7f0000000280)=0x1f, 0x4) (async) sendmsg$nl_route(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000001280)=ANY=[@ANYBLOB="3400000010000104000009040000000000000000", @ANYRES32=r2, @ANYBLOB="d500000000000000140012000c000100627269056765"], 0x34}}, 0x0) (async) dup2(r0, 0xffffffffffffffff) (async) syz_emit_vhci(&(0x7f0000000000)=@HCI_EVENT_PKT={0x4, @hci_ev_phy_link_complete={{0x40, 0x2}, {0x1, 0xc8}}}, 0x5) (async) 23:42:11 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0x803e0000}], 0x2, 0x0) 23:42:11 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) (async) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) getsockopt$inet_tcp_int(0xffffffffffffffff, 0x6, 0x8, &(0x7f0000000340), &(0x7f0000000380)=0x4) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r4, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) [ 541.271736][T20934] loop0: detected capacity change from 0 to 2048 [ 541.296116][T20946] netlink: 4 bytes leftover after parsing attributes in process `syz-executor.3'. 23:42:11 executing program 2: mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r0 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r1 = openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) pipe2$9p(&(0x7f0000000240)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup/syz1\x00', 0x200002, 0x0) write$P9_RVERSION(r2, &(0x7f0000000080)=ANY=[@ANYBLOB="1500000065ffff097b00000800395032303030"], 0x15) r4 = dup(r2) write$FUSE_BMAP(r4, &(0x7f0000000100)={0x18}, 0x18) fremovexattr(r3, &(0x7f00000001c0)=@known='trusted.overlay.origin\x00') openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000180)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) write$FUSE_DIRENTPLUS(r4, &(0x7f0000000280)=ANY=[@ANYBLOB="b000000000000000", @ANYRES64=0x0, @ANYBLOB='\x00'/108, @ANYRES32=0x0, @ANYRES32=0xee00, @ANYBLOB="0000000000000002000000000000000000000000000000ce55d504f88f5d108b8f6137000000000003c62ceb3321bc2fc255b11fe457000000000000003970000000000000"], 0xb0) openat$cgroup_int(r4, &(0x7f0000000080)='blkio.throttle.write_iops_device\x00', 0x2, 0x0) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (async) openat$cgroup_subtree(r0, &(0x7f0000000040), 0x2, 0x0) (async) write$cgroup_subtree(r1, &(0x7f0000000140)={[{0x2b, 'cpu'}]}, 0x5) (async) pipe2$9p(&(0x7f0000000240), 0x0) (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000000c0)='./cgroup/syz1\x00', 0x200002, 0x0) (async) write$P9_RVERSION(r2, &(0x7f0000000080)=ANY=[@ANYBLOB="1500000065ffff097b00000800395032303030"], 0x15) (async) dup(r2) (async) write$FUSE_BMAP(r4, &(0x7f0000000100)={0x18}, 0x18) (async) fremovexattr(r3, &(0x7f00000001c0)=@known='trusted.overlay.origin\x00') (async) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000180)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) (async) write$FUSE_DIRENTPLUS(r4, &(0x7f0000000280)=ANY=[@ANYBLOB="b000000000000000", @ANYRES64=0x0, @ANYBLOB='\x00'/108, @ANYRES32=0x0, @ANYRES32=0xee00, @ANYBLOB="0000000000000002000000000000000000000000000000ce55d504f88f5d108b8f6137000000000003c62ceb3321bc2fc255b11fe457000000000000003970000000000000"], 0xb0) (async) openat$cgroup_int(r4, &(0x7f0000000080)='blkio.throttle.write_iops_device\x00', 0x2, 0x0) (async) [ 541.331272][T20935] pit: kvm: requested 6704 ns i8254 timer period limited to 200000 ns [ 541.342729][T20948] NILFS (loop0): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 23:42:11 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) ioctl$AUTOFS_DEV_IOCTL_VERSION(0xffffffffffffffff, 0xc0189371, &(0x7f0000000380)={{0x1, 0x1, 0x18, r4}, './file0\x00'}) syz_io_uring_setup(0x69e1, &(0x7f00000003c0)={0x0, 0x34cc, 0x10, 0x3, 0x2cb, 0x0, r5}, &(0x7f0000ffd000/0x2000)=nil, &(0x7f0000ffd000/0x3000)=nil, &(0x7f0000000440), &(0x7f0000000480)) r6 = syz_open_dev$vcsn(&(0x7f00000000c0), 0x7f, 0x0) write$cgroup_subtree(r6, &(0x7f0000000340)={[{0x2d, 'net_cls'}]}, 0x9) write$cgroup_subtree(r4, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) [ 541.407790][T20950] netlink: 4 bytes leftover after parsing attributes in process `syz-executor.3'. 23:42:11 executing program 4: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x101140, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0x0, 0x0}) sendmmsg(r0, &(0x7f0000008600)=[{{0x0, 0x7f}}, {{&(0x7f00000072c0)=@un=@file={0x1, './file0/../file0/file0\x00'}, 0x32, &(0x7f0000007380), 0x0, &(0x7f0000000600)}, 0xc0000000}], 0x2, 0x0) [ 541.457258][T20959] ================================================================== [ 541.465377][T20959] BUG: KASAN: use-after-free in rdma_close+0xaf/0xc0 [ 541.472169][T20959] Read of size 8 at addr ffff888026b76808 by task syz-executor.0/20959 [ 541.480428][T20959] [ 541.482763][T20959] CPU: 1 PID: 20959 Comm: syz-executor.0 Not tainted 6.0.0-rc6-next-20220923-syzkaller #0 [ 541.492683][T20959] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 09/22/2022 [ 541.502755][T20959] Call Trace: [ 541.506044][T20959] [ 541.508976][T20959] dump_stack_lvl+0xcd/0x134 [ 541.513603][T20959] print_report+0x15e/0x45d [ 541.518147][T20959] ? __phys_addr+0xc4/0x140 [ 541.522659][T20959] ? rdma_close+0xaf/0xc0 [ 541.527009][T20959] kasan_report+0xbb/0x1f0 [ 541.531449][T20959] ? rdma_close+0xaf/0xc0 [ 541.535801][T20959] rdma_close+0xaf/0xc0 [ 541.539974][T20959] p9_client_destroy+0xbe/0x370 [ 541.544841][T20959] ? p9_fid_destroy+0xd0/0xd0 [ 541.549530][T20959] ? p9_client_create+0x78c/0xf20 [ 541.554581][T20959] ? __kmem_cache_free+0xab/0x3b0 [ 541.559628][T20959] p9_client_create+0x728/0xf20 [ 541.564494][T20959] ? p9_client_rpc+0xd70/0xd70 [ 541.569276][T20959] ? lockdep_init_map_type+0x21a/0x7f0 [ 541.574748][T20959] ? __kasan_kmalloc+0xa1/0xb0 [ 541.579531][T20959] ? __raw_spin_lock_init+0x36/0x110 [ 541.584831][T20959] v9fs_session_init+0x1e2/0x1810 [ 541.589883][T20959] ? lock_acquire+0x4fc/0x630 [ 541.594566][T20959] ? rcu_read_lock_sched_held+0xd/0x70 [ 541.600047][T20959] ? lock_release+0x5cb/0x810 [ 541.604730][T20959] ? lock_acquire+0x4fc/0x630 [ 541.609410][T20959] ? __kmem_cache_alloc_node+0x48/0x3d0 [ 541.614971][T20959] ? lock_downgrade+0x6e0/0x6e0 [ 541.619835][T20959] ? v9fs_show_options+0x780/0x780 [ 541.624962][T20959] ? memcg_slab_post_alloc_hook+0x183/0x480 [ 541.630888][T20959] ? __kmem_cache_alloc_node+0x1d8/0x3d0 [ 541.636628][T20959] ? v9fs_mount+0x9b/0xc90 [ 541.641121][T20959] v9fs_mount+0xba/0xc90 [ 541.645383][T20959] ? rcu_read_lock_sched_held+0xd/0x70 [ 541.650868][T20959] ? v9fs_statfs+0x4d0/0x4d0 [ 541.655475][T20959] ? apparmor_capable+0x1d8/0x460 [ 541.660552][T20959] ? v9fs_statfs+0x4d0/0x4d0 [ 541.665162][T20959] legacy_get_tree+0x105/0x220 [ 541.669995][T20959] vfs_get_tree+0x89/0x2f0 [ 541.674424][T20959] path_mount+0x1326/0x1e20 [ 541.678936][T20959] ? kmem_cache_free+0xea/0x5b0 [ 541.683802][T20959] ? finish_automount+0x960/0x960 [ 541.688836][T20959] ? putname+0xfe/0x140 [ 541.692999][T20959] __x64_sys_mount+0x27f/0x300 [ 541.697776][T20959] ? copy_mnt_ns+0xae0/0xae0 [ 541.702376][T20959] ? syscall_enter_from_user_mode+0x22/0xb0 [ 541.708280][T20959] ? trace_hardirqs_on+0x2d/0x160 [ 541.713311][T20959] do_syscall_64+0x35/0xb0 [ 541.717731][T20959] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 541.723642][T20959] RIP: 0033:0x7f6ca408b5a9 [ 541.728058][T20959] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b8 ff ff ff f7 d8 64 89 01 48 [ 541.747672][T20959] RSP: 002b:00007f6ca5197168 EFLAGS: 00000246 ORIG_RAX: 00000000000000a5 [ 541.756091][T20959] RAX: ffffffffffffffda RBX: 00007f6ca41ac050 RCX: 00007f6ca408b5a9 [ 541.764067][T20959] RDX: 00000000200001c0 RSI: 0000000020000080 RDI: 0000000020000040 [ 541.772046][T20959] RBP: 00007f6ca40e6580 R08: 0000000020000bc0 R09: 0000000000000000 [ 541.780021][T20959] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000000 [ 541.787992][T20959] R13: 00007ffe9b9338af R14: 00007f6ca5197300 R15: 0000000000022000 [ 541.795978][T20959] [ 541.798997][T20959] [ 541.801322][T20959] Allocated by task 20959: [ 541.805732][T20959] kasan_save_stack+0x1e/0x40 [ 541.810423][T20959] kasan_set_track+0x21/0x30 [ 541.815039][T20959] __kasan_kmalloc+0xa1/0xb0 [ 541.819645][T20959] rdma_create_trans+0x24f/0x13d0 [ 541.824684][T20959] p9_client_create+0x7ef/0xf20 [ 541.829544][T20959] v9fs_session_init+0x1e2/0x1810 [ 541.834573][T20959] v9fs_mount+0xba/0xc90 [ 541.838828][T20959] legacy_get_tree+0x105/0x220 [ 541.843598][T20959] vfs_get_tree+0x89/0x2f0 [ 541.848019][T20959] path_mount+0x1326/0x1e20 [ 541.852526][T20959] __x64_sys_mount+0x27f/0x300 [ 541.857295][T20959] do_syscall_64+0x35/0xb0 [ 541.861714][T20959] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 541.867622][T20959] [ 541.869941][T20959] Freed by task 20959: [ 541.874001][T20959] kasan_save_stack+0x1e/0x40 [ 541.878699][T20959] kasan_set_track+0x21/0x30 [ 541.883305][T20959] kasan_save_free_info+0x2a/0x40 [ 541.888330][T20959] ____kasan_slab_free+0x160/0x1c0 [ 541.893456][T20959] slab_free_freelist_hook+0x8b/0x1c0 [ 541.898839][T20959] __kmem_cache_free+0xab/0x3b0 [ 541.903702][T20959] rdma_destroy_trans+0x196/0x210 [ 541.908741][T20959] rdma_create_trans+0x1076/0x13d0 [ 541.913867][T20959] p9_client_create+0x7ef/0xf20 [ 541.918726][T20959] v9fs_session_init+0x1e2/0x1810 [ 541.923757][T20959] v9fs_mount+0xba/0xc90 [ 541.928017][T20959] legacy_get_tree+0x105/0x220 [ 541.932785][T20959] vfs_get_tree+0x89/0x2f0 [ 541.937203][T20959] path_mount+0x1326/0x1e20 [ 541.941711][T20959] __x64_sys_mount+0x27f/0x300 [ 541.946479][T20959] do_syscall_64+0x35/0xb0 [ 541.950896][T20959] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 541.956806][T20959] [ 541.959123][T20959] Last potentially related work creation: [ 541.964831][T20959] kasan_save_stack+0x1e/0x40 [ 541.969521][T20959] __kasan_record_aux_stack+0xbc/0xd0 [ 541.974895][T20959] insert_work+0x48/0x350 [ 541.979231][T20959] __queue_work+0x693/0x13b0 [ 541.984437][T20959] call_timer_fn+0x1da/0x7c0 [ 541.989034][T20959] __run_timers.part.0+0x4a3/0xaf0 [ 541.994152][T20959] run_timer_softirq+0xb3/0x1d0 [ 541.999014][T20959] __do_softirq+0x1f7/0xad8 [ 542.003520][T20959] [ 542.005838][T20959] The buggy address belongs to the object at ffff888026b76800 [ 542.005838][T20959] which belongs to the cache kmalloc-512 of size 512 [ 542.019889][T20959] The buggy address is located 8 bytes inside of [ 542.019889][T20959] 512-byte region [ffff888026b76800, ffff888026b76a00) [ 542.032989][T20959] [ 542.035314][T20959] The buggy address belongs to the physical page: [ 542.041721][T20959] page:ffffea00009add00 refcount:1 mapcount:0 mapping:0000000000000000 index:0xdead000000000100 pfn:0x26b74 [ 542.053180][T20959] head:ffffea00009add00 order:2 compound_mapcount:0 compound_pincount:0 [ 542.061514][T20959] flags: 0xfff00000010200(slab|head|node=0|zone=1|lastcpupid=0x7ff) [ 542.069519][T20959] raw: 00fff00000010200 ffff888011841c80 dead000080100010 0000000000000000 [ 542.078116][T20959] raw: dead000000000100 dead000000000122 00000001ffffffff 0000000000000000 [ 542.086702][T20959] page dumped because: kasan: bad access detected [ 542.093109][T20959] page_owner tracks the page as allocated [ 542.098815][T20959] page last allocated via order 2, migratetype Unmovable, gfp_mask 0xd2820(GFP_ATOMIC|__GFP_NOWARN|__GFP_NORETRY|__GFP_COMP|__GFP_NOMEMALLOC), pid 16, tgid 16 (ksoftirqd/0), ts 263419193463, free_ts 260050017359 [ 542.119313][T20959] get_page_from_freelist+0x1092/0x2d20 [ 542.124872][T20959] __alloc_pages+0x1c7/0x5a0 [ 542.129464][T20959] alloc_pages+0x1a6/0x270 [ 542.133895][T20959] allocate_slab+0x213/0x300 [ 542.138493][T20959] ___slab_alloc+0xac1/0x1430 [ 542.143187][T20959] __slab_alloc.constprop.0+0x4d/0xa0 [ 542.148570][T20959] __kmem_cache_alloc_node+0x18a/0x3d0 [ 542.154040][T20959] __kmalloc_node_track_caller+0x45/0xc0 [ 542.159683][T20959] __alloc_skb+0xd9/0x2f0 [ 542.164020][T20959] __napi_alloc_skb+0x93/0x340 [ 542.168792][T20959] page_to_skb+0x17d/0xc80 [ 542.173279][T20959] receive_buf+0xe0a/0x5560 [ 542.177789][T20959] virtnet_poll+0x708/0x1310 [ 542.182383][T20959] __napi_poll+0xb8/0x770 [ 542.186757][T20959] net_rx_action+0x9fc/0xde0 [ 542.191363][T20959] __do_softirq+0x1f7/0xad8 [ 542.195884][T20959] page last free stack trace: [ 542.200555][T20959] free_pcp_prepare+0x65c/0xd90 [ 542.205435][T20959] free_unref_page+0x19/0x4d0 [ 542.210132][T20959] __unfreeze_partials+0x17c/0x1a0 [ 542.215266][T20959] qlist_free_all+0x6a/0x170 [ 542.219869][T20959] kasan_quarantine_reduce+0x180/0x200 [ 542.225343][T20959] __kasan_slab_alloc+0x62/0x80 [ 542.230212][T20959] kmem_cache_alloc+0x2b7/0x3d0 [ 542.235081][T20959] ptlock_alloc+0x1d/0x70 [ 542.239417][T20959] pte_alloc_one+0x68/0x230 [ 542.243939][T20959] __pte_alloc+0x69/0x250 [ 542.248273][T20959] __handle_mm_fault+0x3527/0x3a40 [ 542.253403][T20959] handle_mm_fault+0x1c8/0x780 [ 542.258178][T20959] do_user_addr_fault+0x475/0x1210 [ 542.263311][T20959] exc_page_fault+0x94/0x170 [ 542.267912][T20959] asm_exc_page_fault+0x22/0x30 [ 542.272781][T20959] [ 542.275112][T20959] Memory state around the buggy address: [ 542.280746][T20959] ffff888026b76700: fc fc fc fc fc fc fc fc fc fc fc fc fc fc fc fc [ 542.288823][T20959] ffff888026b76780: fc fc fc fc fc fc fc fc fc fc fc fc fc fc fc fc [ 542.296895][T20959] >ffff888026b76800: fa fb fb fb fb fb fb fb fb fb fb fb fb fb fb fb [ 542.304951][T20959] ^ [ 542.309277][T20959] ffff888026b76880: fb fb fb fb fb fb fb fb fb fb fb fb fb fb fb fb [ 542.317336][T20959] ffff888026b76900: fb fb fb fb fb fb fb fb fb fb fb fb fb fb fb fb [ 542.325392][T20959] ================================================================== 23:42:12 executing program 5: r0 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$IEEE802154_LLSEC_ADD_DEV(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000002c0)={&(0x7f00000001c0)={0x50, r0, 0x400, 0x70bd2a, 0x25dfdbff, {}, [@IEEE802154_ATTR_DEV_INDEX={0x8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_LLSEC_DEV_KEY_MODE={0x5}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_LLSEC_FRAME_COUNTER={0x8, 0x2f, 0x2}]}, 0x50}, 0x1, 0x0, 0x0, 0x4008000}, 0x50) (async) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000)='./cgroup/syz0\x00', 0x1ff) (async) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) r2 = openat$cgroup_procs(r1, &(0x7f0000000240)='cgroup.procs\x00', 0x2, 0x0) write$cgroup_pid(r2, &(0x7f0000000280), 0x12) (async) bpf$BPF_TASK_FD_QUERY(0x14, &(0x7f0000000080)={0x0, 0xffffffffffffffff, 0x0, 0x7, &(0x7f0000000000)='cgroup\x00'}, 0x30) (async, rerun: 64) r3 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000000), 0x200002, 0x0) (rerun: 64) r4 = openat$cgroup_subtree(r3, &(0x7f0000000040), 0x2, 0x0) ioctl$AUTOFS_DEV_IOCTL_VERSION(0xffffffffffffffff, 0xc0189371, &(0x7f0000000380)={{0x1, 0x1, 0x18, r4}, './file0\x00'}) syz_io_uring_setup(0x69e1, &(0x7f00000003c0)={0x0, 0x34cc, 0x10, 0x3, 0x2cb, 0x0, r5}, &(0x7f0000ffd000/0x2000)=nil, &(0x7f0000ffd000/0x3000)=nil, &(0x7f0000000440), &(0x7f0000000480)) (async) r6 = syz_open_dev$vcsn(&(0x7f00000000c0), 0x7f, 0x0) write$cgroup_subtree(r6, &(0x7f0000000340)={[{0x2d, 'net_cls'}]}, 0x9) (async, rerun: 32) write$cgroup_subtree(r4, &(0x7f0000000140)={[{0x2d, 'cpu'}, {0x2b, 'cpu'}, {0x2b, 'pids'}, {0x0, 'io'}, {0x0, 'memory'}, {0x0, 'io'}, {0x2d, 'io'}]}, 0x24) (rerun: 32) [ 542.422690][T20959] Kernel panic - not syncing: panic_on_warn set ... [ 542.429326][T20959] CPU: 0 PID: 20959 Comm: syz-executor.0 Not tainted 6.0.0-rc6-next-20220923-syzkaller #0 [ 542.439239][T20959] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 09/22/2022 [ 542.449314][T20959] Call Trace: [ 542.452605][T20959] [ 542.455544][T20959] dump_stack_lvl+0xcd/0x134 [ 542.460172][T20959] panic+0x2c8/0x622 [ 542.464105][T20959] ? panic_print_sys_info.part.0+0x110/0x110 [ 542.470109][T20959] ? preempt_schedule_common+0x59/0xc0 [ 542.475599][T20959] ? preempt_schedule_thunk+0x16/0x18 [ 542.481016][T20959] end_report.part.0+0x3f/0x7c [ 542.485811][T20959] ? rdma_close+0xaf/0xc0 [ 542.490157][T20959] kasan_report.cold+0xa/0xf [ 542.494757][T20959] ? rdma_close+0xaf/0xc0 [ 542.499106][T20959] rdma_close+0xaf/0xc0 [ 542.503278][T20959] p9_client_destroy+0xbe/0x370 [ 542.508143][T20959] ? p9_fid_destroy+0xd0/0xd0 [ 542.512831][T20959] ? p9_client_create+0x78c/0xf20 [ 542.517869][T20959] ? __kmem_cache_free+0xab/0x3b0 [ 542.522912][T20959] p9_client_create+0x728/0xf20 [ 542.527779][T20959] ? p9_client_rpc+0xd70/0xd70 [ 542.532558][T20959] ? lockdep_init_map_type+0x21a/0x7f0 [ 542.538024][T20959] ? __kasan_kmalloc+0xa1/0xb0 [ 542.542805][T20959] ? __raw_spin_lock_init+0x36/0x110 [ 542.548098][T20959] v9fs_session_init+0x1e2/0x1810 [ 542.553131][T20959] ? lock_acquire+0x4fc/0x630 [ 542.557813][T20959] ? rcu_read_lock_sched_held+0xd/0x70 [ 542.563291][T20959] ? lock_release+0x5cb/0x810 [ 542.567978][T20959] ? lock_acquire+0x4fc/0x630 [ 542.572662][T20959] ? __kmem_cache_alloc_node+0x48/0x3d0 [ 542.578223][T20959] ? lock_downgrade+0x6e0/0x6e0 [ 542.583081][T20959] ? v9fs_show_options+0x780/0x780 [ 542.588209][T20959] ? memcg_slab_post_alloc_hook+0x183/0x480 [ 542.594135][T20959] ? __kmem_cache_alloc_node+0x1d8/0x3d0 [ 542.599792][T20959] ? v9fs_mount+0x9b/0xc90 [ 542.604241][T20959] v9fs_mount+0xba/0xc90 [ 542.608509][T20959] ? rcu_read_lock_sched_held+0xd/0x70 [ 542.614001][T20959] ? v9fs_statfs+0x4d0/0x4d0 [ 542.618615][T20959] ? apparmor_capable+0x1d8/0x460 [ 542.623649][T20959] ? v9fs_statfs+0x4d0/0x4d0 [ 542.628265][T20959] legacy_get_tree+0x105/0x220 [ 542.633136][T20959] vfs_get_tree+0x89/0x2f0 [ 542.637579][T20959] path_mount+0x1326/0x1e20 [ 542.642107][T20959] ? kmem_cache_free+0xea/0x5b0 [ 542.646985][T20959] ? finish_automount+0x960/0x960 [ 542.652024][T20959] ? putname+0xfe/0x140 [ 542.656197][T20959] __x64_sys_mount+0x27f/0x300 [ 542.660973][T20959] ? copy_mnt_ns+0xae0/0xae0 [ 542.665573][T20959] ? syscall_enter_from_user_mode+0x22/0xb0 [ 542.671482][T20959] ? trace_hardirqs_on+0x2d/0x160 [ 542.676517][T20959] do_syscall_64+0x35/0xb0 [ 542.680941][T20959] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 542.686854][T20959] RIP: 0033:0x7f6ca408b5a9 [ 542.691359][T20959] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b8 ff ff ff f7 d8 64 89 01 48 [ 542.710980][T20959] RSP: 002b:00007f6ca5197168 EFLAGS: 00000246 ORIG_RAX: 00000000000000a5 [ 542.719403][T20959] RAX: ffffffffffffffda RBX: 00007f6ca41ac050 RCX: 00007f6ca408b5a9 [ 542.727380][T20959] RDX: 00000000200001c0 RSI: 0000000020000080 RDI: 0000000020000040 [ 542.735358][T20959] RBP: 00007f6ca40e6580 R08: 0000000020000bc0 R09: 0000000000000000 [ 542.743335][T20959] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000000 [ 542.751314][T20959] R13: 00007ffe9b9338af R14: 00007f6ca5197300 R15: 0000000000022000 [ 542.759298][T20959] [ 542.762493][T20959] Kernel Offset: disabled [ 542.766812][T20959] Rebooting in 86400 seconds..