Tue Aug 18 05:40:31 UTC 2020 NetBSD/amd64 (ci2-netbsd-0.c.syzkaller.internal) (constty) login: Aug 18 05:40:34 ci2-netbsd-0 getty[1110]: /dev/ttyE2: Device not configured Aug 18 05:40:34 ci2-netbsd-0 getty[1069]: /dev/ttyE3: Device not configured Aug 18 05:40:34 ci2-netbsd-0 getty[1090]: /dev/ttyE1: Device not configured Warning: Permanently added '10.128.0.37' (ECDSA) to the list of known hosts. 2020/08/18 05:41:15 fuzzer started 2020/08/18 05:41:15 dialing manager at 10.128.0.105:40263 2020/08/18 05:41:16 syscalls: 306 2020/08/18 05:41:16 code coverage: enabled 2020/08/18 05:41:16 comparison tracing: enabled 2020/08/18 05:41:16 extra coverage: enabled 2020/08/18 05:41:16 setuid sandbox: support is not implemented in syzkaller 2020/08/18 05:41:16 namespace sandbox: support is not implemented in syzkaller 2020/08/18 05:41:16 Android sandbox: support is not implemented in syzkaller 2020/08/18 05:41:16 fault injection: enabled 2020/08/18 05:41:16 leak checking: support is not implemented in syzkaller 2020/08/18 05:41:16 net packet injection: support is not implemented in syzkaller 2020/08/18 05:41:16 net device setup: support is not implemented in syzkaller 2020/08/18 05:41:16 concurrency sanitizer: support is not implemented in syzkaller 2020/08/18 05:41:16 devlink PCI setup: support is not implemented in syzkaller 2020/08/18 05:41:16 USB emulation: enabled 2020/08/18 05:41:16 hci packet injection: support is not implemented in syzkaller 05:43:30 executing program 0: r0 = syz_usb_connect$printer(0x4, 0x36, &(0x7f0000000000)={{0x12, 0x1, 0x250, 0x0, 0x0, 0x0, 0x50, 0x525, 0xa4a8, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x1, 0x7, 0x70, 0x80, [{{0x9, 0x4, 0x0, 0x1, 0x2, 0x7, 0x1, 0x3, 0x8, "", {{{0x9, 0x5, 0x1, 0x2, 0x10, 0x9, 0x50, 0x16}}, [{{0x9, 0x5, 0x82, 0x2, 0x8, 0x0, 0x7, 0xff}}]}}}]}}]}}, &(0x7f00000001c0)={0xa, &(0x7f0000000040)={0xa, 0x6, 0x200, 0x80, 0x1, 0x0, 0xff, 0x1}, 0x1b, &(0x7f0000000080)={0x5, 0xf, 0x1b, 0x2, [@wireless={0xb, 0x10, 0x1, 0x2, 0xa8, 0x0, 0x7, 0x5, 0x30}, @wireless={0xb, 0x10, 0x1, 0x2, 0x20, 0x7, 0x7, 0x5, 0x8}]}, 0x4, [{0x4, &(0x7f00000000c0)=@lang_id={0x4, 0x3, 0x1004}}, {0x4, &(0x7f0000000100)=@lang_id={0x4, 0x3, 0x445}}, {0x4, &(0x7f0000000140)=@lang_id={0x4, 0x3, 0x430}}, {0x4, &(0x7f0000000180)=@lang_id={0x4, 0x3, 0x408}}]}) getsockname(r0, &(0x7f0000000240)=@family, &(0x7f0000000280)=0xe) write(r0, &(0x7f00000002c0)="aafe36b14dc18500", 0x8) r1 = paccept(0xffffffffffffff9c, &(0x7f0000000300)=@data, &(0x7f0000000340)=0xe, 0x50000000) bind$inet6(r1, &(0x7f0000000380)={0x18, 0x1, 0x7, 0x73}, 0xc) compat_20_statfs(&(0x7f00000003c0)='./file0\x00', &(0x7f0000000400)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, {}, 0x0}) setsockopt$sock_cred(r0, 0xffff, 0x11, &(0x7f0000000540)={0xffffffffffffffff, r2}, 0xc) r3 = __vfork14() __wait450(r3, 0x0, 0x8, &(0x7f0000000580)) r4 = semget(0x2, 0x4, 0x83) semctl$GETNCNT(r4, 0x0, 0x3, &(0x7f0000000640)=""/4096) paccept(r0, &(0x7f0000001640)=@family, &(0x7f0000001680)=0xe, 0x60000000) syz_extract_tcp_res$synack(&(0x7f00000016c0), 0x1, 0x0) r5 = open(&(0x7f0000001700)='./file1\x00', 0xe074dbebb7670319, 0x1) semctl$GETPID(0x0, 0x3, 0x4, &(0x7f0000001740)=""/118) syz_usb_connect$cdc_ncm(0x3, 0x86, &(0x7f00000017c0)={{0x12, 0x1, 0x300, 0x2, 0x0, 0x0, 0xff, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x74, 0x2, 0x1, 0x7f, 0xe0, 0x2, {{0x9, 0x4, 0x0, 0x0, 0x1, 0x2, 0xd, 0x0, 0x0, {{0xa, 0x24, 0x6, 0x0, 0x1, "85564715bd"}, {0x5, 0x24, 0x0, 0x4}, {0xd, 0x24, 0xf, 0x1, 0x3, 0xe2, 0x0, 0x3}, {0x6, 0x24, 0x1a, 0x1201, 0x20}, [@mdlm_detail={0xf, 0x24, 0x13, 0xa3, "6a4913e87961f0550758d2"}, @acm={0x4, 0x24, 0x2, 0x9}]}, {{0x9, 0x5, 0x81, 0x3, 0x200, 0x2, 0x1, 0x7}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x2, 0x2, 0xd, 0x0, 0x0, "", {{{0x9, 0x5, 0x82, 0x2, 0x0, 0x0, 0x20, 0x7}}, {{0x9, 0x5, 0x3, 0x2, 0x10, 0x8f, 0xff, 0x2}}}}}}}]}}, &(0x7f0000001a00)={0xa, &(0x7f0000001880)={0xa, 0x6, 0x110, 0x7, 0x3, 0x49, 0x8}, 0x109, &(0x7f00000018c0)={0x5, 0xf, 0x109, 0x4, [@ss_cap={0xa, 0x10, 0x3, 0x0, 0x2, 0xfd, 0xff, 0x1f}, @generic={0xc3, 0x10, 0xa, "762ba9e71ad9fba4d50e02794888b7f117bc7abf669f86832b1e61cb73ad2620eee8a0c18ad1d08ab52b4772813b79cc42ef78dc2bbcf418f8e2b5b6084487c1d8a9c075d44cd8baacaab841e7e52aabef7a993e88d11b0c0146007844ca4aef1d6723e6e895e0405d61b2612414218f28ea1b2f5263dd0ecb8a21ba5e69dd73065f6835a599a84fef4e1952e6a790dd953df450f02993fbe474e788df1fe62f6d985883b52fe673bd0ed027664fe7d437abea2b09883ecb050d7b45577fad09"}, @generic={0x2c, 0x10, 0x1, "d02ea516cd20af920e4380c6add0b764a8a4d7e96c0bbffe7de91dfac74720751513e8fd3f5a404de7"}, @wireless={0xb, 0x10, 0x1, 0xc, 0x29, 0x1f, 0x5, 0x61, 0x4}]}}) r6 = dup(0xffffffffffffff9c) r7 = dup3(0xffffffffffffff9c, r5, 0x1400004) renameat(r6, &(0x7f0000001a40)='./file0/file0\x00', r7, &(0x7f0000001a80)='./file0\x00') fcntl$getflags(0xffffffffffffffff, 0x1) 05:43:30 executing program 1: r0 = openat(0xffffffffffffffff, &(0x7f0000000000)='./file0\x00', 0x800, 0x4) utimensat(r0, &(0x7f0000000040)='./file0\x00', &(0x7f0000000080)={{0x140a, 0x7}, {0x6, 0x7}}, 0x200) semctl$GETALL(0xffffffffffffffff, 0x0, 0x6, &(0x7f00000000c0)=""/194) getpeername$unix(0xffffffffffffff9c, &(0x7f00000001c0)=@abs, &(0x7f0000000200)=0x8) ioctl$FIONBIO(0xffffffffffffff9c, 0x8004667e, &(0x7f0000000240)=0x1) shmctl$IPC_RMID(0xffffffffffffffff, 0x0) r1 = shmget(0x0, 0x1000, 0x402, &(0x7f0000002000/0x1000)=nil) shmctl$IPC_RMID(r1, 0x0) socketpair(0x6, 0x3, 0x5, &(0x7f0000000280)={0xffffffffffffffff, 0xffffffffffffffff}) compat_43_orecvfrom(r2, &(0x7f00000002c0)=""/169, 0xa9, 0x880, &(0x7f0000000380)="d86df6b6ef3476cb5cd04512b6bb81e411755c64d9b25e050ab5c89ff49a2a8745d4c663bd868e33f2472a60e833c6e20b0ccb7032860a57a11eda72c3ec454a1fb996246ee8135e568bea090b2ce1281295aeac518b14b77162b65693e70a7f02e9d277588f4c4c099f329ff1bb0293158104a83e616adf7e", 0x79) r4 = syz_usb_connect$printer(0x5, 0x2d, &(0x7f0000000400)={{0x12, 0x1, 0x300, 0x0, 0x0, 0x0, 0x8, 0x525, 0xa4a8, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x1b, 0x1, 0x1, 0xa6, 0x0, 0x4, [{{0x9, 0x4, 0x0, 0x9, 0x2, 0x7, 0x1, 0x2, 0x9, "", {{{0x9, 0x5, 0x1, 0x2, 0x10, 0x2, 0x3, 0x8}}}}}]}}]}}, &(0x7f0000000640)={0xa, &(0x7f0000000440)={0xa, 0x6, 0x300, 0x0, 0x0, 0x81, 0x40, 0xfc}, 0x11f, &(0x7f0000000480)={0x5, 0xf, 0x11f, 0x5, [@ssp_cap={0x18, 0x10, 0xa, 0x7, 0x3, 0x8001, 0xf07, 0x8, [0xff3ff0, 0x3f, 0xc000]}, @ptm_cap={0x3}, @ss_container_id={0x14, 0x10, 0x4, 0x1a, "e9673e6d5ba51030631d0387f20ccb26"}, @generic={0xe1, 0x10, 0xb, "58baa7a3a6d3b8ddde6ed6d215873e42e0771fcce6209b51b248db19a7044f9dff6a274f5fa0d3a4df16f14c981811958ea5056356ecfbd03372b00fe8eb5055621686ec31d692da694e3b71069aa8dc545deaa651093baebd811b8f896c08d02af7f16eae66bee78a2ebc67395ba97000f6a88e043497075711edfb25238f11d1cf4ea8dbc48433c2b24bf0db2753f880abdf186e702aa2716cd2e062e8a5d87f10be2709c9ac2e94918cf55eae06ea7e1169148c7a64930d9c20fa380e046f4da1daf285edcb0eaf0d54a20d60bb15875f8666be1de85aee03fa98c454"}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0xb, 0x1, 0x1, 0x1}]}, 0x2, [{0x4, &(0x7f00000005c0)=@lang_id={0x4}}, {0x4, &(0x7f0000000600)=@lang_id={0x4, 0x3, 0x810}}]}) ftruncate(r4, 0x0, 0x4) ftruncate(r3, 0x0, 0x5) syz_emit_ethernet(0xfc, &(0x7f0000000680)="c86eab111310818384782ae726737582230ac2c96e3a139690256111062f3c807da2045cef41f607fc159ac2a49697a2e076cdf74ee72c25c173cd3d5ad912016ba0aaf34583a92c69c01530118d14463194d76f7131445e62c97e889ab9ea3513ff68739dc77f0e3aa5ac3e65a674db2b62ec0b023840079f497b70f1b69715e8dab10d83d399e6a0bb444a582ba7e89a218802bc42bf003902b1dc52c393c529954965af1b550b434538281096ab558f6a29cf6fe28766afda27d7d97b1afa117b76730a97ad39a970527933a9148fa3490669bb17be401765626403f895b9ddec670ff46861daa388a227033ac1fc8d5c7f2583f8b3aab041519d") semget(0x1, 0x4, 0x0) compat_40_mount(&(0x7f0000000780)='efs\x00', &(0x7f00000007c0)='./file0\x00', 0x0, &(0x7f0000000800)="b5d5e00a226d606bb6e3eb1163219f5513209fd5fdfece93bdb6165fa09e802af668ba687b3a7193b2fa208eef5c881a74ca07c40a949846e3c5991bf3788b6c9013e1d55c7545dabd35602a14b059e4361044d62374541517a0ac4e79db1962be5f9998b380ebb5952ab5fbd6f1b14e1de19512aa56dbbb820a9a92eb72d2800317be825668bc4bceb760fcd2df8b8f36687b2a4a2ff66aeb37fb3494bcd1234e9a4623ac1c30415d4ba0a77c25de120594f3164918faca02ff2d749aa6f193e4e4353a1fa67c50b461d98193") rmdir(&(0x7f0000000900)='./file0\x00') getppid() socketpair(0x18, 0x20000000, 0x40, &(0x7f0000000940)={0xffffffffffffffff}) recvmsg(r5, &(0x7f0000001a80)={&(0x7f0000000980)=@family, 0xe, &(0x7f00000019c0)=[{&(0x7f00000009c0)=""/4096, 0x1000}], 0x1, &(0x7f0000001a00)=""/95, 0x5f}, 0x50) 05:43:30 executing program 2: compat_43_ogetsockname(0xffffffffffffffff, &(0x7f0000000000)=""/62, &(0x7f0000000040)=0x3e) r0 = dup2(0xffffffffffffffff, 0xffffffffffffffff) setsockopt$sock_linger(r0, 0xffff, 0x80, &(0x7f0000000080)={0x0, 0x1ff}, 0x8) compat_50_lutimes(&(0x7f00000000c0)='./file0\x00', &(0x7f0000000100)={0x8001, 0x6}) r1 = semget(0x1, 0x1, 0x2) fstatat(r0, &(0x7f0000000140)='./file0\x00', &(0x7f0000000180)={0x0, 0x0, 0x0, 0x0, 0x0}, 0xc00) __lstat50(&(0x7f0000000240)='./file0\x00', &(0x7f0000000280)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) compat_12_stat12(&(0x7f0000000340)='./file0\x00', &(0x7f0000000380)={0x0, 0x0, 0x0, 0x0, 0x0}) getsockopt$SO_PEERCRED(0xffffffffffffff9c, 0xffff, 0x11, &(0x7f0000000400)={0x0, 0x0, 0x0}, 0xc) semctl$IPC_SET(r1, 0x0, 0x1, &(0x7f0000000440)={{0x510ff69d, r2, r3, r4, r5, 0x4, 0x7ff}, 0x3, 0x200, 0x8001}) r6 = accept$unix(0xffffffffffffff9c, &(0x7f00000004c0)=@file={0x0, ""/8}, &(0x7f0000000500)=0xa) fcntl$setflags(r6, 0x2, 0x0) r7 = accept$inet(0xffffffffffffffff, 0x0, &(0x7f0000000540)) shutdown(r7, 0x1) rmdir(&(0x7f0000000580)='./file0\x00') r8 = open(&(0x7f00000005c0)='./file0\x00', 0x40, 0x200) recvmsg(r8, &(0x7f0000001a00)={&(0x7f0000000600)=@data, 0xe, &(0x7f0000001940)=[{&(0x7f0000000640)=""/97, 0x61}, {&(0x7f00000006c0)=""/28, 0x1c}, {&(0x7f0000000700)=""/30, 0x1e}, {&(0x7f0000000740)=""/4096, 0x1000}, {&(0x7f0000001740)=""/16, 0x10}, {&(0x7f0000001780)=""/207, 0xcf}, {&(0x7f0000001880)=""/129, 0x81}], 0x7, &(0x7f00000019c0)=""/52, 0x34}, 0x100) open$dir(&(0x7f0000001a40)='./file0/file0\x00', 0x20000, 0x2) r9 = syz_usb_connect$printer(0x6, 0x36, &(0x7f0000001a80)={{0x12, 0x1, 0x200, 0x0, 0x0, 0x0, 0x50, 0x525, 0xa4a8, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x1, 0x2, 0x20, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x1, 0x7, 0x1, 0x2, 0xc, "", {{{0x9, 0x5, 0x1, 0x2, 0x20, 0x1f}}, [{{0x9, 0x5, 0x82, 0x2, 0x8, 0x0, 0x7, 0x9}}]}}}]}}]}}, &(0x7f0000001e80)={0xa, &(0x7f0000001ac0)={0xa, 0x6, 0x201, 0x2d, 0x6, 0x5, 0xff, 0x20}, 0xbd, &(0x7f0000001b00)={0x5, 0xf, 0xbd, 0x5, [@ssp_cap={0x1c, 0x10, 0xa, 0xfc, 0x4, 0x4, 0xf000, 0xeb75, [0xff00cf, 0xcf, 0x0, 0x30]}, @ptm_cap={0x3}, @generic={0x8b, 0x10, 0x4, "4f7eb69d4bc21655fe056e9c634b6b92214f0a2f1bab6bf6fd9dddaf1f012db474fb7af2d27ed709a64d45b17fccedbc7c9f4a7dffd9f9288abfe2864e5de74fa5800b7539acdefc2c2f70e4697c8a46d62f13c43a41b9d40b76afa64ebdd0e55e3d41e58e6afcaf7a0d462c122822054c8ee8b641346af6fb3dbf8e44d04e7fe3b43e1cd4567253"}, @wireless={0xb, 0x10, 0x1, 0x0, 0x1, 0x10, 0x81, 0x3f}, @ptm_cap={0x3}]}, 0x7, [{0x4, &(0x7f0000001bc0)=@lang_id={0x4, 0x3, 0x41a}}, {0x1e, &(0x7f0000001c00)=@string={0x1e, 0x3, "c186c1b07d945342b2bc2576cbf09c68c0eeee229a62111409b79dc4"}}, {0x4b, &(0x7f0000001c40)=@string={0x4b, 0x3, "ad9d7b160ace30ceed0e9e993d7a32ef5dbf3115d4235c20f6b2b93ed41c0810cc399ecce5cb80aba821b1b13f6bbfc21647ce054d501dab21704e0737bdd5aff1c467c56449f29b40"}}, {0x4, &(0x7f0000001cc0)=@lang_id={0x4, 0x3, 0x41f}}, {0x4, &(0x7f0000001d00)=@lang_id={0x4, 0x3, 0x827}}, {0xe0, &(0x7f0000001d40)=@string={0xe0, 0x3, "7e525b08601f3f52c3dd8d7c99275518d28f0d916a5f04b71fb1538cb451e13cdb74b95eae3fa6f8ae61f3b8117abf9f7e6445a278dd714d3d2f082d762723470e9e5567ffaa927c30a22bb7005b357add9cb0ab37ffc4ad325649ee4de0030ddfd4504ca9235290ef683e070e147825b39e0e3b8008fb5b840741f3e3e5a9144e9697ca728a618cf8fb6a6a884f2baf21a0b9691ada43e5a4659d263d3d3913cf278b3a32cdbfb80b3f9e1da2edc41717a32b39874025177d80fa2deefc886b01076e6eb9d3abb8e4ddf111667b55bd7d3288ab66f0dfb9b475a47abc8f"}}, {0x4, &(0x7f0000001e40)=@lang_id={0x4, 0x3, 0x449}}]}) setsockopt$inet6_MRT6_ADD_MIF(r9, 0x29, 0x66, &(0x7f0000001f00)={0xf11, 0x1, 0x80, 0x6, 0x6}, 0xc) 05:43:30 executing program 3: compat_50_setitimer(0x0, &(0x7f0000000000)={{0x543c, 0x9}, {0x7, 0x80000001}}, &(0x7f0000000040)) socket(0x23, 0x5, 0x1) r0 = socket(0x1, 0x4, 0x0) mlockall(0x3) _lwp_create(&(0x7f0000000080)={0x2, 0x0, {[0x6, 0x5, 0x3, 0x6]}, {0x629, 0xfffffffffffffffa, 0x5}, {0x9, 0x1, ',,\x00'}}, 0xc0, &(0x7f0000000100)=0x0) _lwp_detach(r1) compat_50__lwp_park(&(0x7f0000000140)={0x6, 0x8000}, r1, &(0x7f0000000180), &(0x7f00000001c0)=0x9) r2 = syz_usb_connect$cdc_ncm(0x6, 0x72, &(0x7f0000000200)={{0x12, 0x1, 0x250, 0x2, 0x0, 0x0, 0x10, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x60, 0x2, 0x1, 0x4, 0x20, 0x80, {{0x9, 0x4, 0x0, 0x0, 0x1, 0x2, 0xd, 0x0, 0x0, {{0x9, 0x24, 0x6, 0x0, 0x1, "621e4a0a"}, {0x5, 0x24, 0x0, 0x2}, {0xd, 0x24, 0xf, 0x1, 0x6, 0x29, 0x7, 0x1}, {0x6, 0x24, 0x1a, 0xfd57, 0x10}}, {{0x9, 0x5, 0x81, 0x3, 0x400, 0x1f, 0x40, 0x80}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x2, 0x2, 0xd, 0x0, 0x0, "", {{{0x9, 0x5, 0x82, 0x2, 0x400, 0x4, 0xfe, 0x43}}, {{0x9, 0x5, 0x3, 0x2, 0x20, 0x9, 0x2, 0x3}}}}}}}]}}, &(0x7f0000000540)={0xa, &(0x7f0000000280)={0xa, 0x6, 0x0, 0x1, 0x1, 0x9, 0x10, 0x81}, 0x143, &(0x7f00000002c0)={0x5, 0xf, 0x143, 0x6, [@ext_cap={0x7, 0x10, 0x2, 0x0, 0x6, 0xb, 0x7}, @generic={0xf5, 0x10, 0x2, "2aac7948ee96b9272f9af518db57f9ba19174ad0691f441fbffb6edcf567bce033c4867eda378f7e3b587a48fceb419fb5d4deb661a226b1c4754b4e0b81de67ae858914c176264fef85c0a40b711b77f28a57e508d675a11e08dc14a9ace4904ccbbca636095da02b018831b9bcd3c868aa22749e2a76c00840ed077ba32382d56af27af78a37a61bf74e4c2fed33ea7ef6217cfe9f31be091277da136fcfb47fb18c16b547f5227bc6f8897916cbf6610d330279a4379f9cf1e876e7a5002e6f0b98d7027701278ce20e77a7703d37066d0d357418e1fc93c7223c97d2480f6c706e9d4e0ab145fbdac7825913b7586140"}, @ssp_cap={0x24, 0x10, 0xa, 0x73, 0x6, 0x7, 0xf00, 0x9, [0xc0cf, 0xc000, 0xff0000, 0x3f, 0x3f, 0x30]}, @ext_cap={0x7, 0x10, 0x2, 0x1a, 0x8, 0x1, 0x6}, @ss_container_id={0x14, 0x10, 0x4, 0x84, "8736806a2b7b6f4963b0e6b171aaf268"}, @ptm_cap={0x3}]}, 0x4, [{0x4, &(0x7f0000000440)=@lang_id={0x4, 0x3, 0x44c5}}, {0x16, &(0x7f0000000480)=@string={0x16, 0x3, "c0880062da5010951db4b17595ad653f4e01abe8"}}, {0x15, &(0x7f00000004c0)=@string={0x15, 0x3, "f110daaa14e23a39b323ef5065e30c1031ebe7"}}, {0x4, &(0x7f0000000500)=@lang_id={0x4, 0x3, 0x403}}]}) syz_usb_disconnect(r2) r3 = _lwp_self() _lwp_unpark(r3, &(0x7f00000005c0)=0x7) syz_usb_connect$hid(0x2, 0x3f, &(0x7f0000000600)={{0x12, 0x1, 0x201, 0x0, 0x0, 0x0, 0x8, 0x0, 0x0, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x2d, 0x1, 0x1, 0x5, 0xd0, 0xbf, [{{0x9, 0x4, 0x0, 0x3, 0x1, 0x3, 0x1, 0x2, 0x4, {0x9, 0x21, 0x0, 0x0, 0x1, {0x22, 0x1f3}}, {{{0x9, 0x5, 0x81, 0x3, 0x20, 0x4, 0x0, 0x6}}, [{{0x9, 0x5, 0x2, 0x3, 0x3ff, 0x0, 0x7}}]}}}]}}]}}, &(0x7f0000000880)={0xa, &(0x7f0000000640)={0xa, 0x6, 0x201, 0xfe, 0xff, 0x3f, 0x8, 0x2}, 0x10, &(0x7f0000000680)={0x5, 0xf, 0x10, 0x1, [@wireless={0xb, 0x10, 0x1, 0xc, 0x2e, 0x0, 0x8, 0x2, 0x1}]}, 0x4, [{0xbd, &(0x7f00000006c0)=@string={0xbd, 0x3, "7d21e3fc9e8d501119d50abc2d19b8303baa417ba372fb60a922553e3fc8ddfe61305a2f218e8123ed1f870cfe5782ea8be5022929a804a5f2aa3735642b41fe1ae0883aafd9c573ce276421e34772917be926eb8ca4a0e996876a1b0d7cd873bbc7131a18bbacc1f5ce1d00dc3cf065da1016023e3d3a61a910303245a36362a7e03f663879c4929986e2805e35957c895d45f68033b907c5dcfc9da56d26d449dcfde282f6daf81e1c15e209db6cc1bfed994306492e5b322d4a"}}, {0x49, &(0x7f0000000780)=@string={0x49, 0x3, "328e9c9ce269114622e1a8a4e210e147fe435fb314e0bb0ac5e6794d293547c171dcf4e3be77435cf5f161243977dfb22cfaf08a0bf218bd2b45546430dd8bb4015e4aa14ea024"}}, {0x4, &(0x7f0000000800)=@lang_id={0x4, 0x3, 0x2001}}, {0x4, &(0x7f0000000840)=@lang_id={0x4, 0x3, 0x82c}}]}) r4 = open$dir(&(0x7f0000000900)='./file0\x00', 0x40000, 0x1c0) fcntl$getown(r4, 0x5) getsockopt(r0, 0x8000, 0x3, &(0x7f0000000940)=""/148, &(0x7f0000000a00)=0x94) syz_usb_connect$cdc_ncm(0x1, 0x7e, &(0x7f0000000a40)={{0x12, 0x1, 0x200, 0x2, 0x0, 0x0, 0x20, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x6c, 0x2, 0x1, 0x20, 0xb0, 0x7f, {{0x9, 0x4, 0x0, 0x0, 0x1, 0x2, 0xd, 0x0, 0x0, {{0x8, 0x24, 0x6, 0x0, 0x1, "215f13"}, {0x5, 0x24, 0x0, 0x1}, {0xd, 0x24, 0xf, 0x1, 0xd98d, 0x2000, 0x9, 0x76}, {0x6, 0x24, 0x1a, 0x9f1, 0x31}, [@mbim_extended={0x8, 0x24, 0x1c, 0x4, 0x7f, 0x6}, @obex={0x5, 0x24, 0x15, 0x81}]}, {{0x9, 0x5, 0x81, 0x3, 0xd1dcacf341b4eb7c, 0x0, 0x3f, 0x3}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x2, 0x2, 0xd, 0x0, 0x0, "", {{{0x9, 0x5, 0x82, 0x2, 0x10, 0x0, 0x4, 0xc1}}, {{0x9, 0x5, 0x3, 0x2, 0x8, 0x7, 0x8, 0x7}}}}}}}]}}, &(0x7f0000000b80)={0xa, &(0x7f0000000ac0)={0xa, 0x6, 0x200, 0xff, 0x92, 0xeb, 0x20, 0x40}, 0x27, &(0x7f0000000b00)={0x5, 0xf, 0x27, 0x3, [@ss_container_id={0x14, 0x10, 0x4, 0x7f, "c8bd6c8cf9e94014e7adfb283d0ca039"}, @wireless={0xb, 0x10, 0x1, 0xc, 0x41, 0xbe, 0x4, 0xa328, 0x7}, @ptm_cap={0x3}]}, 0x1, [{0x4, &(0x7f0000000b40)=@lang_id={0x4, 0x3, 0x403}}]}) rmdir(&(0x7f0000000bc0)='./file0\x00') syz_usb_connect$uac1(0x7, 0x10b, &(0x7f0000000c00)={{0x12, 0x1, 0x310, 0x0, 0x0, 0x0, 0x20, 0x1d6b, 0x101, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0xf9, 0x3, 0x1, 0x3f, 0x0, 0x5, {{0x9, 0x4, 0x0, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, {{0xa, 0x24, 0x1, 0x2, 0x7}, [@extension_unit={0xd, 0x24, 0x8, 0x6, 0x1ff, 0x8, "30897216352a"}, @extension_unit={0xd, 0x24, 0x8, 0x3, 0x8, 0x3, "3ebc7ac5241c"}, @selector_unit={0xb, 0x24, 0x5, 0x4, 0x40, "a85db32d64ef"}, @processing_unit={0xd, 0x24, 0x7, 0x6, 0x0, 0xff, "a94a643a9f4a"}, @feature_unit={0xd, 0x24, 0x6, 0x4, 0x6, 0x3, [0x2, 0x5, 0xa], 0x4}, @mixer_unit={0x8, 0x24, 0x4, 0x2, 0x5, "e75369"}]}}, {}, {0x9, 0x4, 0x1, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@as_header={0x7, 0x24, 0x1, 0x2, 0x9, 0x3}, @as_header={0x7, 0x24, 0x1, 0x9, 0x80, 0x1}, @as_header={0x7, 0x24, 0x1, 0x7, 0xa5, 0x1001}, @format_type_i_discrete={0xb, 0x24, 0x2, 0x1, 0x6, 0x1, 0x92, 0x3f, "34f74b"}, @format_type_i_continuous={0xb, 0x24, 0x2, 0x1, 0x1, 0x2, 0x1, 0x5, "b2e879"}, @as_header={0x7, 0x24, 0x1, 0x9, 0x1, 0x1}]}, {{0x9, 0x5, 0x1, 0x9, 0x40, 0x7, 0x6, 0x2, {0x7, 0x25, 0x1, 0x81, 0x7f, 0xfffd}}}}, {}, {0x9, 0x4, 0x2, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_i_continuous={0xb, 0x24, 0x2, 0x1, 0xe2, 0x2, 0xee, 0x3f, "a97c28"}, @format_type_i_continuous={0xb, 0x24, 0x2, 0x1, 0x6, 0x2, 0x1, 0x44, "", "549109"}, @format_type_i_continuous={0xb, 0x24, 0x2, 0x1, 0xff, 0x4, 0x29, 0x4, '!g', 'R'}]}, {{0x9, 0x5, 0x82, 0x9, 0x200, 0x6, 0x0, 0x10, {0x7, 0x25, 0x1, 0x3, 0xea, 0x6}}}}}}}]}}, &(0x7f0000000e40)={0xa, &(0x7f0000000d40)={0xa, 0x6, 0x300, 0x8, 0x1, 0x9, 0xff, 0x6}, 0x30, &(0x7f0000000d80)={0x5, 0xf, 0x30, 0x5, [@ptm_cap={0x3}, @ss_container_id={0x14, 0x10, 0x4, 0x5, "51e2f274b2ce68224ec67ea6f0041717"}, @ext_cap={0x7, 0x10, 0x2, 0x10, 0x7, 0x2, 0x4}, @generic={0x6, 0x10, 0x4, "ad3b03"}, @ext_cap={0x7, 0x10, 0x2, 0x10, 0x4, 0x9, 0xea1}]}, 0x1, [{0x49, &(0x7f0000000dc0)=@string={0x49, 0x3, "4d4364ecfa81d2ada8f650172a630a9fcd3681d0ae10d7f2bf60208f3432ef3efac69b9674c6e25556b1a2172f21646342eeefb7e6b89253fdcb1711c2c39a5e978c3af3c3350d"}}]}) r5 = socket$unix(0x1, 0x1, 0x0) accept(r5, &(0x7f0000000e80)=@data, &(0x7f0000000ec0)=0xe) 05:43:31 executing program 4: setsockopt$inet6_MRT6_ADD_MIF(0xffffffffffffff9c, 0x29, 0x66, &(0x7f0000000000)={0x101, 0x1, 0x3, 0xc232, 0x1}, 0xc) r0 = accept$inet(0xffffffffffffffff, &(0x7f0000000040), &(0x7f0000000080)=0xc) r1 = fcntl$getown(r0, 0x5) socket$unix(0x1, 0x1, 0x0) r2 = posix_spawn(r1, &(0x7f00000000c0)=':{\x00', &(0x7f0000000140)={0x4, 0xca3, &(0x7f0000000100)=@dup={0x1, 0xffffffffffffffff, {0xffffff2d}}}, &(0x7f0000000180)={0x2, 0x0, {0xffffff76}, 0x0, {[0x4, 0x0, 0x8001, 0x3]}, {[0xf4, 0x9, 0x3f, 0x369]}}, &(0x7f00000001c0)=['$#\x00', '\x00', '-\x01\xe4&()/!^-\x00', '{(\\$)!t\x00', '(*\\$', '%\x00'], &(0x7f0000000200)=['\'h[\\\x00', '.\x81(\x00', ':^&/)\x00', '}\'*\x00', ']-)\x00', '\'$^\x00', '^\x00']) r3 = getsid(r2) r4 = getppid() setpgid(r4, r3) getuid() r5 = shmget$private(0x0, 0x2000, 0x40, &(0x7f0000ffc000/0x2000)=nil) shmat(r5, &(0x7f0000ffd000/0x1000)=nil, 0x3000) r6 = open$dir(&(0x7f0000000240)='./file0\x00', 0x40, 0x2) mknodat(r6, &(0x7f0000000280)='./file0\x00', 0x0, 0x80000001) rmdir(&(0x7f00000002c0)='./file0\x00') ptrace(0x2, r3, &(0x7f0000000300), 0xc34d) r7 = dup2(0xffffffffffffffff, 0xffffffffffffffff) getsockopt$sock_linger(r7, 0xffff, 0x80, &(0x7f0000000340), &(0x7f0000000380)=0x8) r8 = open(&(0x7f00000003c0)='./file0\x00', 0x200000, 0x0) compat_50_futimes(r8, &(0x7f0000000400)={0x1cd9, 0x1}) getpeername(0xffffffffffffffff, &(0x7f00000004c0)=@family, &(0x7f0000000500)=0xe) 05:43:31 executing program 5: r0 = getpgid(0x0) getppid() r1 = getpid() getpgid(r1) r2 = __clone(0x800, &(0x7f0000000000)="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") fcntl$setown(0xffffffffffffff9c, 0x6, r2) r3 = accept$inet(0xffffffffffffffff, &(0x7f0000001000), &(0x7f0000001040)=0xc) getsockopt$SO_PEERCRED(r3, 0xffff, 0x11, &(0x7f0000001080), 0xc) r4 = socket$unix(0x1, 0x5, 0x0) r5 = accept$unix(r4, &(0x7f00000010c0)=@file={0x0, ""/108}, &(0x7f0000001140)=0x6e) fcntl$setown(r5, 0x6, r0) r6 = dup3(0xffffffffffffffff, r4, 0x0) ftruncate(r6, 0x0, 0x7f) getsockopt$SO_PEERCRED(r5, 0xffff, 0x11, &(0x7f0000001180)={0x0}, 0xc) r8 = fcntl$getown(0xffffffffffffffff, 0x5) setpgid(r7, r8) r9 = _lwp_self() _lwp_setname(r9, &(0x7f00000011c0)='\x00') r10 = __vfork14() fcntl$lock(r4, 0x7, &(0x7f0000001200)={0x3, 0x2, 0xffffffffffffffc1, 0x10000, r10}) [ 277.1219905] uhub0: device problem, disabling port 1 05:43:45 executing program 2: mknod(&(0x7f0000000000)='./bus\x00', 0x80002000, 0x0) r0 = open(&(0x7f0000000000)='./bus\x00', 0x0, 0x0) r1 = getuid() setreuid(0xee00, r1) r2 = semget$private(0x0, 0x4, 0x1da) semctl$GETNCNT(r2, 0x4, 0x3, &(0x7f00000004c0)=""/177) semctl$SETVAL(r2, 0x0, 0x8, &(0x7f0000000240)=0x72) r3 = semget$private(0x0, 0x5, 0x30e) semctl$SETVAL(r3, 0x1, 0x8, &(0x7f0000000000)=0x54a5) semctl$SETVAL(r3, 0x2, 0x8, &(0x7f00000005c0)=0x7ff) semctl$GETVAL(r3, 0x2, 0x5, &(0x7f0000000100)=""/224) semop(r3, &(0x7f0000000400), 0x0) r4 = getgid() getsockopt$SO_PEERCRED(0xffffffffffffffff, 0xffff, 0x1022, &(0x7f0000000480)={0x0, 0x0}, 0xc) semctl$IPC_SET(r2, 0x0, 0x1, &(0x7f0000000080)={{0x5, 0xffffffffffffffff, r4, r5, r4, 0x0, 0x9}, 0x8, 0x1, 0x9}) lchown(&(0x7f0000000040)='./bus\x00', r1, r4) ioctl$FIOGETOWN(r0, 0x4004667b, 0x0) 05:43:45 executing program 0: mknod(&(0x7f0000000080)='./bus\x00', 0x2000, 0x0) r0 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) fcntl$lock(r0, 0x8, &(0x7f0000000140)={0x0, 0x0, 0x8, 0x108000001}) getpeername$unix(r0, &(0x7f0000000380)=@abs, &(0x7f00000003c0)=0x8) open(&(0x7f0000000040)='./bus\x00', 0x0, 0x0) open(&(0x7f0000000340)='./bus\x00', 0x200000, 0x400) r1 = open(&(0x7f00000000c0)='./file0\x00', 0x205, 0x0) fcntl$lock(r1, 0x9, &(0x7f0000000080)={0x0, 0x0, 0xffffffff, 0x1000300410005}) ioctl$FIOASYNC(r1, 0x8004747d, &(0x7f0000000000)=0x38e7) r2 = open(&(0x7f0000000040)='./bus\x00', 0x0, 0x2) ioctl$FIONREAD(r2, 0x2000746e, 0x0) socketpair(0x1, 0x20000000, 0x37, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) preadv(r3, &(0x7f0000000300)=[{&(0x7f0000000100)=""/105, 0x69}, {&(0x7f0000000180)=""/163, 0xa3}, {&(0x7f0000000240)=""/30, 0x1e}, {&(0x7f0000000280)=""/117, 0x75}], 0x4, 0x10000) [ 277.8018997] uhub1: device problem, disabling port 1 05:43:46 executing program 4: r0 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) fcntl$lock(r0, 0x8, &(0x7f0000000140)={0x0, 0x0, 0x8, 0x108000001}) ioctl$FIOSEEKDATA(r0, 0xc0086661, &(0x7f0000000040)=0x6) mknod(&(0x7f0000000000)='./bus\x00', 0x2000, 0x0) r1 = open(&(0x7f0000000000)='./bus\x00', 0x0, 0x0) ioctl$FIOGETOWN(r1, 0x4004667b, 0x0) [ 278.9919157] uhub3: device problem, disabling port 1 05:43:47 executing program 1: r0 = openat(0xffffffffffffffff, &(0x7f0000000000)='./file0\x00', 0x800, 0x4) utimensat(r0, &(0x7f0000000040)='./file0\x00', &(0x7f0000000080)={{0x140a, 0x7}, {0x6, 0x7}}, 0x200) semctl$GETALL(0xffffffffffffffff, 0x0, 0x6, &(0x7f00000000c0)=""/194) getpeername$unix(0xffffffffffffff9c, &(0x7f00000001c0)=@abs, &(0x7f0000000200)=0x8) ioctl$FIONBIO(0xffffffffffffff9c, 0x8004667e, &(0x7f0000000240)=0x1) shmctl$IPC_RMID(0xffffffffffffffff, 0x0) r1 = shmget(0x0, 0x1000, 0x402, &(0x7f0000002000/0x1000)=nil) shmctl$IPC_RMID(r1, 0x0) socketpair(0x6, 0x3, 0x5, &(0x7f0000000280)={0xffffffffffffffff, 0xffffffffffffffff}) compat_43_orecvfrom(r2, &(0x7f00000002c0)=""/169, 0xa9, 0x880, &(0x7f0000000380)="d86df6b6ef3476cb5cd04512b6bb81e411755c64d9b25e050ab5c89ff49a2a8745d4c663bd868e33f2472a60e833c6e20b0ccb7032860a57a11eda72c3ec454a1fb996246ee8135e568bea090b2ce1281295aeac518b14b77162b65693e70a7f02e9d277588f4c4c099f329ff1bb0293158104a83e616adf7e", 0x79) r4 = syz_usb_connect$printer(0x5, 0x2d, &(0x7f0000000400)={{0x12, 0x1, 0x300, 0x0, 0x0, 0x0, 0x8, 0x525, 0xa4a8, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x1b, 0x1, 0x1, 0xa6, 0x0, 0x4, [{{0x9, 0x4, 0x0, 0x9, 0x2, 0x7, 0x1, 0x2, 0x9, "", {{{0x9, 0x5, 0x1, 0x2, 0x10, 0x2, 0x3, 0x8}}}}}]}}]}}, &(0x7f0000000640)={0xa, &(0x7f0000000440)={0xa, 0x6, 0x300, 0x0, 0x0, 0x81, 0x40, 0xfc}, 0x11f, &(0x7f0000000480)={0x5, 0xf, 0x11f, 0x5, [@ssp_cap={0x18, 0x10, 0xa, 0x7, 0x3, 0x8001, 0xf07, 0x8, [0xff3ff0, 0x3f, 0xc000]}, @ptm_cap={0x3}, @ss_container_id={0x14, 0x10, 0x4, 0x1a, "e9673e6d5ba51030631d0387f20ccb26"}, @generic={0xe1, 0x10, 0xb, "58baa7a3a6d3b8ddde6ed6d215873e42e0771fcce6209b51b248db19a7044f9dff6a274f5fa0d3a4df16f14c981811958ea5056356ecfbd03372b00fe8eb5055621686ec31d692da694e3b71069aa8dc545deaa651093baebd811b8f896c08d02af7f16eae66bee78a2ebc67395ba97000f6a88e043497075711edfb25238f11d1cf4ea8dbc48433c2b24bf0db2753f880abdf186e702aa2716cd2e062e8a5d87f10be2709c9ac2e94918cf55eae06ea7e1169148c7a64930d9c20fa380e046f4da1daf285edcb0eaf0d54a20d60bb15875f8666be1de85aee03fa98c454"}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0xb, 0x1, 0x1, 0x1}]}, 0x2, [{0x4, &(0x7f00000005c0)=@lang_id={0x4}}, {0x4, &(0x7f0000000600)=@lang_id={0x4, 0x3, 0x810}}]}) ftruncate(r4, 0x0, 0x4) ftruncate(r3, 0x0, 0x5) syz_emit_ethernet(0xfc, &(0x7f0000000680)="c86eab111310818384782ae726737582230ac2c96e3a139690256111062f3c807da2045cef41f607fc159ac2a49697a2e076cdf74ee72c25c173cd3d5ad912016ba0aaf34583a92c69c01530118d14463194d76f7131445e62c97e889ab9ea3513ff68739dc77f0e3aa5ac3e65a674db2b62ec0b023840079f497b70f1b69715e8dab10d83d399e6a0bb444a582ba7e89a218802bc42bf003902b1dc52c393c529954965af1b550b434538281096ab558f6a29cf6fe28766afda27d7d97b1afa117b76730a97ad39a970527933a9148fa3490669bb17be401765626403f895b9ddec670ff46861daa388a227033ac1fc8d5c7f2583f8b3aab041519d") semget(0x1, 0x4, 0x0) compat_40_mount(&(0x7f0000000780)='efs\x00', &(0x7f00000007c0)='./file0\x00', 0x0, &(0x7f0000000800)="b5d5e00a226d606bb6e3eb1163219f5513209fd5fdfece93bdb6165fa09e802af668ba687b3a7193b2fa208eef5c881a74ca07c40a949846e3c5991bf3788b6c9013e1d55c7545dabd35602a14b059e4361044d62374541517a0ac4e79db1962be5f9998b380ebb5952ab5fbd6f1b14e1de19512aa56dbbb820a9a92eb72d2800317be825668bc4bceb760fcd2df8b8f36687b2a4a2ff66aeb37fb3494bcd1234e9a4623ac1c30415d4ba0a77c25de120594f3164918faca02ff2d749aa6f193e4e4353a1fa67c50b461d98193") rmdir(&(0x7f0000000900)='./file0\x00') getppid() socketpair(0x18, 0x20000000, 0x40, &(0x7f0000000940)={0xffffffffffffffff}) recvmsg(r5, &(0x7f0000001a80)={&(0x7f0000000980)=@family, 0xe, &(0x7f00000019c0)=[{&(0x7f00000009c0)=""/4096, 0x1000}], 0x1, &(0x7f0000001a00)=""/95, 0x5f}, 0x50) 05:43:47 executing program 2: r0 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) fcntl$lock(r0, 0x8, &(0x7f0000000140)={0x0, 0x0, 0x8, 0x108000001}) r1 = openat(r0, &(0x7f00000000c0)='./file0\x00', 0x0, 0x100) r2 = open$dir(&(0x7f0000000000)='./file0\x00', 0x2088611, 0x0) truncate(&(0x7f0000000040)='./file0\x00', 0x0, 0xfffffffd) r3 = getpgid(0xffffffffffffffff) fcntl$lock(r2, 0x8, &(0x7f0000000180)={0x1, 0x0, 0x9, 0x4000000, r3}) getpeername$inet6(r1, &(0x7f00000001c0), &(0x7f0000000200)=0xc) r4 = open$dir(&(0x7f0000000100)='./file0\x00', 0x2, 0x0) truncate(&(0x7f0000000080)='./file0\x00', 0x0, 0x80000002) writev(r4, &(0x7f0000000340)=[{&(0x7f0000000180), 0x81700}], 0x1000000000000013) 05:43:47 executing program 0: mprotect(&(0x7f0000000000/0x800000)=nil, 0x800000, 0x5) r0 = socket(0x18, 0x1, 0x0) setsockopt(r0, 0x80000000000029, 0xc, &(0x7f0000000000)="ebffcbff13b9fd812eaa4e713048e69931929648", 0x14) getsockopt(r0, 0x5cc7, 0xfe000000, &(0x7f0000000040)=""/113, &(0x7f00000000c0)=0x71) r1 = open(&(0x7f00000000c0)='./file0\x00', 0x205, 0x0) fcntl$lock(r1, 0x9, &(0x7f0000000080)={0x0, 0x0, 0xffffffff, 0x1000300410005}) ioctl$FIOASYNC(r1, 0x8004667d, &(0x7f0000000100)=0x80000007) 05:43:48 executing program 4: r0 = socket(0x18, 0x2, 0x0) connect$unix(r0, &(0x7f00000000c0)=@abs={0x682eb13985c518e6, 0x7}, 0x1c) connect$unix(r0, &(0x7f0000000080)=@abs={0x0, 0x0, 0x3}, 0x8) r1 = open(&(0x7f00000000c0)='./file0\x00', 0x205, 0x0) fcntl$lock(r1, 0x9, &(0x7f0000000080)={0x0, 0x0, 0xffffffff, 0x1000300410005}) r2 = __clone(0x0, 0x0) ptrace(0x9, r2, 0x0, 0x0) ptrace(0x18, r2, 0x0, 0x0) compat_12_stat12(&(0x7f0000000100)='./file0\x00', &(0x7f0000000140)={0x0, 0x0, 0x0, 0x0, 0x0}) setsockopt$sock_cred(r1, 0xffff, 0x11, &(0x7f00000001c0)={r2, r3, 0xffffffffffffffff}, 0xc) compat_50_nanosleep(&(0x7f0000000000)={0x0, 0x1f}, &(0x7f0000000040)) [ 280.6018998] ugen0 at uhub3 port 1 [ 280.6018998] ugen0: syz (0x0525) syz (0xa4a1), rev 2.50/0.40, addr 2 05:43:49 executing program 0: r0 = open(&(0x7f0000000480)='./file0\x00', 0x80000000000205, 0xfffffffffffffffd) writev(r0, &(0x7f0000000640)=[{&(0x7f0000000140)='#!', 0x2}], 0x1) r1 = open(&(0x7f00000000c0)='./file0\x00', 0x400000, 0x20) fcntl$lock(r1, 0x9, &(0x7f0000000080)={0x0, 0x0, 0xffffffff, 0x1000300410005}) ioctl$FIOGETBMAP(r1, 0xc008667a, &(0x7f0000000080)=0x400) r2 = fcntl$dupfd(r0, 0x0, r0) writev(r2, &(0x7f00000000c0)=[{&(0x7f0000000040)="1c", 0x1}], 0x1) execve(&(0x7f0000000000)='./file0\x00', 0x0, 0x0) ptrace(0x9, 0x0, 0x0, 0x0) ptrace(0x18, 0x0, 0x0, 0x0) compat_12_stat12(&(0x7f00000001c0)='./file0\x00', &(0x7f0000000200)={0x0, 0x0, 0x0, 0x0, 0x0}) r4 = semget$private(0x0, 0x4, 0x1da) semctl$GETNCNT(r4, 0x4, 0x3, &(0x7f00000004c0)=""/177) semctl$SETVAL(r4, 0x0, 0x8, &(0x7f0000000240)=0x72) semctl$GETVAL(r4, 0x3, 0x5, &(0x7f0000000640)=""/228) semop(r4, &(0x7f0000000400)=[{0x3, 0x100000001, 0x1000}, {0x0, 0x4, 0x1000}], 0x2) r5 = getgid() getsockopt$SO_PEERCRED(0xffffffffffffffff, 0xffff, 0x1022, &(0x7f0000000480)={0x0, 0x0}, 0xc) semctl$IPC_SET(r4, 0x0, 0x1, &(0x7f0000000080)={{0x5, 0xffffffffffffffff, r5, r6, r5, 0x0, 0x9}, 0x8, 0x1, 0x9}) setsockopt$sock_cred(0xffffffffffffffff, 0xffff, 0x11, &(0x7f0000000280)={0x0, r3, r5}, 0xc) [ 280.8518928] ugen0: setting configuration index 0 failed [ 280.9519059] panic: kernel diagnostic assertion "rb_tree_find_node(&ugenif.tree, &sc->sc_unit) == sc" failed: file "/syzkaller/managers/netbsd/kernel/sys/dev/usb/ugen.c", line 205 [ 280.9618532] cpu1: Begin traceback... [ 281.0118616] vpanic() at netbsd:vpanic+0x26f [ 281.1018590] _GLOBAL__sub_D_65535_0_cpu_configure() at netbsd:_GLOBAL__sub_D_65535_0_cpu_configure [ 281.2018591] ugen_detach() at netbsd:ugen_detach+0x2f0 [ 281.2918585] config_detach() at netbsd:config_detach+0x1f7 [ 281.3718589] usb_disconnect_port() at netbsd:usb_disconnect_port+0x1a0 [ 281.4618630] uhub_explore() at netbsd:uhub_explore+0x5f5 [ 281.5518621] usb_discover.isra.2() at netbsd:usb_discover.isra.2+0x1d5 [ 281.6418619] usb_event_thread() at netbsd:usb_event_thread+0xeb [ 281.6618698] cpu1: End traceback... [ 281.6618698] fatal breakpoint trap in supervisor mode [ 281.6718521] trap type 1 code 0 rip 0xffffffff80220a1d cs 0x8 rflags 0x282 cr2 0x7b9b30b03000 ilevel 0 rsp 0xffffdb81830f59e0 [ 281.6818545] curlwp 0xffffdb8012949a00 pid 0.125 lowest kstack 0xffffdb81830ee2c0 Stopped in pid 0.125 (system) at netbsd:breakpoint+0x5: leave ? breakpoint() at netbsd:breakpoint+0x5 db_panic() at netbsd:db_panic+0x105 vpanic() at netbsd:vpanic+0x26f _GLOBAL__sub_D_65535_0_cpu_configure() at netbsd:_GLOBAL__sub_D_65535_0_cpu_configure ugen_detach() at netbsd:ugen_detach+0x2f0 config_detach() at netbsd:config_detach+0x1f7 usb_disconnect_port() at netbsd:usb_disconnect_port+0x1a0 uhub_explore() at netbsd:uhub_explore+0x5f5 usb_discover.isra.2() at netbsd:usb_discover.isra.2+0x1d5 usb_event_thread() at netbsd:usb_event_thread+0xeb Panic string: kernel diagnostic assertion "rb_tree_find_node(&ugenif.tree, &sc->sc_unit) == sc" failed: file "/syzkaller/managers/netbsd/kernel/sys/dev/usb/ugen.c", line 205 PID LID S CPU FLAGS STRUCT LWP * NAME WAIT 1237 1237 2 0 0 ffffdb8012dc4bc0 syz-executor.2 1201 1201 2 0 0 ffffdb8012bfc980 syz-executor.4 1196 1196 2 0 40000 ffffdb8012c465c0 syz-executor.1 1229 1229 3 1 80 ffffdb8012b158c0 syz-executor.5 parked 1230 1230 3 0 80 ffffdb801439a700 syz-executor.5 parked 985 1231 3 1 11100000 ffffdb8012c81ac0 vfork 985 1220 3 0 11100000 ffffdb8012ad7340 vfork 985 985 2 0 11000040 ffffdb8012c5a600 syz-executor.5 1121 986 3 1 400c0 ffffdb8012ad7780 syz-executor.3 parked 1121 1121 2 1 10040000 ffffdb8012b15480 syz-executor.3 1216 1216 2 0 40 ffffdb8014265200 syz-executor.4 1151 1151 3 1 c0 ffffdb8014229a40 syz-executor.5 wait 1099 1099 2 0 40 ffffdb8014229600 syz-executor.2 953 953 2 0 40 ffffdb80142291c0 syz-executor.3 416 416 2 0 40040 ffffdb80141b75c0 syz-executor.1 1251 1251 2 0 40 ffffdb8012c5a1c0 syz-executor.0 1080 1076 2 0 40 ffffdb80141b7a00 syz-fuzzer 1080 1188 3 1 c0 ffffdb80141b7180 syz-fuzzer parked 1080 1085 3 0 80 ffffdb80138e6480 syz-fuzzer parked 1080 1077 3 0 c0 ffffdb80138e6040 syz-fuzzer parked 1080 1107 3 0 80 ffffdb8012bb8900 syz-fuzzer parked 1080 939 3 1 c0 ffffdb8012bb84c0 syz-fuzzer parked 1080 1086 3 1 80 ffffdb80129e6640 syz-fuzzer parked 1080 1078 2 0 40 ffffdb8012bd7940 syz-fuzzer 1080 1080 3 0 80 ffffdb8012c46180 syz-fuzzer parked 1253 1253 3 1 80 ffffdb8012c46a00 sshd select 1069 1069 3 1 80 ffffdb8012cd6b80 getty nanoslp 1110 1110 3 1 80 ffffdb8012cd6740 getty nanoslp 1090 1090 3 0 80 ffffdb80138db780 getty nanoslp 1091 1091 3 0 c0 ffffdb8012ab0b80 getty ttyraw 956 956 3 1 80 ffffdb8013860ac0 sshd select 1122 1122 3 0 80 ffffdb8012d94740 powerd kqueue 686 > 686 7 0 0 ffffdb80138dbbc0 syslogd 439 439 3 1 80 ffffdb8012cee780 dhcpcd poll 600 600 3 0 80 ffffdb8012d24980 dhcpcd poll 596 596 3 0 80 ffffdb8012cfa8c0 dhcpcd poll 584 584 3 1 80 ffffdb8012cee340 dhcpcd poll 350 350 3 1 80 ffffdb8012dff4c0 dhcpcd poll 349 349 3 0 80 ffffdb8012dff080 dhcpcd poll 348 348 3 0 80 ffffdb8012dde8c0 dhcpcd poll 1 1 3 0 80 ffffdb80128c4980 init wait 0 796 3 0 200 ffffdb80129e6a80 physiod physiod 0 165 3 0 200 ffffdb80129faac0 pooldrain pooldrain 0 167 3 0 200 ffffdb80129fa680 ioflush syncer 0 166 3 1 200 ffffdb80129fa240 pgdaemon pgdaemon 0 161 3 1 200 ffffdb80129e6200 usb7 usbevt 0 31 3 1 200 ffffdb801299da40 usb6 usbevt 0 63 3 1 200 ffffdb801299d600 usb5 usbevt 0 126 3 1 200 ffffdb801299d1c0 usb4 usbevt 0 > 125 7 1 240 ffffdb8012949a00 usb3 0 124 3 1 200 ffffdb80129495c0 usb2 usbevt 0 123 2 1 240 ffffdb8012949180 usb1 0 122 3 0 200 ffffdb80128d89c0 usb0 usbevt 0 121 3 1 200 ffffdb80128d8580 usbtask-dr usbtsk 0 120 3 0 200 ffffdb800fe35ac0 usbtask-hc usbtsk 0 119 3 0 200 ffffdb80128d8140 npfgc0 npfgcw 0 118 3 1 200 ffffdb80128c4540 rt_free rt_free 0 117 3 1 200 ffffdb80128c4100 unpgc unpgc 0 116 3 0 200 ffffdb8012859940 key_timehandler key_timehandler 0 115 3 1 200 ffffdb8012859500 icmp6_wqinput/1 icmp6_wqinput 0 114 3 0 200 ffffdb80128590c0 icmp6_wqinput/0 icmp6_wqinput 0 113 3 0 200 ffffdb801284f900 nd6_timer nd6_timer 0 112 3 1 200 ffffdb801284f4c0 carp6_wqinput/1 carp6_wqinput 0 111 3 0 200 ffffdb801284f080 carp6_wqinput/0 carp6_wqinput 0 110 3 1 200 ffffdb801283b8c0 carp_wqinput/1 carp_wqinput 0 109 3 0 200 ffffdb801283b480 carp_wqinput/0 carp_wqinput 0 108 3 1 200 ffffdb801283b040 icmp_wqinput/1 icmp_wqinput 0 107 3 0 200 ffffdb8012828bc0 icmp_wqinput/0 icmp_wqinput 0 106 3 0 200 ffffdb8012827300 rt_timer rt_timer 0 105 2 1 200 ffffdb8012828780 vmem_rehash 0 104 3 1 200 ffffdb801213bb00 entbutler entropy 0 30 3 1 200 ffffdb801213b6c0 vioif0_txrx/1 vioif0_txrx 0 29 3 0 200 ffffdb801213b280 vioif0_txrx/0 vioif0_txrx 0 27 3 0 200 ffffdb800fe35680 scsibus0 sccomp 0 26 3 0 200 ffffdb800fe35240 pms0 pmsreset 0 25 3 1 200 ffffdb800fd89a80 xcall/1 xcall 0 24 1 1 200 ffffdb800fd89640 softser/1 0 23 1 1 200 ffffdb800fd89200 softclk/1 0 22 1 1 200 ffffdb800fd86a40 softbio/1 0 21 1 1 200 ffffdb800fd86600 softnet/1 0 20 1 1 201 ffffdb800fd861c0 idle/1 0 19 3 0 200 ffffdb800e7f7a00 lnxpwrwq lnxpwrwq 0 18 3 0 200 ffffdb800e7f75c0 lnxlngwq lnxlngwq 0 17 3 0 200 ffffdb800e7f7180 lnxsyswq lnxsyswq 0 16 3 0 200 ffffdb800e7f19c0 lnxrcugc lnxrcugc 0 15 3 0 200 ffffdb800e7f1580 sysmon smtaskq 0 14 3 0 200 ffffdb800e7f1140 pmfsuspend pmfsuspend 0 13 3 0 200 ffffdb800e7ec980 pmfevent pmfevent 0 12 3 0 200 ffffdb800e7ec540 sopendfree sopendfr 0 11 3 0 200 ffffdb800e7ec100 iflnkst iflnkst 0 10 3 0 200 ffffdb800e7e1940 nfssilly nfssilly 0 9 3 0 200 ffffdb800e7e1500 vdrain vdrain 0 8 3 0 200 ffffdb800e7e10c0 modunload mod_unld 0 7 3 0 200 ffffdb800e7d4900 xcall/0 xcall 0 6 1 0 200 ffffdb800e7d44c0 softser/0 0 > 5 7 0 200 ffffdb800e7d4080 softclk/0 0 4 1 0 200 ffffdb800e7d28c0 softbio/0 0 3 1 0 200 ffffdb800e7d2480 softnet/0 0 2 1 0 201 ffffdb800e7d2040 idle/0 0 0 3 0 200 ffffffff82ee4cc0 swapper uvm [Locks tracked through LWPs] ****** LWP 1237.1237 (syz-executor.2) @ 0xffffdb8012dc4bc0, l_stat=2 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at pmap_ctor) lock address : 0xffffdb8012c25d80 type : sleep/adaptive initialized : 0xffffffff808d26e3 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 1 relevant cpu : 0 last held: 0 relevant lwp : 0xffffdb8012dc4bc0 last held: 000000000000000000 last locked : 0xffffffff808d44ef unlocked*: 0xffffffff808d4e0f owner field : 0xffffdb8012dc4bc0 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 1201.1201 (syz-executor.4) @ 0xffffdb8012bfc980, l_stat=2 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at pmap_ctor) lock address : 0xffffdb8012ab9f80 type : sleep/adaptive initialized : 0xffffffff808d26e3 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 1 relevant cpu : 0 last held: 0 relevant lwp : 0xffffdb8012bfc980 last held: 000000000000000000 last locked : 0xffffffff808d22d9 unlocked*: 0xffffffff808d235f owner field : 0xffffdb8012bfc980 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 1121.1121 (syz-executor.3) @ 0xffffdb8012b15480, l_stat=2 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at uvm_obj_init) lock address : 0xffffdb80126c1880 type : sleep/adaptive initialized : 0xffffffff8185f74a shared holds : 0 exclusive: 0 shares wanted: 1 exclusive: 0 relevant cpu : 1 last held: 65535 relevant lwp : 0xffffdb8012b15480 last held: 000000000000000000 last locked : 0xffffffff8183e9c2 unlocked*: 0xffffffff8183bcf5 owner/count : 000000000000000000 flags : 000000000000000000 Turnstile: no active turnstile for this lock. ****** LWP 686.686 (syslogd) @ 0xffffdb80138dbbc0, l_stat=7 *** Locks held: * Lock 0 (initialized at filedesc_ctor) lock address : 0xffffdb801386b300 type : sleep/adaptive initialized : 0xffffffff818a4afb shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffdb80138dbbc0 last held: 0xffffdb80138dbbc0 last locked* : 0xffffffff818ba2e6 unlocked : 0xffffffff818ba715 owner field : 0xffffdb80138dbbc0 wait/spin: 0/0 Turnstile: no active turnstile for this lock. *** Locks wanted: * Lock 0 (initialized at main) lock address : 0xffffffff82feb180 type : spin initialized : 0xffffffff81c96052 shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 1 relevant cpu : 0 last held: 1 relevant lwp : 0xffffdb80138dbbc0 last held: 0xffffdb8012949a00 last locked* : 0xffffffff81916f77 unlocked : 0xffffffff818ba9ed curcpu holds : 1 wanted by: 000000000000000000 ****** LWP 600.600 (dhcpcd) @ 0xffffdb8012d24980, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff82feb280 type : sleep/adaptive initialized : 0xffffffff818e3bb5 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffdb8012d24980 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 596.596 (dhcpcd) @ 0xffffdb8012cfa8c0, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff82feb280 type : sleep/adaptive initialized : 0xffffffff818e3bb5 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffdb8012cfa8c0 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 349.349 (dhcpcd) @ 0xffffdb8012dff080, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff82feb280 type : sleep/adaptive initialized : 0xffffffff818e3bb5 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffdb8012dff080 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 348.348 (dhcpcd) @ 0xffffdb8012dde8c0, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff82feb280 type : sleep/adaptive initialized : 0xffffffff818e3bb5 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffdb8012dde8c0 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 0.125 (usb3) @ 0xffffdb8012949a00, l_stat=7 *** Locks held: * Lock 0 (initialized at ugen_modcmd) lock address : 0xffffffff82fe8480 type : sleep/adaptive initialized : 0xffffffff8070cb3d shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 1 relevant lwp : 0xffffdb8012949a00 last held: 0xffffdb8012949a00 last locked* : 0xffffffff8070c25f unlocked : 000000000000000000 owner field : 0xffffdb8012949a00 wait/spin: 0/0 Turnstile: no active turnstile for this lock. *** Locks wanted: none ****** LWP 0.11 (iflnkst) @ 0xffffdb800e7ec100, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff82feb280 type : sleep/adaptive initialized : 0xffffffff818e3bb5 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffdb800e7ec100 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 0.5 (softclk/0) @ 0xffffdb800e7d4080, l_stat=7 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff82feb280 type : sleep/adaptive initialized : 0xffffffff818e3bb5 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffdb800e7d4080 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. [Locks tracked through CPUs] ******* Locks held on cpu1: * Lock 0 (initialized at main) lock address : 0xffffffff82feb180 type : spin initialized : 0xffffffff81c96052 shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 1 relevant cpu : 1 last held: 1 relevant lwp : 0xffffdb8012949a00 last held: 0xffffdb8012949a00 last locked* : 0xffffffff81916f77 unlocked : 0xffffffff818ba9ed curcpu holds : 1 wanted by: 000000000000000000 PAGE FLAG PQ UOBJECT UANON 0xffffdb8000017180 0041 00000000 0x0 0x0 0xffffdb8000017200 0001 00000000 0x0 0x0 0xffffdb8000017280 0001 00000000 0x0 0x0 0xffffdb8000017300 0001 00000000 0x0 0x0 0xffffdb8000017380 0001 00000000 0x0 0x0 0xffffdb8000017400 0001 00000000 0x0 0x0 0xffffdb8000017480 0001 00000000 0x0 0x0 0xffffdb8000017500 0001 00000000 0x0 0x0 0xffffdb8000017580 0001 00000000 0x0 0x0 0xffffdb8000017600 0001 00000000 0x0 0x0 0xffffdb8000017680 0001 00000000 0x0 0x0 0xffffdb8000017700 0001 00000000 0x0 0x0 0xffffdb8000017780 0001 00000000 0x0 0x0 0xffffdb8000017800 0001 00000000 0x0 0x0 0xffffdb8000017880 0001 00000000 0x0 0x0 0xffffdb8000017900 0001 00000000 0x0 0x0 0xffffdb8000017980 0001 00000000 0x0 0x0 0xffffdb8000017a00 0001 00000000 0x0 0x0 0xffffdb8000017a80 0001 00000000 0x0 0x0 0xffffdb8000017b00 0001 00000000 0x0 0x0 0xffffdb8000017b80 0001 00000000 0x0 0x0 0xffffdb8000017c00 0001 00000000 0x0 0x0 0xffffdb8000017c80 0001 00000000 0x0 0x0 0xffffdb8000017d00 0001 00000000 0x0 0x0 0xffffdb8000017d80 0001 00000000 0x0 0x0 0xffffdb8000017e00 0001 00000000 0x0 0x0 0xffffdb8000017e80 0001 00000000 0x0 0x0 0xffffdb8000017f00 0001 00000000 0x0 0x0 0xffffdb8000017f80 0001 00000000 0x0 0x0 0xffffdb8000018000 0001 00000000 0x0 0x0 0xffffdb8000018080 0001 00000000 0x0 0x0 0xffffdb8000018100 0001 00000000 0x0 0x0 0xffffdb8000018180 0001 00000000 0x0 0x0 0xffffdb8000018200 0001 00000000 0x0 0x0 0xffffdb8000018280 0001 00000000 0x0 0x0 0xffffdb8000018300 0001 00000000 0x0 0x0 0xffffdb8000018380 0001 00000000 0x0 0x0 0xffffdb8000018400 0001 00000000 0x0 0x0 0xffffdb8000018480 0001 00000000 0x0 0x0 0xffffdb8000018500 0001 00000000 0x0 0x0 0xffffdb8000018580 0001 00000000 0x0 0x0 0xffffdb8000018600 0001 00000000 0x0 0x0 0xffffdb8000018680 0001 00000000 0x0 0x0 0xffffdb8000018700 0001 00000000 0x0 0x0 0xffffdb8000018780 0001 00000000 0x0 0x0 0xffffdb8000018800 0001 00000000 0x0 0x0 0xffffdb8000018880 0001 00000000 0x0 0x0 0xffffdb8000018900 0001 00000000 0x0 0x0 0xffffdb8000018980 0001 00000000 0x0 0x0 0xffffdb8000018a00 0001 00000000 0x0 0x0 0xffffdb8000018a80 0001 00000000 0x0 0x0 0xffffdb8000018b00 0001 00000000 0x0 0x0 0xffffdb8000018b80 0001 00000000 0x0 0x0 0xffffdb8000018c00 0001 00000000 0x0 0x0 0xffffdb8000018c80 0001 00000000 0x0 0x0 0xffffdb8000018d00 0001 00000000 0x0 0x0 0xffffdb8000018d80 0001 00000000 0x0 0x0 0xffffdb8000018e00 0001 00000000 0x0 0x0 0xffffdb8000018e80 0001 00000000 0x0 0x0 0xffffdb8000018f00 0001 00000000 0x0 0x0 0xffffdb8000018f80 0001 00000000 0x0 0x0 0xffffdb8000019000 0001 00000000 0x0 0x0 0xffffdb8000019080 0001 00000000 0x0 0x0 0xffffdb8000019100 0001 00000000 0x0 0x0 0xffffdb8000019180 0001 00000000 0x0 0x0 0xffffdb8000019200 0001 00000000 0x0 0x0 0xffffdb8000019280 0001 00000000 0x0 0x0 0xffffdb8000019300 0001 00000000 0x0 0x0 0xffffdb8000019380 0001 00000000 0x0 0x0 0xffffdb8000019400 0001 00000000 0x0 0x0 0xffffdb8000019480 0001 00000000 0x0 0x0 0xffffdb8000019500 0001 00000000 0x0 0x0 0xffffdb8000019580 0001 00000000 0x0 0x0 0xffffdb8000019600 0001 00000000 0x0 0x0 0xffffdb8000019680 0001 00000000 0x0 0x0 0xffffdb8000019700 0001 00000000 0x0 0x0 0xffffdb8000019780 0001 00000000 0x0 0x0 0xffffdb8000019800 0001 00000000 0x0 0x0 0xffffdb8000019880 0001 00000000 0x0 0x0 0xffffdb8000019900 0001 00000000 0x0 0x0 0xffffdb8000019980 0001 00000000 0x0 0x0 0xffffdb8000019a00 0001 00000000 0x0 0x0 0xffffdb8000019a80 0001 00000000 0x0 0x0 0xffffdb8000019b00 0001 00000000 0x0 0x0 0xffffdb8000019b80 0001 00000000 0x0 0x0 0xffffdb8000019c00 0001 00000000 0x0 0x0 0xffffdb8000019c80 0001 00000000 0x0 0x0 0xffffdb8000019d00 0001 00000000 0x0 0x0 0xffffdb8000019d80 0001 00000000 0x0 0x0 0xffffdb8000019e00 0001 00000000 0x0 0x0 0xffffdb8000019e80 0001 00000000 0x0 0x0 0xffffdb8000019f00 0001 00000000 0x0 0x0 0xffffdb8000019f80 0001 00000000 0x0 0x0 0xffffdb800001a000 0001 00000000 0x0 0x0 0xffffdb800001a080 0001 00000000 0x0 0x0 0xffffdb800001a100 0001 00000000 0x0 0x0 0xffffdb800001a180 0001 00000000 0x0 0x0 0xffffdb800001a200 0001 00000000 0x0 0x0 0xffffdb800001a280 0001 00000000 0x0 0x0 0xffffdb800001a300 0001 00000000 0x0 0x0 0xffffdb800001a380 0001 00000000 0x0 0x0 0xffffdb800001a400 0001 00000000 0x0 0x0 0xffffdb800001a480 0001 00000000 0x0 0x0 0xffffdb800001a500 0001 00000000 0x0 0x0 0xffffdb800001a580 0001 00000000 0x0 0x0 0xffffdb800001a600 0001 00000000 0x0 0x0 0xffffdb800001a680 0001 00000000 0x0 0x0 0xffffdb800001a700 0001 00000000 0x0 0x0 0xffffdb800001a780 0001 00000000 0x0 0x0 0xffffdb800001a800 0001 00000000 0x0 0x0 0xffffdb800001a880 0001 00000000 0x0 0x0 0xffffdb800001a900 0001 00000000 0x0 0x0 0xffffdb800001a980 0001 00000000 0x0 0x0 0xffffdb800001aa00 0001 00000000 0x0 0x0 0xffffdb800001aa80 0001 00000000 0x0 0x0 0xffffdb800001ab00 0001 00000000 0x0 0x0 0xffffdb800001ab80 0001 00000000 0x0 0x0 0xffffdb800001ac00 0001 00000000 0x0 0x0 0xffffdb800001ac80 0001 00000000 0x0 0x0 0xffffdb800001ad00 0001 00000000 0x0 0x0 0xffffdb800001ad80 0001 00000000 0x0 0x0 0xffffdb800001ae00 0001 00000000 0x0 0x0 0xffffdb800001ae80 0001 00000000 0x0 0x0 0xffffdb800001af00 0001 00000000 0x0 0x0 0xffffdb800001af80 0001 00000000 0x0 0x0 0xffffdb800001b000 0001 00000000 0x0 0x0 0xffffdb800001b080 0001 00000000 0x0 0x0 0xffffdb800001b100 0001 00000000 0x0 0x0 0xffffdb800001b180 0001 00000000 0x0 0x0 0xffffdb800001b200 0001 00000000 0x0 0x0 0xffffdb800001b280 0001 00000000 0x0 0x0 0xffffdb800001b300 0001 00000000 0x0 0x0 0xffffdb800001b380 0001 00000000 0x0 0x0 0xffffdb800001b400 0001 00000000 0x0 0x0 0xffffdb800001b480 0001 00000000 0x0 0x0 0xffffdb800001b500 0001 00000000 0x0 0x0 0xffffdb800001b580 0001 00000000 0x0 0x0 0xffffdb800001b600 0001 00000000 0x0 0x0 0xffffdb800001b680 0001 00000000 0x0 0x0 0xffffdb800001b700 0001 00000000 0x0 0x0 0xffffdb800001b780 0001 00000000 0x0 0x0 0xffffdb800001b800 0001 00000000 0x0 0x0 0xffffdb800001b880 0001 00000000 0x0 0x0 0xffffdb800001b900 0001 00000000 0x0 0x0 0xffffdb800001b980 0001 00000000 0x0 0x0 0xffffdb800001ba00 0001 00000000 0x0 0x0 0xffffdb800001ba80 0001 00000000 0x0 0x0 0xffffdb800001bb00 0001 00000000 0x0 0x0 0xffffdb800001bb80 0001 00000000 0x0 0x0 0xffffdb800001bc00 0001 00000000 0x0 0x0 0xffffdb800001bc80 0001 00000000 0x0 0x0 0xffffdb800001bd00 0001 00000000 0x0 0x0 0xffffdb800001bd80 0001 00000000 0x0 0x0 0xffffdb800001be00 0001 00000000 0x0 0x0 0xffffdb800001be80 0001 00000000 0x0 0x0 0xffffdb800001bf00 0001 00000000 0x0 0x0 0xffffdb800001bf80 0001 00000000 0x0 0x0 0xffffdb800001c000 0001 00000000 0x0 0x0 0xffffdb800001c080 0001 00000000 0x0 0x0 0xffffdb800001c100 0001 00000000 0x0 0x0 0xffffdb800001c180 0001 00000000 0x0 0x0 0xffffdb800001c200 0001 00000000 0x0 0x0 0xffffdb800001c280 0001 00000000 0x0 0x0 0xffffdb800001c300 0001 00000000 0x0 0x0 0xffffdb800001c380 0001 00000000 0x0 0x0 0xffffdb800001c400 0001 00000000 0x0 0x0 0xffffdb800001c480 0001 00000000 0x0 0x0 0xffffdb800001c500 0001 00000000 0x0 0x0 0xffffdb800001c580 0001 00000000 0x0 0x0 0xffffdb800001c600 0001 00000000 0x0 0x0 0xffffdb800001c680 0001 00000000 0x0 0x0 0xffffdb800001c700 0001 00000000 0x0 0x0 0xffffdb800001c780 0001 00000000 0x0 0x0 0xffffdb800001c800 0001 00000000 0x0 0x0 0xffffdb800001c880 0001 00000000 0x0 0x0 0xffffdb800001c900 0001 00000000 0x0 0x0 0xffffdb800001c980 0001 00000000 0x0 0x0 0xffffdb800001ca00 0001 00000000 0x0 0x0 0xffffdb800001ca80 0001 00000000 0x0 0x0 0xffffdb800001cb00 0001 00000000 0x0 0x0 0xffffdb800001cb80 0001 00000000 0x0 0x0 0xffffdb800001cc00 0001 00000000 0x0 0x0 0xffffdb800001cc80 0001 00000000 0x0 0x0 0xffffdb800001cd00 0001 00000000 0x0 0x0 0xffffdb800001cd80 0001 00000000 0x0 0x0 0xffffdb800001ce00 0001 00000000 0x0 0x0 0xffffdb800001ce80 0001 00000000 0x0 0x0 0xffffdb800001cf00 0001 00000000 0x0 0x0 0xffffdb800001cf80 0001 00000000 0x0 0x0 0xffffdb800001d000 0001 00000000 0x0 0x0 0xffffdb800001d080 0001 00000000 0x0 0x0 0xffffdb800001d100 0001 00000000 0x0 0x0 0xffffdb800001d180 0001 00000000 0x0 0x0 0xffffdb800001d200 0001 00000000 0x0 0x0 0xffffdb800001d280 0001 00000000 0x0 0x0 0xffffdb800001d300 0001 00000000 0x0 0x0 0xffffdb800001d380 0001 00000000 0x0 0x0 0xffffdb800001d400 0001 00000000 0x0 0x0 0xffffdb800001d480 0001 00000000 0x0 0x0 0xffffdb800001d500 0001 00000000 0x0 0x0 0xffffdb800001d580 0001 00000000 0x0 0x0 0xffffdb800001d600 0001 00000000 0x0 0x0 0xffffdb800001d680 0001 00000000 0x0 0x0 0xffffdb800001d700 0001 00000000 0x0 0x0 0xffffdb800001d780 0001 00000000 0x0 0x0 0xffffdb800001d800 0001 00000000 0x0 0x0 0xffffdb800001d880 0001 00000000 0x0 0x0 0xffffdb800001d900 0001 00000000 0x0 0x0 0xffffdb800001d980 0001 00000000 0x0 0x0 0xffffdb800001da00 0001 00000000 0x0 0x0 0xffffdb800001da80 0001 00000000 0x0 0x0 0xffffdb800001db00 0001 00000000 0x0 0x0 0xffffdb800001db80 0001 00000000 0x0 0x0 0xffffdb800001dc00 0001 00000000 0x0 0x0 0xffffdb800001dc80 0001 00000000 0x0 0x0 0xffffdb800001dd00 0001 00000000 0x0 0x0 0xffffdb800001dd80 0001 00000000 0x0 0x0 0xffffdb800001de00 0001 00000000 0x0 0x0 0xffffdb800001de80 0001 00000000 0x0 0x0 0xffffdb800001df00 0001 00000000 0x0 0x0 0xffffdb800001df80 0001 00000000 0x0 0x0 0xffffdb800001e000 0001 00000000 0x0 0x0 0xffffdb800001e080 0001 00000000 0x0 0x0 0xffffdb800001e100 0001 00000000 0x0 0x0 0xffffdb800001e180 0001 00000000 0x0 0x0 0xffffdb800001e200 0001 00000000 0x0 0x0 0xffffdb800001e280 0001 00000000 0x0 0x0 0xffffdb800001e300 0001 00000000 0x0 0x0 0xffffdb800001e380 0001 00000000 0x0 0x0 0xffffdb800001e400 0001 00000000 0x0 0x0 0xffffdb800001e480 0001 00000000 0x0 0x0 0xffffdb800001e500 0001 00000000 0x0 0x0 0xffffdb800001e580 0001 00000000 0x0 0x0 0xffffdb800001e600 0001 00000000 0x0 0x0 0xffffdb800001e680 0001 00000000 0x0 0x0 0xffffdb800001e700 0001 00000000 0x0 0x0 0xffffdb800001e780 0001 00000000 0x0 0x0 0xffffdb800001e800 0001 00000000 0x0 0x0 0xffffdb800001e880 0001 00000000 0x0 0x0 0xffffdb800001e900 0001 00000000 0x0 0x0 0xffffdb800001e980 0001 00000000 0x0 0x0 0xffffdb800001ea00 0001 00000000 0x0 0x0 0xffffdb800001ea80 0001 00000000 0x0 0x0 0xffffdb800001eb00 0001 00000000 0x0 0x0 0xffffdb800001eb80 0001 00000000 0x0 0x0 0xffffdb800001ec00 0001 00000000 0x0 0x0 0xffffdb800001ec80 0001 00000000 0x0 0x0 0xffffdb800001ed00 0001 00000000 0x0 0x0 0xffffdb800001ed80 0001 00000000 0x0 0x0 0xffffdb800001ee00 0001 00000000 0x0 0x0 0xffffdb800001ee80 0001 00000000 0x0 0x0 0xffffdb800001ef00 0001 00000000 0x0 0x0 0xffffdb800001ef80 0001 00000000 0x0 0x0 0xffffdb800001f000 0001 00000000 0x0 0x0 0xffffdb800001f080 0001 00000000 0x0 0x0 0xffffdb800001f100 0001 00000000 0x0 0x0 0xffffdb800001f180 0001 00000000 0x0 0x0 0xffffdb800001f200 0001 00000000 0x0 0x0 0xffffdb800001f280 0001 00000000 0x0 0x0 0xffffdb800001f300 0001 00000000 0x0 0x0 0xffffdb800001f380 0001 00000000 0x0 0x0 0xffffdb800001f400 0001 00000000 0x0 0x0 0xffffdb800001f480 0001 00000000 0x0 0x0 0xffffdb800001f500 0001 00000000 0x0 0x0 0xffffdb800001f580 0001 00000000 0x0 0x0 0xffffdb800001f600 0001 00000000 0x0 0x0 0xffffdb800001f680 0001 00000000 0x0 0x0 0xffffdb800001f700 0001 00000000 0x0 0x0 0xffffdb800001f780 0001 00000000 0x0 0x0 0xffffdb800001f800 0001 00000000 0x0 0x0 0xffffdb800001f880 0001 00000000 0x0 0x0 0xffffdb800001f900 0001 00000000 0x0 0x0 0xffffdb800001f980 0001 00000000 0x0 0x0 0xffffdb800001fa00 0001 00000000 0x0 0x0 0xffffdb800001fa80 0001 00000000 0x0 0x0 0xffffdb800001fb00 0001 00000000 0x0 0x0 0xffffdb800001fb80 0001 00000000 0x0 0x0 0xffffdb800001fc00 0001 00000000 0x0 0x0 0xffffdb800001fc80 0001 00000000 0x0 0x0 0xffffdb800001fd00 0001 00000000 0x0 0x0 0xffffdb800001fd80 0001 00000000 0x0 0x0 0xffffdb800001fe00 0001 00000000 0x0 0x0 0xffffdb800001fe80 0001 00000000 0x0 0x0 0xffffdb800001ff00 0001 00000000 0x0 0x0 0xffffdb800001ff80 0001 00000000 0x0 0x0 0xffffdb8000020000 0001 00000000 0x0 0x0 0xffffdb8000020080 0001 00000000 0x0 0x0 0xffffdb8000020100 0001 00000000 0x0 0x0 0xffffdb8000020180 0001 00000000 0x0 0x0 0xffffdb8000020200 0001 00000000 0x0 0x0 0xffffdb8000020280 0001 00000000 0x0 0x0 0xffffdb8000020300 0001 00000000 0x0 0x0 0xffffdb8000020380 0001 00000000 0x0 0x0 0xffffdb8000020400 0001 00000000 0x0 0x0 0xffffdb8000020480 0001 00000000 0x0 0x0 0xffffdb8000020500 0001 00000000 0x0 0x0 0xffffdb8000020580 0001 00000000 0x0 0x0 0xffffdb8000020600 0001 00000000 0x0 0x0 0xffffdb8000020680 0001 00000000 0x0 0x0 0xffffdb8000020700 0001 00000000 0x0 0x0 0xffffdb8000020780 0001 00000000 0x0 0x0 0xffffdb8000020800 0001 00000000 0x0 0x0 0xffffdb8000020880 0001 00000000 0x0 0x0 0xffffdb8000020900 0001 00000000 0x0 0x0 0xffffdb8000020980 0001 00000000 0x0 0x0 0xffffdb8000020a00 0001 00000000 0x0 0x0 0xffffdb8000020a80 0001 00000000 0x0 0x0 0xffffdb8000020b00 0001 00000000 0x0 0x0 0xffffdb8000020b80 0001 00000000 0x0 0x0 0xffffdb8000020c00 0001 00000000 0x0 0x0 0xffffdb8000020c80 0001 00000000 0x0 0x0 0xffffdb8000020d00 0001 00000000 0x0 0x0 0xffffdb8000020d80 0001 00000000 0x0 0x0 0xffffdb8000020e00 0001 00000000 0x0 0x0 0xffffdb8000020e80 0001 00000000 0x0 0x0 0xffffdb8000020f00 0001 00000000 0x0 0x0 0xffffdb8000020f80 0001 00000000 0x0 0x0 0xffffdb8000021000 0001 00000000 0x0 0x0 0xffffdb8000021080 0001 00000000 0x0 0x0 0xffffdb8000021100 0001 00000000 0x0 0x0 0xffffdb8000021180 0001 00000000 0x0 0x0 0xffffdb8000021200 0001 00000000 0x0 0x0 0xffffdb8000021280 0001 00000000 0x0 0x0 0xffffdb8000021300 0001 00000000 0x0 0x0 0xffffdb8000021380 0001 00000000 0x0 0x0 0xffffdb8000021400 0001 00000000 0x0 0x0 0xffffdb8000021480 0001 00000000 0x0 0x0 0xffffdb8000021500 0001 00000000 0x0 0x0 0xffffdb8000021580 0001 00000000 0x0 0x0 0xffffdb8000021600 0001 00000000 0x0 0x0 0xffffdb8000021680 0001 00000000 0x0 0x0 0xffffdb8000021700 0001 00000000 0x0 0x0 0xffffdb8000021780 0001 00000000 0x0 0x0 0xffffdb8000021800 0001 00000000 0x0 0x0 0xffffdb8000021880 0001 00000000 0x0 0x0 0xffffdb8000021900 0001 00000000 0x0 0x0 0xffffdb8000021980 0001 00000000 0x0 0x0 0xffffdb8000021a00 0001 00000000 0x0 0x0 0xffffdb8000021a80 0001 00000000 0x0 0x0 0xffffdb8000021b00 0001 00000000 0x0 0x0 0xffffdb8000021b80 0001 00000000 0x0 0x0 0xffffdb8000021c00 0001 00000000 0x0 0x0 0xffffdb8000021c80 0001 00000000 0x0 0x0 0xffffdb8000021d00 0001 00000000 0x0 0x0 0xffffdb8000021d80 0001 00000000 0x0 0x0 0xffffdb8000021e00 0001 00000000 0x0 0x0 0xffffdb8000021e80 0001 00000000 0x0 0x0 0xffffdb8000021f00 0001 00000000 0x0 0x0 0xffffdb8000021f80 0001 00000000 0x0 0x0 0xffffdb8000022000 0001 00000000 0x0 0x0 0xffffdb8000022080 0001 00000000 0x0 0x0 0xffffdb8000022100 0001 00000000 0x0 0x0 0xffffdb8000022180 0001 00000000 0x0 0x0 0xffffdb8000022200 0001 00000000 0x0 0x0 0xffffdb8000022280 0001 00000000 0x0 0x0 0xffffdb8000022300 0001 00000000 0x0 0x0 0xffffdb8000022380 0001 00000000 0x0 0x0 0xffffdb8000022400 0001 00000000 0x0 0x0 0xffffdb8000022480 0001 00000000 0x0 0x0 0xffffdb8000022500 0001 00000000 0x0 0x0 0xffffdb8000022580 0001 00000000 0x0 0x0 0xffffdb8000022600 0001 00000000 0x0 0x0 0xffffdb8000022680 0001 00000000 0x0 0x0 0xffffdb8000022700 0001 00000000 0x0 0x0 0xffffdb8000022780 0001 00000000 0x0 0x0 0xffffdb8000022800 0001 00000000 0x0 0x0 0xffffdb8000022880 0001 00000000 0x0 0x0 0xffffdb8000022900 0001 00000000 0x0 0x0 0xffffdb8000022980 0001 00000000 0x0 0x0 0xffffdb8000022a00 0001 00000000 0x0 0x0 0xffffdb8000022a80 0001 00000000 0x0 0x0 0xffffdb8000022b00 0001 00000000 0x0 0x0 0xffffdb8000022b80 0001 00000000 0x0 0x0 0xffffdb8000022c00 0001 00000000 0x0 0x0 0xffffdb8000022c80 0001 00000000 0x0 0x0 0xffffdb8000022d00 0001 00000000 0x0 0x0 0xffffdb8000022d80 0001 00000000 0x0 0x0 0xffffdb8000022e00 0001 00000000 0x0 0x0 0xffffdb8000022e80 0001 00000000 0x0 0x0 0xffffdb8000022f00 0001 00000000 0x0 0x0 0xffffdb8000022f80 0001 00000000 0x0 0x0 0xffffdb8000023000 0001 00000000 0x0 0x0 0xffffdb8000023080 0001 00000000 0x0 0x0 0xffffdb8000023100 0001 00000000 0x0 0x0 0xffffdb8000023180 0001 00000000 0x0 0x0 0xffffdb8000023200 0001 00000000 0x0 0x0 0xffffdb8000023280 0001 00000000 0x0 0x0 0xffffdb8000023300 0001 00000000 0x0 0x0 0xffffdb8000023380 0001 00000000 0x0 0x0 0xffffdb8000023400 0001 00000000 0x0 0x0 0xffffdb8000023480 0001 00000000 0x0 0x0 0xffffdb8000023500 0001 00000000 0x0 0x0 0xffffdb8000023580 0001 00000000 0x0 0x0 0xffffdb8000023600 0001 00000000 0x0 0x0 0xffffdb8000023680 0001 00000000 0x0 0x0 0xffffdb8000023700 0001 00000000 0x0 0x0 0xffffdb8000023780 0001 00000000 0x0 0x0 0xffffdb8000023800 0001 00000000 0x0 0x0 0xffffdb8000023880 0001 00000000 0x0 0x0 0xffffdb8000023900 0001 00000000 0x0 0x0 0xffffdb8000023980 0001 00000000 0x0 0x0 0xffffdb8000023a00 0001 00000000 0x0 0x0 0xffffdb8000023a80 0001 00000000 0x0 0x0 0xffffdb8000023b00 0001 00000000 0x0 0x0 0xffffdb8000023b80 0001 00000000 0x0 0x0 0xffffdb8000023c00 0001 00000000 0x0 0x0 0xffffdb8000023c80 0001 00000000 0x0 0x0 0xffffdb8000023d00 0001 00000000 0x0 0x0 0xffffdb8000023d80 0001 00000000 0x0 0x0 0xffffdb8000023e00 0001 00000000 0x0 0x0 0xffffdb8000023e80 0001 00000000 0x0 0x0 0xffffdb8000023f00 0001 00000000 0x0 0x0 0xffffdb8000023f80 0001 00000000 0x0 0x0 0xffffdb8000024000 0001 00000000 0x0 0x0 0xffffdb8000024080 0001 00000000 0x0 0x0 0xffffdb8000024100 0001 00000000 0x0 0x0 0xffffdb8000024180 0001 00000000 0x0 0x0 0xffffdb8000024200 0001 00000000 0x0 0x0 0xffffdb8000024280 0001 00000000 0x0 0x0 0xffffdb8000024300 0001 00000000 0x0 0x0 0xffffdb8000024380 0001 00000000 0x0 0x0 0xffffdb8000024400 0001 00000000 0x0 0x0 0xffffdb8000024480 0001 00000000 0x0 0x0 0xffffdb8000024500 0001 00000000 0x0 0x0 0xffffdb8000024580 0001 00000000 0x0 0x0 0xffffdb8000024600 0001 00000000 0x0 0x0 0xffffdb8000024680 0001 00000000 0x0 0x0 0xffffdb8000024700 0001 00000000 0x0 0x0 0xffffdb8000024780 0001 00000000 0x0 0x0 0xffffdb8000024800 0001 00000000 0x0 0x0 0xffffdb8000024880 0001 00000000 0x0 0x0 0xffffdb8000024900 0001 00000000 0x0 0x0 0xffffdb8000024980 0001 00000000 0x0 0x0 0xffffdb8000024a00 0001 00000000 0x0 0x0 0xffffdb8000024a80 0001 00000000 0x0 0x0 0xffffdb8000024b00 0001 00000000 0x0 0x0 0xffffdb8000024b80 0001 00000000 0x0 0x0 0xffffdb8000024c00 0001 00000000 0x0 0x0 0xffffdb8000024c80 0001 00000000 0x0 0x0 0xffffdb8000024d00 0001 00000000 0x0 0x0 0xffffdb8000024d80 0001 00000000 0x0 0x0 0xffffdb8000024e00 0001 00000000 0x0 0x0 0xffffdb8000024e80 0001 00000000 0x0 0x0 0xffffdb8000024f00 0001 00000000 0x0 0x0 0xffffdb8000024f80 0001 00000000 0x0 0x0 0xffffdb8000025000 0001 00000000 0x0 0x0 0xffffdb8000025080 0001 00000000 0x0 0x0 0xffffdb8000025100 0001 00000000 0x0 0x0 0xffffdb8000025180 0001 00000000 0x0 0x0 0xffffdb8000025200 0001 00000000 0x0 0x0 0xffffdb8000025280 0001 00000000 0x0 0x0 0xffffdb8000025300 0001 00000000 0x0 0x0 0xffffdb8000025380 0001 00000000 0x0 0x0 0xffffdb8000025400 0001 00000000 0x0 0x0 0xffffdb8000025480 0001 00000000 0x0 0x0 0xffffdb8000025500 0001 00000000 0x0 0x0 0xffffdb8000025580 0001 00000000 0x0 0x0 0xffffdb8000025600 0001 00000000 0x0 0x0 0xffffdb8000025680 0001 00000000 0x0 0x0 0xffffdb8000025700 0001 00000000 0x0 0x0 0xffffdb8000025780 0001 00000000 0x0 0x0 0xffffdb8000025800 0001 00000000 0x0 0x0 0xffffdb8000025880 0001 00000000 0x0 0x0 0xffffdb8000025900 0001 00000000 0x0 0x0 0xffffdb8000025980 0001 00000000 0x0 0x0 0xffffdb8000025a00 0001 00000000 0x0 0x0 0xffffdb8000025a80 0001 00000000 0x0 0x0 0xffffdb8000025b00 0001 00000000 0x0 0x0 0xffffdb8000025b80 0001 00000000 0x0 0x0 0xffffdb8000025c00 0001 00000000 0x0 0x0 0xffffdb8000025c80 0001 00000000 0x0 0x0 0xffffdb8000025d00 0001 00000000 0x0 0x0 0xffffdb8000025d80 0001 00000000 0x0 0x0 0xffffdb8000025e00 0001 00000000 0x0 0x0 0xffffdb8000025e80 0001 00000000 0x0 0x0 0xffffdb8000025f00 0001 00000000 0x0 0x0 0xffffdb8000025f80 0001 00000000 0x0 0x0 0xffffdb8000026000 0001 00000000 0x0 0x0 0xffffdb8000026080 0001 00000000 0x0 0x0 0xffffdb8000026100 0001 00000000 0x0 0x0 0xffffdb8000026180 0001 00000000 0x0 0x0 0xffffdb8000026200 0001 00000000 0x0 0x0 0xffffdb8000026280 0001 00000000 0x0 0x0 0xffffdb8000026300 0001 00000000 0x0 0x0 0xffffdb8000026380 0001 00000000 0x0 0x0 0xffffdb8000026400 0001 00000000 0x0 0x0 0xffffdb8000026480 0001 00000000 0x0 0x0 0xffffdb8000026500 0001 00000000 0x0 0x0 0xffffdb8000026580 0001 00000000 0x0 0x0 0xffffdb8000026600 0001 00000000 0x0 0x0 0xffffdb8000026680 0001 00000000 0x0 0x0 0xffffdb8000026700 0001 00000000 0x0 0x0 0xffffdb8000026780 0001 00000000 0x0 0x0 0xffffdb8000026800 0001 00000000 0x0 0x0 0xffffdb8000026880 0001 00000000 0x0 0x0 0xffffdb8000026900 0001 00000000 0x0 0x0 0xffffdb8000026980 0001 00000000 0x0 0x0 0xffffdb8000026a00 0001 00000000 0x0 0x0 0xffffdb8000026a80 0001 00000000 0x0 0x0 0xffffdb8000026b00 0001 00000000 0x0 0x0 0xffffdb8000026b80 0001 00000000 0x0 0x0 0xffffdb8000026c00 0001 00000000 0x0 0x0 0xffffdb8000026c80 0001 00000000 0x0 0x0 0xffffdb8000026d00 0001 00000000 0x0 0x0 0xffffdb8000026d80 0001 00000000 0x0 0x0 0xffffdb8000026e00 0001 00000000 0x0 0x0 0xffffdb8000026e80 0001 00000000 0x0 0x0 0xffffdb8000026f00 0001 00000000 0x0 0x0 0xffffdb8000026f80 0001 00000000 0x0 0x0 0xffffdb8000027000 0001 00000000 0x0 0x0 0xffffdb8000027080 0001 00000000 0x0 0x0 0xffffdb8000027100 0001 00000000 0x0 0x0 0xffffdb8000027180 0001 00000000 0x0 0x0 0xffffdb8000027200 0001 00000000 0x0 0x0 0xffffdb8000027280 0001 00000000 0x0 0x0 0xffffdb8000027300 0001 00000000 0x0 0x0 0xffffdb8000027380 0001 00000000 0x0 0x0 0xffffdb8000027400 0001 00000000 0x0 0x0 0xffffdb8000027480 0001 00000000 0x0 0x0 0xffffdb8000027500 0001 00000000 0x0 0x0 0xffffdb8000027580 0001 00000000 0x0 0x0 0xffffdb8000027600 0001 00000000 0x0 0x0 0xffffdb8000027680 0001 00000000 0x0 0x0 0xffffdb8000027700 0001 00000000 0x0 0x0 0xffffdb8000027780 0001 00000000 0x0 0x0 0xffffdb8000027800 0001 00000000 0x0 0x0 0xffffdb8000027880 0001 00000000 0x0 0x0 0xffffdb8000027900 0001 00000000 0x0 0x0 0xffffdb8000027980 0001 00000000 0x0 0x0 0xffffdb8000027a00 0001 00000000 0x0 0x0 0xffffdb8000027a80 0001 00000000 0x0 0x0 0xffffdb8000027b00 0001 00000000 0x0 0x0 0xffffdb8000027b80 0001 00000000 0x0 0x0 0xffffdb8000027c00 0001 00000000 0x0 0x0 0xffffdb8000027c80 0001 00000000 0x0 0x0 0xffffdb8000027d00 0001 00000000 0x0 0x0 0xffffdb8000027d80 0001 00000000 0x0 0x0 0xffffdb8000027e00 0001 00000000 0x0 0x0 0xffffdb8000027e80 0001 00000000 0x0 0x0 0xffffdb8000027f00 0001 00000000 0x0 0x0 0xffffdb8000027f80 0001 00000000 0x0 0x0 0xffffdb8000028000 0001 00000000 0x0 0x0 0xffffdb8000028080 0001 00000000 0x0 0x0 0xffffdb8000028100 0001 00000000 0x0 0x0 0xffffdb8000028180 0001 00000000 0x0 0x0 0xffffdb8000028200 0001 00000000 0x0 0x0 0xffffdb8000028280 0001 00000000 0x0 0x0 0xffffdb8000028300 0001 00000000 0x0 0x0 0xffffdb8000028380 0001 00000000 0x0 0x0 0xffffdb8000028400 0001 00000000 0x0 0x0 0xffffdb8000028480 0001 00000000 0x0 0x0 0xffffdb8000028500 0001 00000000 0x0 0x0 0xffffdb8000028580 0001 00000000 0x0 0x0 0xffffdb8000028600 0001 00000000 0x0 0x0 0xffffdb8000028680 0001 00000000 0x0 0x0 0xffffdb8000028700 0001 00000000 0x0 0x0 0xffffdb8000028780 0001 00000000 0x0 0x0 0xffffdb8000028800 0001 00000000 0x0 0x0 0xffffdb8000028880 0001 00000000 0x0 0x0 0xffffdb8000028900 0001 00000000 0x0 0x0 0xffffdb8000028980 0001 00000000 0x0 0x0 0xffffdb8000028a00 0001 00000000 0x0 0x0 0xffffdb8000028a80 0001 00000000 0x0 0x0 0xffffdb8000028b00 0001 00000000 0x0 0x0 0xffffdb8000028b80 0001 00000000 0x0 0x0 0xffffdb8000028c00 0001 00000000 0x0 0x0 0xffffdb8000028c80 0001 00000000 0x0 0x0 0xffffdb8000028d00 0001 00000000 0x0 0x0 0xffffdb8000028d80 0001 00000000 0x0 0x0 0xffffdb8000028e00 0001 00000000 0x0 0x0 0xffffdb8000028e80 0001 00000000 0x0 0x0 0xffffdb8000028f00 0001 00000000 0x0 0x0 0xffffdb8000028f80 0001 00000000 0x0 0x0 0xffffdb8000029000 0001 00000000 0x0 0x0 0xffffdb8000029080 0001 00000000 0x0 0x0 0xffffdb8000029100 0001 00000000 0x0 0x0 0xffffdb8000029180 0001 00000000 0x0 0x0 0xffffdb8000029200 0001 00000000 0x0 0x0 0xffffdb8000029280 0001 00000000 0x0 0x0 0xffffdb8000029300 0001 00000000 0x0 0x0 0xffffdb8000029380 0001 00000000 0x0 0x0 0xffffdb8000029400 0001 00000000 0x0 0x0 0xffffdb8000029480 0001 00000000 0x0 0x0 0xffffdb8000029500 0001 00000000 0x0 0x0 0xffffdb8000029580 0001 00000000 0x0 0x0 0xffffdb8000029600 0001 00000000 0x0 0x0 0xffffdb8000029680 0001 00000000 0x0 0x0 0xffffdb8000029700 0001 00000000 0x0 0x0 0xffffdb8000029780 0001 00000000 0x0 0x0 0xffffdb8000029800 0001 00000000 0x0 0x0 0xffffdb8000029880 0001 00000000 0x0 0x0 0xffffdb8000029900 0001 00000000 0x0 0x0 0xffffdb8000029980 0001 00000000 0x0 0x0 0xffffdb8000029a00 0001 00000000 0x0 0x0 0xffffdb8000029a80 0001 00000000 0x0 0x0 0xffffdb8000029b00 0001 00000000 0x0 0x0 0xffffdb8000029b80 0001 00000000 0x0 0x0 0xffffdb8000029c00 0001 00000000 0x0 0x0 0xffffdb8000029c80 0001 00000000 0x0 0x0 0xffffdb8000029d00 0001 00000000 0x0 0x0 0xffffdb8000029d80 0001 00000000 0x0 0x0 0xffffdb8000029e00 0001 00000000 0x0 0x0 0xffffdb8000029e80 0001 00000000 0x0 0x0 0xffffdb8000029f00 0001 00000000 0x0 0x0 0xffffdb8000029f80 0001 00000000 0x0 0x0 0xffffdb800002a000 0001 00000000 0x0 0x0 0xffffdb800002a080 0001 00000000 0x0 0x0 0xffffdb800002a100 0001 00000000 0x0 0x0 0xffffdb800002a180 0001 00000000 0x0 0x0 0xffffdb800002a200 0001 00000000 0x0 0x0 0xffffdb800002a280 0001 00000000 0x0 0x0 0xffffdb800002a300 0001 00000000 0x0 0x0 0xffffdb800002a380 0001 00000000 0x0 0x0 0xffffdb800002a400 0001 00000000 0x0 0x0 0xffffdb800002a480 0001 00000000 0x0 0x0 0xffffdb800002a500 0001 00000000 0x0 0x0 0xffffdb800002a580 0001 00000000 0x0 0x0 0xffffdb800002a600 0001 00000000 0x0 0x0 0xffffdb800002a680 0001 00000000 0x0 0x0 0xffffdb800002a700 0001 00000000 0x0 0x0 0xffffdb800002a780 0001 00000000 0x0 0x0 0xffffdb800002a800 0001 00000000 0x0 0x0 0xffffdb800002a880 0001 00000000 0x0 0x0 0xffffdb800002a900 0001 00000000 0x0 0x0 0xffffdb800002a980 0001 00000000 0x0 0x0 0xffffdb800002aa00 0001 00000000 0x0 0x0 0xffffdb800002aa80 0001 00000000 0x0 0x0 0xffffdb800002ab00 0001 00000000 0x0 0x0 0xffffdb800002ab80 0001 00000000 0x0 0x0 0xffffdb800002ac00 0001 00000000 0x0 0x0 0xffffdb800002ac80 0001 00000000 0x0 0x0 0xffffdb800002ad00 0001 00000000 0x0 0x0 0xffffdb800002ad80 0001 00000000 0x0 0x0 0xffffdb800002ae00 0001 00000000 0x0 0x0 0xffffdb800002ae80 0001 00000000 0x0 0x0 0xffffdb800002af00 0001 00000000 0x0 0x0 0xffffdb800002af80 0001 00000000 0x0 0x0 0xffffdb800002b000 0001 00000000 0x0 0x0 0xffffdb800002b080 0001 00000000 0x0 0x0 0xffffdb800002b100 0001 00000000 0x0 0x0 0xffffdb800002b180 0001 00000000 0x0 0x0 0xffffdb800002b200 0001 00000000 0x0 0x0 0xffffdb800002b280 0001 00000000 0x0 0x0 0xffffdb800002b300 0001 00000000 0x0 0x0 0xffffdb800002b380 0001 00000000 0x0 0x0 0xffffdb800002b400 0001 00000000 0x0 0x0 0xffffdb800002b480 0001 00000000 0x0 0x0 0xffffdb800002b500 0001 00000000 0x0 0x0 0xffffdb800002b580 0001 00000000 0x0 0x0 0xffffdb800002b600 0001 00000000 0x0 0x0 0xffffdb800002b680 0001 00000000 0x0 0x0 0xffffdb800002b700 0001 00000000 0x0 0x0 0xffffdb800002b780 0001 00000000 0x0 0x0 0xffffdb800002b800 0001 00000000 0x0 0x0 0xffffdb800002b880 0001 00000000 0x0 0x0 0xffffdb800002b900 0001 00000000 0x0 0x0 0xffffdb800002b980 0001 00000000 0x0 0x0 0xffffdb800002ba00 0001 00000000 0x0 0x0 0xffffdb800002ba80 0001 00000000 0x0 0x0 0xffffdb800002bb00 0001 00000000 0x0 0x0 0xffffdb800002bb80 0001 00000000 0x0 0x0 0xffffdb800002bc00 0001 00000000 0x0 0x0 0xffffdb800002bc80 0001 00000000 0x0 0x0 0xffffdb800002bd00 0001 00000000 0x0 0x0 0xffffdb800002bd80 0001 00000000 0x0 0x0 0xffffdb800002be00 0001 00000000 0x0 0x0 0xffffdb800002be80 0001 00000000 0x0 0x0 0xffffdb800002bf00 0001 00000000 0x0 0x0 0xffffdb800002bf80 0001 00000000 0x0 0x0 0xffffdb800002c000 0001 00000000 0x0 0x0 0xffffdb800002c080 0001 00000000 0x0 0x0 0xffffdb800002c100 0001 00000000 0x0 0x0 0xffffdb800002c180 0001 00000000 0x0 0x0 0xffffdb800002c200 0001 00000000 0x0 0x0 0xffffdb800002c280 0001 00000000 0x0 0x0 0xffffdb800002c300 0001 00000000 0x0 0x0 0xffffdb800002c380 0001 00000000 0x0 0x0 0xffffdb800002c400 0001 00000000 0x0 0x0 0xffffdb800002c480 0001 00000000 0x0 0x0 0xffffdb800002c500 0001 00000000 0x0 0x0 0xffffdb800002c580 0001 00000000 0x0 0x0 0xffffdb800002c600 0001 00000000 0x0 0x0 0xffffdb800002c680 0001 00000000 0x0 0x0 0xffffdb800002c700 0001 00000000 0x0 0x0 0xffffdb800002c780 0001 00000000 0x0 0x0 0xffffdb800002c800 0001 00000000 0x0 0x0 0xffffdb800002c880 0001 00000000 0x0 0x0 0xffffdb800002c900 0001 00000000 0x0 0x0 0xffffdb800002c980 0001 00000000 0x0 0x0 0xffffdb800002ca00 0001 00000000 0x0 0x0 0xffffdb800002ca80 0001 00000000 0x0 0x0 0xffffdb800002cb00 0001 00000000 0x0 0x0 0xffffdb800002cb80 0001 00000000 0x0 0x0 0xffffdb800002cc00 0001 00000000 0x0 0x0 0xffffdb800002cc80 0001 00000000 0x0 0x0 0xffffdb800002cd00 0001 00000000 0x0 0x0 0xffffdb800002cd80 0001 00000000 0x0 0x0 0xffffdb800002ce00 0001 00000000 0x0 0x0 0xffffdb800002ce80 0001 00000000 0x0 0x0 0xffffdb800002cf00 0001 00000000 0x0 0x0 0xffffdb800002cf80 0001 00000000 0x0 0x0 0xffffdb800002d000 0001 00000000 0x0 0x0 0xffffdb800002d080 0001 00000000 0x0 0x0 0xffffdb800002d100 0001 00000000 0x0 0x0 0xffffdb800002d180 0001 00000000 0x0 0x0 0xffffdb800002d200 0001 00000000 0x0 0x0 0xffffdb800002d280 0001 00000000 0x0 0x0 0xffffdb800002d300 0001 00000000 0x0 0x0 0xffffdb800002d380 0001 00000000 0x0 0x0 0xffffdb800002d400 0001 00000000 0x0 0x0 0xffffdb800002d480 0001 00000000 0x0 0x0 0xffffdb800002d500 0001 00000000 0x0 0x0 0xffffdb800002d580 0001 00000000 0x0 0x0 0xffffdb800002d600 0001 00000000 0x0 0x0 0xffffdb800002d680 0001 00000000 0x0 0x0 0xffffdb800002d700 0001 00000000 0x0 0x0 0xffffdb800002d780 0001 00000000 0x0 0x0 0xffffdb800002d800 0001 00000000 0x0 0x0 0xffffdb800002d880 0001 00000000 0x0 0x0 0xffffdb800002d900 0001 00000000 0x0 0x0 0xffffdb800002d980 0001 00000000 0x0 0x0 0xffffdb800002da00 0001 00000000 0x0 0x0 0xffffdb800002da80 0001 00000000 0x0 0x0 0xffffdb800002db00 0001 00000000 0x0 0x0 0xffffdb800002db80 0001 00000000 0x0 0x0 0xffffdb800002dc00 0001 00000000 0x0 0x0 0xffffdb800002dc80 0001 00000000 0x0 0x0 0xffffdb800002dd00 0001 00000000 0x0 0x0 0xffffdb800002dd80 0001 00000000 0x0 0x0 0xffffdb800002de00 0001 00000000 0x0 0x0 0xffffdb800002de80 0001 00000000 0x0 0x0 0xffffdb800002df00 0001 00000000 0x0 0x0 0xffffdb800002df80 0001 00000000 0x0 0x0 0xffffdb800002e000 0001 00000000 0x0 0x0 0xffffdb800002e080 0001 00000000 0x0 0x0 0xffffdb800002e100 0001 00000000 0x0 0x0 0xffffdb800002e180 0001 00000000 0x0 0x0 0xffffdb800002e200 0001 00000000 0x0 0x0 0xffffdb800002e280 0001 00000000 0x0 0x0 0xffffdb800002e300 0001 00000000 0x0 0x0 0xffffdb800002e380 0001 00000000 0x0 0x0 0xffffdb800002e400 0001 00000000 0x0 0x0 0xffffdb800002e480 0001 00000000 0x0 0x0 0xffffdb800002e500 0001 00000000 0x0 0x0 0xffffdb800002e580 0001 00000000 0x0 0x0 0xffffdb800002e600 0001 00000000 0x0 0x0 0xffffdb800002e680 0001 00000000 0x0 0x0 0xffffdb800002e700 0001 00000000 0x0 0x0 0xffffdb800002e780 0001 00000000 0x0 0x0 0xffffdb800002e800 0001 00000000 0x0 0x0 0xffffdb800002e880 0001 00000000 0x0 0x0 0xffffdb800002e900 0001 00000000 0x0 0x0 0xffffdb800002e980 0001 00000000 0x0 0x0 0xffffdb800002ea00 0001 00000000 0x0 0x0 0xffffdb800002ea80 0001 00000000 0x0 0x0 0xffffdb800002eb00 0001 00000000 0x0 0x0 0xffffdb800002eb80 0001 00000000 0x0 0x0 0xffffdb800002ec00 0001 00000000 0x0 0x0 0xffffdb800002ec80 0001 00000000 0x0 0x0 0xffffdb800002ed00 0001 00000000 0x0 0x0 0xffffdb800002ed80 0001 00000000 0x0 0x0 0xffffdb800002ee00 0001 00000000 0x0 0x0 0xffffdb800002ee80 0001 00000000 0x0 0x0 0xffffdb800002ef00 0001 00000000 0x0 0x0 0xffffdb800002ef80 0001 00000000 0x0 0x0 0xffffdb800002f000 0001 00000000 0x0 0x0 0xffffdb800002f080 0001 00000000 0x0 0x0 0xffffdb800002f100 0001 00000000 0x0 0x0 0xffffdb800002f180 0001 00000000 0x0 0x0 0xffffdb800002f200 0001 00000000 0x0 0x0 0xffffdb800002f280 0001 00000000 0x0 0x0 0xffffdb800002f300 0001 00000000 0x0 0x0 0xffffdb800002f380 0001 00000000 0x0 0x0 0xffffdb800002f400 0001 00000000 0x0 0x0 0xffffdb800002f480 0001 00000000 0x0 0x0 0xffffdb800002f500 0001 00000000 0x0 0x0 0xffffdb800002f580 0001 00000000 0x0 0x0 0xffffdb800002f600 0001 00000000 0x0 0x0 0xffffdb800002f680 0001 00000000 0x0 0x0 0xffffdb800002f700 0001 00000000 0x0 0x0 0xffffdb800002f780 0001 00000000 0x0 0x0 0xffffdb800002f800 0001 00000000 0x0 0x0 0xffffdb800002f880 0001 00000000 0x0 0x0 0xffffdb800002f900 0001 00000000 0x0 0x0 0xffffdb800002f980 0001 00000000 0x0 0x0 0xffffdb800002fa00 0001 00000000 0x0 0x0 0xffffdb800002fa80 0001 00000000 0x0 0x0 0xffffdb800002fb00 0001 00000000 0x0 0x0 0xffffdb800002fb80 0001 00000000 0x0 0x0 0xffffdb800002fc00 0001 00000000 0x0 0x0 0xffffdb800002fc80 0001 00000000 0x0 0x0 0xffffdb800002fd00 0001 00000000 0x0 0x0 0xffffdb800002fd80 0001 00000000 0x0 0x0 0xffffdb800002fe00 0001 00000000 0x0 0x0 0xffffdb800002fe80 0001 00000000 0x0 0x0 0xffffdb800002ff00 0001 00000000 0x0 0x0 0xffffdb800002ff80 0001 00000000 0x0 0x0 0xffffdb8000030000 0001 00000000 0x0 0x0 0xffffdb8000030080 0001 00000000 0x0 0x0 0xffffdb8000030100 0001 00000000 0x0 0x0 0xffffdb8000030180 0001 00000000 0x0 0x0 0xffffdb8000030200 0001 00000000 0x0 0x0 0xffffdb8000030280 0001 00000000 0x0 0x0 0xffffdb8000030300 0001 00000000 0x0 0x0 0xffffdb8000030380 0001 00000000 0x0 0x0 0xffffdb8000030400 0001 00000000 0x0 0x0 0xffffdb8000030480 0001 00000000 0x0 0x0 0xffffdb8000030500 0001 00000000 0x0 0x0 0xffffdb8000030580 0001 00000000 0x0 0x0 0xffffdb8000030600 0001 00000000 0x0 0x0 0xffffdb8000030680 0001 00000000 0x0 0x0 0xffffdb8000030700 0001 00000000 0x0 0x0 0xffffdb8000030780 0001 00000000 0x0 0x0 0xffffdb8000030800 0001 00000000 0x0 0x0 0xffffdb8000030880 0001 00000000 0x0 0x0 0xffffdb8000030900 0001 00000000 0x0 0x0 0xffffdb8000030980 0001 00000000 0x0 0x0 0xffffdb8000030a00 0001 00000000 0x0 0x0 0xffffdb8000030a80 0001 00000000 0x0 0x0 0xffffdb8000030b00 0001 00000000 0x0 0x0 0xffffdb8000030b80 0001 00000000 0x0 0x0 0xffffdb8000030c00 0001 00000000 0x0 0x0 0xffffdb8000030c80 0001 00000000 0x0 0x0 0xffffdb8000030d00 0001 00000000 0x0 0x0 0xffffdb8000030d80 0001 00000000 0x0 0x0 0xffffdb8000030e00 0001 00000000 0x0 0x0 0xffffdb8000030e80 0001 00000000 0x0 0x0 0xffffdb8000030f00 0001 00000000 0x0 0x0 0xffffdb8000030f80 0001 00000000 0x0 0x0 0xffffdb8000031000 0001 00000000 0x0 0x0 0xffffdb8000031080 0001 00000000 0x0 0x0 0xffffdb8000031100 0001 00000000 0x0 0x0 0xffffdb8000031180 0001 00000000 0x0 0x0 0xffffdb8000031200 0001 00000000 0x0 0x0 0xffffdb8000031280 0001 00000000 0x0 0x0 0xffffdb8000031300 0001 00000000 0x0 0x0 0xffffdb8000031380 0001 00000000 0x0 0x0 0xffffdb8000031400 0001 00000000 0x0 0x0 0xffffdb8000031480 0001 00000000 0x0 0x0 0xffffdb8000031500 0001 00000000 0x0 0x0 0xffffdb8000031580 0001 00000000 0x0 0x0 0xffffdb8000031600 0001 00000000 0x0 0x0 0xffffdb8000031680 0001 00000000 0x0 0x0 0xffffdb8000031700 0001 00000000 0x0 0x0 0xffffdb8000031780 0001 00000000 0x0 0x0 0xffffdb8000031800 0001 00000000 0x0 0x0 0xffffdb8000031880 0001 00000000 0x0 0x0 0xffffdb8000031900 0001 00000000 0x0 0x0 0xffffdb8000031980 0001 00000000 0x0 0x0 0xffffdb8000031a00 0001 00000000 0x0 0x0 0xffffdb8000031a80 0001 00000000 0x0 0x0 0xffffdb8000031b00 0001 00000000 0x0 0x0 0xffffdb8000031b80 0001 00000000 0x0 0x0 0xffffdb8000031c00 0001 00000000 0x0 0x0 0xffffdb8000031c80 0001 00000000 0x0 0x0 0xffffdb8000031d00 0001 00000000 0x0 0x0 0xffffdb8000031d80 0001 00000000 0x0 0x0 0xffffdb8000031e00 0001 00000000 0x0 0x0 0xffffdb8000031e80 0001 00000000 0x0 0x0 0xffffdb8000031f00 0001 00000000 0x0 0x0 0xffffdb8000031f80 0001 00000000 0x0 0x0 0xffffdb8000032000 0001 00000000 0x0 0x0 0xffffdb8000032080 0001 00000000 0x0 0x0 0xffffdb8000032100 0001 00000000 0x0 0x0 0xffffdb8000032180 0001 00000000 0x0 0x0 0xffffdb8000032200 0001 00000000 0x0 0x0 0xffffdb8000032280 0001 00000000 0x0 0x0 0xffffdb8000032300 0001 00000000 0x0 0x0 0xffffdb8000032380 0001 00000000 0x0 0x0 0xffffdb8000032400 0001 00000000 0x0 0x0 0xffffdb8000032480 0001 00000000 0x0 0x0 0xffffdb8000032500 0001 00000000 0x0 0x0 0xffffdb8000032580 0001 00000000 0x0 0x0 0xffffdb8000032600 0001 00000000 0x0 0x0 0xffffdb8000032680 0001 00000000 0x0 0x0 0xffffdb8000032700 0001 00000000 0x0 0x0 0xffffdb8000032780 0001 00000000 0x0 0x0 0xffffdb8000032800 0001 00000000 0x0 0x0 0xffffdb8000032880 0001 00000000 0x0 0x0 0xffffdb8000032900 0001 00000000 0x0 0x0 0xffffdb8000032980 0001 00000000 0x0 0x0 0xffffdb8000032a00 0001 00000000 0x0 0x0 0xffffdb8000032a80 0001 00000000 0x0 0x0 0xffffdb8000032b00 0001 00000000 0x0 0x0 0xffffdb8000032b80 0001 00000000 0x0 0x0 0xffffdb8000032c00 0001 00000000 0x0 0x0 0xffffdb8000032c80 0001 00000000 0x0 0x0 0xffffdb8000032d00 0001 00000000 0x0 0x0 0xffffdb8000032d80 0001 00000000 0x0 0x0 0xffffdb8000032e00 0001 00000000 0x0 0x0 0xffffdb8000032e80 0001 00000000 0x0 0x0 0xffffdb8000032f00 0001 00000000 0x0 0x0 0xffffdb8000032f80 0001 00000000 0x0 0x0 0xffffdb8000033000 0001 00000000 0x0 0x0 0xffffdb8000033080 0001 00000000 0x0 0x0 0xffffdb8000033100 0001 00000000 0x0 0x0 0xffffdb8000033180 0001 00000000 0x0 0x0 0xffffdb8000033200 0001 00000000 0x0 0x0 0xffffdb8000033280 0001 00000000 0x0 0x0 0xffffdb8000033300 0001 00000000 0x0 0x0 0xffffdb8000033380 0001 00000000 0x0 0x0 0xffffdb8000033400 0001 00000000 0x0 0x0 0xffffdb8000033480 0001 00000000 0x0 0x0 0xffffdb8000033500 0001 00000000 0x0 0x0 0xffffdb8000033580 0001 00000000 0x0 0x0 0xffffdb8000033600 0001 00000000 0x0 0x0 0xffffdb8000033680 0001 00000000 0x0 0x0 0xffffdb8000033700 0001 00000000 0x0 0x0 0xffffdb8000033780 0001 00000000 0x0 0x0 0xffffdb8000033800 0001 00000000 0x0 0x0 0xffffdb8000033880 0001 00000000 0x0 0x0 0xffffdb8000033900 0001 00000000 0x0 0x0 0xffffdb8000033980 0001 00000000 0x0 0x0 0xffffdb8000033a00 0001 00000000 0x0 0x0 0xffffdb8000033a80 0001 00000000 0x0 0x0 0xffffdb8000033b00 0001 00000000 0x0 0x0 0xffffdb8000033b80 0001 00000000 0x0 0x0 0xffffdb8000033c00 0001 00000000 0x0 0x0 0xffffdb8000033c80 0001 00000000 0x0 0x0 0xffffdb8000033d00 0001 00000000 0x0 0x0 0xffffdb8000033d80 0001 00000000 0x0 0x0 0xffffdb8000033e00 0001 00000000 0x0 0x0 0xffffdb8000033e80 0001 00000000 0x0 0x0 0xffffdb8000033f00 0001 00000000 0x0 0x0 0xffffdb8000033f80 0001 00000000 0x0 0x0 0xffffdb8000034000 0001 00000000 0x0 0x0 0xffffdb8000034080 0001 00000000 0x0 0x0 0xffffdb8000034100 0001 00000000 0x0 0x0 0xffffdb8000034180 0001 00000000 0x0 0x0 0xffffdb8000034200 0001 00000000 0x0 0x0 0xffffdb8000034280 0001 00000000 0x0 0x0 0xffffdb8000034300 0001 00000000 0x0 0x0 0xffffdb8000034380 0001 00000000 0x0 0x0 0xffffdb8000034400 0001 00000000 0x0 0x0 0xffffdb8000034480 0001 00000000 0x0 0x0 0xffffdb8000034500 0001 00000000 0x0 0x0 0xffffdb8000034580 0001 00000000 0x0 0x0 0xffffdb8000034600 0001 00000000 0x0 0x0 0xffffdb8000034680 0001 00000000 0x0 0x0 0xffffdb8000034700 0001 00000000 0x0 0x0 0xffffdb8000034780 0001 00000000 0x0 0x0 0xffffdb8000034800 0001 00000000 0x0 0x0 0xffffdb8000034880 0001 00000000 0x0 0x0 0xffffdb8000034900 0001 00000000 0x0 0x0 0xffffdb8000034980 0001 00000000 0x0 0x0 0xffffdb8000034a00 0001 00000000 0x0 0x0 0xffffdb8000034a80 0001 00000000 0x0 0x0 0xffffdb8000034b00 0001 00000000 0x0 0x0 0xffffdb8000034b80 0001 00000000 0x0 0x0 0xffffdb8000034c00 0001 00000000 0x0 0x0 0xffffdb8000034c80 0001 00000000 0x0 0x0 0xffffdb8000034d00 0001 00000000 0x0 0x0 0xffffdb8000034d80 0001 00000000 0x0 0x0 0xffffdb8000034e00 0001 00000000 0x0 0x0 0xffffdb8000034e80 0001 00000000 0x0 0x0 0xffffdb8000034f00 0001 00000000 0x0 0x0 0xffffdb8000034f80 0001 00000000 0x0 0x0 0xffffdb8000035000 0001 00000000 0x0 0x0 0xffffdb8000035080 0001 00000000 0x0 0x0 0xffffdb8000035100 0001 00000000 0x0 0x0 0xffffdb8000035180 0001 00000000 0x0 0x0 0xffffdb8000035200 0001 00000000 0x0 0x0 0xffffdb8000035280 0001 00000000 0x0 0x0 0xffffdb8000035300 0001 00000000 0x0 0x0 0xffffdb8000035380 0001 00000000 0x0 0x0 0xffffdb8000035400 0001 00000000 0x0 0x0 0xffffdb8000035480 0001 00000000 0x0 0x0 0xffffdb8000035500 0001 00000000 0x0 0x0 0xffffdb8000035580 0001 00000000 0x0 0x0 0xffffdb8000035600 0001 00000000 0x0 0x0 0xffffdb8000035680 0001 00000000 0x0 0x0 0xffffdb8000035700 0001 00000000 0x0 0x0 0xffffdb8000035780 0001 00000000 0x0 0x0 0xffffdb8000035800 0001 00000000 0x0 0x0 0xffffdb8000035880 0001 00000000 0x0 0x0 0xffffdb8000035900 0001 00000000 0x0 0x0 0xffffdb8000035980 0001 00000000 0x0 0x0 0xffffdb8000035a00 0001 00000000 0x0 0x0 0xffffdb8000035a80 0001 00000000 0x0 0x0 0xffffdb8000035b00 0001 00000000 0x0 0x0 0xffffdb8000035b80 0001 00000000 0x0 0x0 0xffffdb8000035c00 0001 00000000 0x0 0x0 0xffffdb8000035c80 0001 00000000 0x0 0x0 0xffffdb8000035d00 0001 00000000 0x0 0x0 0xffffdb8000035d80 0001 00000000 0x0 0x0 0xffffdb8000035e00 0001 00000000 0x0 0x0 0xffffdb8000035e80 0001 00000000 0x0 0x0 0xffffdb8000035f00 0001 00000000 0x0 0x0 0xffffdb8000035f80 0001 00000000 0x0 0x0 0xffffdb8000036000 0001 00000000 0x0 0x0 0xffffdb8000036080 0001 00000000 0x0 0x0 0xffffdb8000036100 0001 00000000 0x0 0x0 0xffffdb8000036180 0001 00000000 0x0 0x0 0xffffdb8000036200 0001 00000000 0x0 0x0 0xffffdb8000036280 0001 00000000 0x0 0x0 0xffffdb8000036300 0001 00000000 0x0 0x0 0xffffdb8000036380 0001 00000000 0x0 0x0 0xffffdb8000036400 0001 00000000 0x0 0x0 0xffffdb8000036480 0001 00000000 0x0 0x0 0xffffdb8000036500 0001 00000000 0x0 0x0 0xffffdb8000036580 0001 00000000 0x0 0x0 0xffffdb8000036600 0001 00000000 0x0 0x0 0xffffdb8000036680 0001 00000000 0x0 0x0 0xffffdb8000036700 0001 00000000 0x0 0x0 0xffffdb8000036780 0001 00000000 0x0 0x0 0xffffdb8000036800 0001 00000000 0x0 0x0 0xffffdb8000036880 0001 00000000 0x0 0x0 0xffffdb8000036900 0001 00000000 0x0 0x0 0xffffdb8000036980 0001 00000000 0x0 0x0 0xffffdb8000036a00 0001 00000000 0x0 0x0 0xffffdb8000036a80 0001 00000000 0x0 0x0 0xffffdb8000036b00 0001 00000000 0x0 0x0 0xffffdb8000036b80 0001 00000000 0x0 0x0 0xffffdb8000036c00 0001 00000000 0x0 0x0 0xffffdb8000036c80 0001 00000000 0x0 0x0 0xffffdb8000036d00 0001 00000000 0x0 0x0 0xffffdb8000036d80 0001 00000000 0x0 0x0 0xffffdb8000036e00 0001 00000000 0x0 0x0 0xffffdb8000036e80 0001 00000000 0x0 0x0 0xffffdb8000036f00 0001 00000000 0x0 0x0 0xffffdb8000036f80 0001 00000000 0x0 0x0 0xffffdb8000037000 0001 00000000 0x0 0x0 0xffffdb8000037080 0001 00000000 0x0 0x0 0xffffdb8000037100 0001 00000000 0x0 0x0 0xffffdb8000037180 0001 00000000 0x0 0x0 0xffffdb8000037200 0001 00000000 0x0 0x0 0xffffdb8000037280 0001 00000000 0x0 0x0 0xffffdb8000037300 0001 00000000 0x0 0x0 0xffffdb8000037380 0001 00000000 0x0 0x0 0xffffdb8000037400 0001 00000000 0x0 0x0 0xffffdb8000037480 0001 00000000 0x0 0x0 0xffffdb8000037500 0001 00000000 0x0 0x0 0xffffdb8000037580 0001 00000000 0x0 0x0 0xffffdb8000037600 0001 00000000 0x0 0x0 0xffffdb8000037680 0001 00000000 0x0 0x0 0xffffdb8000037700 0001 00000000 0x0 0x0 0xffffdb8000037780 0001 00000000 0x0 0x0 0xffffdb8000037800 0001 00000000 0x0 0x0 0xffffdb8000037880 0001 00000000 0x0 0x0 0xffffdb8000037900 0001 00000000 0x0 0x0 0xffffdb8000037980 0001 00000000 0x0 0x0 0xffffdb8000037a00 0001 00000000 0x0 0x0 0xffffdb8000037a80 0001 00000000 0x0 0x0 0xffffdb8000037b00 0001 00000000 0x0 0x0