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"], 0xd, 0x4) r5 = socket$inet_sctp(0x2, 0x5, 0x84) syz_open_dev$vcsn(&(0x7f0000000100), 0xffffffffffffffff, 0x404100) r6 = socket(0x2, 0x80805, 0x0) getsockopt$inet_sctp6_SCTP_SOCKOPT_CONNECTX3(r6, 0x84, 0x6f, &(0x7f00000004c0)={0x0, 0x48, &(0x7f0000000540)=[@in6={0xa, 0x4e22, 0x1000, @mcast2, 0x8}, @in={0x2, 0x4e22, @rand_addr=0x64010100}, @in6={0xa, 0x4e22, 0xfffffffa, @dev={0xfe, 0x80, '\x00', 0x42}, 0x3ff}]}, &(0x7f0000000100)=0x10) r7 = socket$inet(0x2, 0x80001, 0x84) getsockopt$inet_sctp_SCTP_MAX_BURST(r5, 0x84, 0x14, &(0x7f0000000100)=@assoc_value={0x0}, &(0x7f0000000040)=0x8) getsockopt$inet_sctp6_SCTP_PRIMARY_ADDR(r6, 0x84, 0x1a, &(0x7f0000000140)={r8, @in6={{0xa, 0x0, 0x5, @mcast1}}}, &(0x7f0000000200)=0x84) setsockopt$inet_sctp_SCTP_DELAYED_SACK(r7, 0x84, 0x10, &(0x7f00000002c0)=@sack_info={0x0, 0x10001}, 0xc) fchmod(r6, 0x18) setsockopt$IP_VS_SO_SET_ADD(r5, 0x0, 0x482, &(0x7f0000000000)={0x84, @local, 0x0, 0x0, 'lblcr\x00', 0x4, 0xa4d, 0x24}, 0x2c) setsockopt$inet_sctp6_SCTP_PEER_ADDR_PARAMS(r4, 0x84, 0x9, &(0x7f00000003c0)={0x0, @in6={{0xa, 0x4e22, 0x9, @loopback, 0xb1c}}, 0x8, 0x6141, 0xe6f6, 0x55f0, 0xb3550aa4ba878394, 0x772fa892, 0x5}, 0x9c) ioctl$BTRFS_IOC_SYNC(0xffffffffffffffff, 0x9408, 0x0) [ 426.007243][ T7764] loop1: detected capacity change from 0 to 64 13:08:58 executing program 4: prlimit64(0x0, 0xe, &(0x7f0000000280)={0xa, 0x99}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000001c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = socket$inet6(0xa, 0x1, 0x8010000000000084) sched_setscheduler(0x0, 0x1, &(0x7f0000000140)) pipe2(&(0x7f0000000040), 0x0) pipe2(&(0x7f0000000480)={0xffffffffffffffff}, 0x0) faccessat(r3, &(0x7f0000000180)='./file0\x00', 0x24) sched_setscheduler(r0, 0x1, &(0x7f0000000240)=0x1) setsockopt$inet_sctp_SCTP_DELAYED_SACK(r4, 0x84, 0x10, &(0x7f0000000380)=@assoc_value={0x0, 0x6}, 0x8) bind$inet6(r3, &(0x7f00000000c0)={0xa, 0x4e21, 0x0, @empty}, 0x1c) connect$inet6(r3, &(0x7f0000000200)={0xa, 0x4e1d, 0x0, @ipv4={'\x00', '\xff\xff', @dev={0xac, 0x14, 0x14, 0x39}}}, 0x1c) lsetxattr$security_ima(&(0x7f0000000300)='./file0\x00', &(0x7f0000000340), &(0x7f0000001740)=ANY=[@ANYBLOB="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"], 0xd, 0x4) r5 = socket$inet_sctp(0x2, 0x5, 0x84) syz_open_dev$vcsn(&(0x7f0000000100), 0xffffffffffffffff, 0x404100) r6 = socket(0x2, 0x80805, 0x0) getsockopt$inet_sctp6_SCTP_SOCKOPT_CONNECTX3(r6, 0x84, 0x6f, &(0x7f00000004c0)={0x0, 0x48, &(0x7f0000000540)=[@in6={0xa, 0x4e22, 0x1000, @mcast2, 0x8}, @in={0x2, 0x4e22, @rand_addr=0x64010100}, @in6={0xa, 0x4e22, 0xfffffffa, @dev={0xfe, 0x80, '\x00', 0x42}, 0x3ff}]}, &(0x7f0000000100)=0x10) r7 = socket$inet(0x2, 0x80001, 0x84) getsockopt$inet_sctp_SCTP_MAX_BURST(r5, 0x84, 0x14, &(0x7f0000000100)=@assoc_value={0x0}, &(0x7f0000000040)=0x8) getsockopt$inet_sctp6_SCTP_PRIMARY_ADDR(r6, 0x84, 0x1a, &(0x7f0000000140)={r8, @in6={{0xa, 0x0, 0x5, @mcast1}}}, &(0x7f0000000200)=0x84) setsockopt$inet_sctp_SCTP_DELAYED_SACK(r7, 0x84, 0x10, &(0x7f00000002c0)=@sack_info={0x0, 0x10001}, 0xc) fchmod(r6, 0x18) setsockopt$IP_VS_SO_SET_ADD(r5, 0x0, 0x482, &(0x7f0000000000)={0x84, @local, 0x0, 0x0, 'lblcr\x00', 0x4, 0xa4d, 0x24}, 0x2c) setsockopt$inet_sctp6_SCTP_PEER_ADDR_PARAMS(r4, 0x84, 0x9, &(0x7f00000003c0)={0x0, @in6={{0xa, 0x4e22, 0x9, @loopback, 0xb1c}}, 0x8, 0x6141, 0xe6f6, 0x55f0, 0xb3550aa4ba878394, 0x772fa892, 0x5}, 0x9c) ioctl$BTRFS_IOC_SYNC(0xffffffffffffffff, 0x9408, 0x0) 13:08:58 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000280)={0xa, 0x99}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000001c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = socket$inet6(0xa, 0x1, 0x8010000000000084) sched_setscheduler(0x0, 0x1, &(0x7f0000000140)) pipe2(&(0x7f0000000040), 0x0) pipe2(&(0x7f0000000480)={0xffffffffffffffff}, 0x0) faccessat(r3, &(0x7f0000000180)='./file0\x00', 0x24) sched_setscheduler(r0, 0x1, &(0x7f0000000240)=0x1) setsockopt$inet_sctp_SCTP_DELAYED_SACK(r4, 0x84, 0x10, &(0x7f0000000380)=@assoc_value={0x0, 0x6}, 0x8) bind$inet6(r3, &(0x7f00000000c0)={0xa, 0x4e21, 0x0, @empty}, 0x1c) connect$inet6(r3, &(0x7f0000000200)={0xa, 0x4e1d, 0x0, @ipv4={'\x00', '\xff\xff', @dev={0xac, 0x14, 0x14, 0x39}}}, 0x1c) lsetxattr$security_ima(&(0x7f0000000300)='./file0\x00', &(0x7f0000000340), &(0x7f0000001740)=ANY=[@ANYBLOB="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"], 0xd, 0x4) r5 = socket$inet_sctp(0x2, 0x5, 0x84) syz_open_dev$vcsn(&(0x7f0000000100), 0xffffffffffffffff, 0x404100) r6 = socket(0x2, 0x80805, 0x0) getsockopt$inet_sctp6_SCTP_SOCKOPT_CONNECTX3(r6, 0x84, 0x6f, &(0x7f00000004c0)={0x0, 0x48, &(0x7f0000000540)=[@in6={0xa, 0x4e22, 0x1000, @mcast2, 0x8}, @in={0x2, 0x4e22, @rand_addr=0x64010100}, @in6={0xa, 0x4e22, 0xfffffffa, @dev={0xfe, 0x80, '\x00', 0x42}, 0x3ff}]}, &(0x7f0000000100)=0x10) r7 = socket$inet(0x2, 0x80001, 0x84) getsockopt$inet_sctp_SCTP_MAX_BURST(r5, 0x84, 0x14, &(0x7f0000000100)=@assoc_value={0x0}, &(0x7f0000000040)=0x8) getsockopt$inet_sctp6_SCTP_PRIMARY_ADDR(r6, 0x84, 0x1a, &(0x7f0000000140)={r8, @in6={{0xa, 0x0, 0x5, @mcast1}}}, &(0x7f0000000200)=0x84) setsockopt$inet_sctp_SCTP_DELAYED_SACK(r7, 0x84, 0x10, &(0x7f00000002c0)=@sack_info={0x0, 0x10001}, 0xc) fchmod(r6, 0x18) setsockopt$IP_VS_SO_SET_ADD(r5, 0x0, 0x482, &(0x7f0000000000)={0x84, @local, 0x0, 0x0, 'lblcr\x00', 0x4, 0xa4d, 0x24}, 0x2c) setsockopt$inet_sctp6_SCTP_PEER_ADDR_PARAMS(r4, 0x84, 0x9, &(0x7f00000003c0)={0x0, @in6={{0xa, 0x4e22, 0x9, @loopback, 0xb1c}}, 0x8, 0x6141, 0xe6f6, 0x55f0, 0xb3550aa4ba878394, 0x772fa892, 0x5}, 0x9c) ioctl$BTRFS_IOC_SYNC(0xffffffffffffffff, 0x9408, 0x0) [ 428.530618][ T28] audit: type=1800 audit(1706879338.660:65): pid=7773 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.3" name="file0" dev="sda1" ino=1974 res=0 errno=0 13:08:58 executing program 1: prlimit64(0x0, 0xe, &(0x7f0000000280)={0xa, 0x99}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000001c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = socket$inet6(0xa, 0x1, 0x8010000000000084) sched_setscheduler(0x0, 0x1, &(0x7f0000000140)) pipe2(&(0x7f0000000040), 0x0) pipe2(&(0x7f0000000480)={0xffffffffffffffff}, 0x0) faccessat(r3, &(0x7f0000000180)='./file0\x00', 0x24) sched_setscheduler(r0, 0x1, &(0x7f0000000240)=0x1) setsockopt$inet_sctp_SCTP_DELAYED_SACK(r4, 0x84, 0x10, &(0x7f0000000380)=@assoc_value={0x0, 0x6}, 0x8) bind$inet6(r3, &(0x7f00000000c0)={0xa, 0x4e21, 0x0, @empty}, 0x1c) connect$inet6(r3, &(0x7f0000000200)={0xa, 0x4e1d, 0x0, @ipv4={'\x00', '\xff\xff', @dev={0xac, 0x14, 0x14, 0x39}}}, 0x1c) lsetxattr$security_ima(&(0x7f0000000300)='./file0\x00', &(0x7f0000000340), &(0x7f0000001740)=ANY=[@ANYBLOB="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"], 0xd, 0x4) r5 = socket$inet_sctp(0x2, 0x5, 0x84) syz_open_dev$vcsn(&(0x7f0000000100), 0xffffffffffffffff, 0x404100) r6 = socket(0x2, 0x80805, 0x0) getsockopt$inet_sctp6_SCTP_SOCKOPT_CONNECTX3(r6, 0x84, 0x6f, &(0x7f00000004c0)={0x0, 0x48, &(0x7f0000000540)=[@in6={0xa, 0x4e22, 0x1000, @mcast2, 0x8}, @in={0x2, 0x4e22, @rand_addr=0x64010100}, @in6={0xa, 0x4e22, 0xfffffffa, @dev={0xfe, 0x80, '\x00', 0x42}, 0x3ff}]}, &(0x7f0000000100)=0x10) r7 = socket$inet(0x2, 0x80001, 0x84) getsockopt$inet_sctp_SCTP_MAX_BURST(r5, 0x84, 0x14, &(0x7f0000000100)=@assoc_value={0x0}, &(0x7f0000000040)=0x8) getsockopt$inet_sctp6_SCTP_PRIMARY_ADDR(r6, 0x84, 0x1a, &(0x7f0000000140)={r8, @in6={{0xa, 0x0, 0x5, @mcast1}}}, &(0x7f0000000200)=0x84) setsockopt$inet_sctp_SCTP_DELAYED_SACK(r7, 0x84, 0x10, &(0x7f00000002c0)=@sack_info={0x0, 0x10001}, 0xc) fchmod(r6, 0x18) setsockopt$IP_VS_SO_SET_ADD(r5, 0x0, 0x482, &(0x7f0000000000)={0x84, @local, 0x0, 0x0, 'lblcr\x00', 0x4, 0xa4d, 0x24}, 0x2c) setsockopt$inet_sctp6_SCTP_PEER_ADDR_PARAMS(r4, 0x84, 0x9, &(0x7f00000003c0)={0x0, @in6={{0xa, 0x4e22, 0x9, @loopback, 0xb1c}}, 0x8, 0x6141, 0xe6f6, 0x55f0, 0xb3550aa4ba878394, 0x772fa892, 0x5}, 0x9c) ioctl$BTRFS_IOC_SYNC(0xffffffffffffffff, 0x9408, 0x0) 13:09:01 executing program 4: r0 = openat$uinput(0xffffffffffffff9c, &(0x7f0000000040), 0x0, 0x0) ioctl$UI_GET_VERSION(r0, 0x400c55cb, &(0x7f0000000100)) 13:09:01 executing program 0: r0 = openat$vicodec1(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) ioctl$VIDIOC_SUBSCRIBE_EVENT(r0, 0x4020565a, &(0x7f0000000040)={0x5}) close(r0) 13:09:01 executing program 3: r0 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route(r0, &(0x7f0000000200)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000000)=@mpls_newroute={0x1c, 0x18, 0x601, 0x0, 0x0, {0x1c, 0x14, 0x0, 0x0, 0xfe, 0x0, 0x0, 0x1}}, 0x1c}}, 0x0) 13:09:01 executing program 4: r0 = openat$ptmx(0xffffffffffffff9c, &(0x7f0000000080), 0x0, 0x0) ioctl$TIOCPKT(r0, 0x5420, &(0x7f0000000040)=0x1) ioctl$TIOCSTI(r0, 0x5412, &(0x7f0000000000)) readv(r0, &(0x7f0000001340)=[{&(0x7f0000000100)=""/96, 0x60}], 0x1) 13:09:01 executing program 0: syz_mount_image$ext4(&(0x7f0000000200)='ext4\x00', &(0x7f0000000480)='./file0\x00', 0xa00200, &(0x7f0000000140)=ANY=[], 0x84, 0x479, &(0x7f0000000500)="$eJzs3M1vFOUfAPDvzLa88+vCD194UVfRSHxpaUHl4AWjCRcTEz3gsZZCkAIGaiKESDUGj4a/QD2a+Bd4wotRThqveDcmxHARPZg1szvTbtvp2mW3LLifTzL0eXaemXm++8yz+8w8swQwsGrZP0nEloi4EREjzeziArXmn9u3Lk39eevSVBL1+pu/J41yf9y6NFUULbbbPJ+pRvpJErtLjnv+wsVTkzMz0+fy/Njs6ffGzl+4+PzJ05Mnpk9Mn5k4dOjggfGXXpx4oSdxDmV13fXh2T07j7x99fWpo1ff+eHrrIpb8vWtcTRVuz5mLWqL38sWT3W993vL9W0L6WSotMi1rXevOqxSJe8bw43+PxKVWGi8kXjt475WDlhr6fplL1WKxFwd+A/LRvPAICq+6LPr32K5i2OPvrt5OObvY9zOl+aaoUiza/hq89pora5daxFxdO6vz7MlSu9DAAD01rXDEfFc2fgvjQeLQrWF8tWI2BYR2yPi/xGxIyIeiGiUfSgiHu7w+LUl+eXjn582dhxUB7Lx38v53Nbi8V9aFKlW8tzWRvzDyfGTM9P7I+J/EbEvhtdn+fE2x/j21Z8/W2ld6/gvW7LjF2PBvB6/DS25QXdscnaym5hb3fwoYtdQWfzJ/ExANj7eGRG77mD/WeOdfOarPSut//f42yifZ+pI/cuIp5vtPxdL4i8k7ecnxzbEzPT+seKsWLRZRMzF9R+vvLHS8buKvwey9t9Uev7Px19NWudrz3d+jCu/fFp+TbMu/3sH5/+65K1Fu/hgcnb23HjEumRu+esTC9sW+aJ8Fv++veX9f3vE31/k2+2OiOwkfiQiHo2Ix/K2ezwinoiIvW3i//6VJ99dad290P7HOmr/zhOVU999k+/rRufxZ+1/sJHal7+yms+/1Vaw2/cPAAAA7gdp4xn4JB2dT6fpxvwZ/h2xKZ2p12efPX72/TPHms/KV2M4Le50jbTcDx3P7w0X+Ykl+QON+8b1er2+sZEfnTo743lw6K/NJf1/dLS57tdKv2sHrLlVzaMVkzAr/aINuC/1YB4duE/p/zC49H8YXPo/DK6y/n854nYfqgLcZb7/YXDp/zC49H8YXPo/DKRuftffLrH9SDebD/e2PocjotcBNhNp9/uprFUTlCfSDv5zhLaJ6EHsfUukZas2REQ/6rM+IlZb+PKyGsaGZk/udcX6/ckEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADQG/8EAAD//+3S304=") prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) r0 = getpgrp(0x0) sched_setscheduler(r0, 0x2, &(0x7f0000000040)=0x5) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0xb635773f06ebbeee, 0x8031, 0xffffffffffffffff, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sched_setaffinity(0x0, 0x8, &(0x7f0000000280)=0x2) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = open(&(0x7f00000000c0)='.\x00', 0x591002, 0x0) write$FUSE_WRITE(r3, &(0x7f0000000080)={0x18}, 0x18) 13:09:02 executing program 1: prlimit64(0x0, 0xe, &(0x7f0000000280)={0xa, 0x99}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000001c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = socket$inet6(0xa, 0x1, 0x8010000000000084) sched_setscheduler(0x0, 0x1, &(0x7f0000000140)) pipe2(&(0x7f0000000040), 0x0) pipe2(&(0x7f0000000480)={0xffffffffffffffff}, 0x0) faccessat(r3, &(0x7f0000000180)='./file0\x00', 0x24) sched_setscheduler(r0, 0x1, &(0x7f0000000240)=0x1) setsockopt$inet_sctp_SCTP_DELAYED_SACK(r4, 0x84, 0x10, &(0x7f0000000380)=@assoc_value={0x0, 0x6}, 0x8) bind$inet6(r3, &(0x7f00000000c0)={0xa, 0x4e21, 0x0, @empty}, 0x1c) connect$inet6(r3, &(0x7f0000000200)={0xa, 0x4e1d, 0x0, @ipv4={'\x00', '\xff\xff', @dev={0xac, 0x14, 0x14, 0x39}}}, 0x1c) lsetxattr$security_ima(&(0x7f0000000300)='./file0\x00', &(0x7f0000000340), &(0x7f0000001740)=ANY=[@ANYBLOB="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"], 0xd, 0x4) r5 = socket$inet_sctp(0x2, 0x5, 0x84) syz_open_dev$vcsn(&(0x7f0000000100), 0xffffffffffffffff, 0x404100) r6 = socket(0x2, 0x80805, 0x0) getsockopt$inet_sctp6_SCTP_SOCKOPT_CONNECTX3(r6, 0x84, 0x6f, &(0x7f00000004c0)={0x0, 0x48, &(0x7f0000000540)=[@in6={0xa, 0x4e22, 0x1000, @mcast2, 0x8}, @in={0x2, 0x4e22, @rand_addr=0x64010100}, @in6={0xa, 0x4e22, 0xfffffffa, @dev={0xfe, 0x80, '\x00', 0x42}, 0x3ff}]}, &(0x7f0000000100)=0x10) r7 = socket$inet(0x2, 0x80001, 0x84) getsockopt$inet_sctp_SCTP_MAX_BURST(r5, 0x84, 0x14, &(0x7f0000000100)=@assoc_value={0x0}, &(0x7f0000000040)=0x8) getsockopt$inet_sctp6_SCTP_PRIMARY_ADDR(r6, 0x84, 0x1a, &(0x7f0000000140)={r8, @in6={{0xa, 0x0, 0x5, @mcast1}}}, &(0x7f0000000200)=0x84) setsockopt$inet_sctp_SCTP_DELAYED_SACK(r7, 0x84, 0x10, &(0x7f00000002c0)=@sack_info={0x0, 0x10001}, 0xc) fchmod(r6, 0x18) setsockopt$IP_VS_SO_SET_ADD(r5, 0x0, 0x482, &(0x7f0000000000)={0x84, @local, 0x0, 0x0, 'lblcr\x00', 0x4, 0xa4d, 0x24}, 0x2c) setsockopt$inet_sctp6_SCTP_PEER_ADDR_PARAMS(r4, 0x84, 0x9, &(0x7f00000003c0)={0x0, @in6={{0xa, 0x4e22, 0x9, @loopback, 0xb1c}}, 0x8, 0x6141, 0xe6f6, 0x55f0, 0xb3550aa4ba878394, 0x772fa892, 0x5}, 0x9c) ioctl$BTRFS_IOC_SYNC(0xffffffffffffffff, 0x9408, 0x0) 13:09:02 executing program 4: r0 = openat$ptmx(0xffffffffffffff9c, &(0x7f0000000080), 0x0, 0x0) ioctl$TIOCPKT(r0, 0x5420, &(0x7f0000000040)=0x1) ioctl$TIOCSTI(r0, 0x5412, &(0x7f0000000000)) readv(r0, &(0x7f0000001340)=[{&(0x7f0000000100)=""/96, 0x60}], 0x1) 13:09:02 executing program 3: r0 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route(r0, &(0x7f0000000200)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000000)=@mpls_newroute={0x1c, 0x18, 0x601, 0x0, 0x0, {0x1c, 0x14, 0x0, 0x0, 0xfe, 0x0, 0x0, 0x1}}, 0x1c}}, 0x0) [ 432.075966][ T7797] loop0: detected capacity change from 0 to 512 [ 432.142040][ T7797] EXT4-fs error (device loop0): ext4_orphan_get:1420: comm syz-executor.0: bad orphan inode 4 13:09:02 executing program 3: r0 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route(r0, &(0x7f0000000200)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000000)=@mpls_newroute={0x1c, 0x18, 0x601, 0x0, 0x0, {0x1c, 0x14, 0x0, 0x0, 0xfe, 0x0, 0x0, 0x1}}, 0x1c}}, 0x0) [ 432.218079][ T7797] EXT4-fs (loop0): mounted filesystem 00000000-0000-0000-0000-000000000000 r/w without journal. Quota mode: none. [ 433.807180][ T5095] EXT4-fs (loop0): unmounting filesystem 00000000-0000-0000-0000-000000000000. [ 440.289841][ T1234] ieee802154 phy0 wpan0: encryption failed: -22 [ 440.296128][ T1234] ieee802154 phy1 wpan1: encryption failed: -22 13:09:16 executing program 2: r0 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r0, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r0, 0x6, 0x0, 0x0, 0x0) r1 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r1, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r1, 0x6, 0x0, 0x0, 0x0) 13:09:16 executing program 0: syz_mount_image$ext4(&(0x7f0000000200)='ext4\x00', &(0x7f0000000480)='./file0\x00', 0xa00200, &(0x7f0000000140)=ANY=[], 0x84, 0x479, &(0x7f0000000500)="$eJzs3M1vFOUfAPDvzLa88+vCD194UVfRSHxpaUHl4AWjCRcTEz3gsZZCkAIGaiKESDUGj4a/QD2a+Bd4wotRThqveDcmxHARPZg1szvTbtvp2mW3LLifTzL0eXaemXm++8yz+8w8swQwsGrZP0nEloi4EREjzeziArXmn9u3Lk39eevSVBL1+pu/J41yf9y6NFUULbbbPJ+pRvpJErtLjnv+wsVTkzMz0+fy/Njs6ffGzl+4+PzJ05Mnpk9Mn5k4dOjggfGXXpx4oSdxDmV13fXh2T07j7x99fWpo1ff+eHrrIpb8vWtcTRVuz5mLWqL38sWT3W993vL9W0L6WSotMi1rXevOqxSJe8bw43+PxKVWGi8kXjt475WDlhr6fplL1WKxFwd+A/LRvPAICq+6LPr32K5i2OPvrt5OObvY9zOl+aaoUiza/hq89pora5daxFxdO6vz7MlSu9DAAD01rXDEfFc2fgvjQeLQrWF8tWI2BYR2yPi/xGxIyIeiGiUfSgiHu7w+LUl+eXjn582dhxUB7Lx38v53Nbi8V9aFKlW8tzWRvzDyfGTM9P7I+J/EbEvhtdn+fE2x/j21Z8/W2ld6/gvW7LjF2PBvB6/DS25QXdscnaym5hb3fwoYtdQWfzJ/ExANj7eGRG77mD/WeOdfOarPSut//f42yifZ+pI/cuIp5vtPxdL4i8k7ecnxzbEzPT+seKsWLRZRMzF9R+vvLHS8buKvwey9t9Uev7Px19NWudrz3d+jCu/fFp+TbMu/3sH5/+65K1Fu/hgcnb23HjEumRu+esTC9sW+aJ8Fv++veX9f3vE31/k2+2OiOwkfiQiHo2Ix/K2ezwinoiIvW3i//6VJ99dad290P7HOmr/zhOVU999k+/rRufxZ+1/sJHal7+yms+/1Vaw2/cPAAAA7gdp4xn4JB2dT6fpxvwZ/h2xKZ2p12efPX72/TPHms/KV2M4Le50jbTcDx3P7w0X+Ykl+QON+8b1er2+sZEfnTo743lw6K/NJf1/dLS57tdKv2sHrLlVzaMVkzAr/aINuC/1YB4duE/p/zC49H8YXPo/DK6y/n854nYfqgLcZb7/YXDp/zC49H8YXPo/DKRuftffLrH9SDebD/e2PocjotcBNhNp9/uprFUTlCfSDv5zhLaJ6EHsfUukZas2REQ/6rM+IlZb+PKyGsaGZk/udcX6/ckEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADQG/8EAAD//+3S304=") prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) r0 = getpgrp(0x0) sched_setscheduler(r0, 0x2, &(0x7f0000000040)=0x5) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0xb635773f06ebbeee, 0x8031, 0xffffffffffffffff, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sched_setaffinity(0x0, 0x8, &(0x7f0000000280)=0x2) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = open(&(0x7f00000000c0)='.\x00', 0x591002, 0x0) write$FUSE_WRITE(r3, &(0x7f0000000080)={0x18}, 0x18) 13:09:16 executing program 4: r0 = openat$ptmx(0xffffffffffffff9c, &(0x7f0000000080), 0x0, 0x0) ioctl$TIOCPKT(r0, 0x5420, &(0x7f0000000040)=0x1) ioctl$TIOCSTI(r0, 0x5412, &(0x7f0000000000)) readv(r0, &(0x7f0000001340)=[{&(0x7f0000000100)=""/96, 0x60}], 0x1) 13:09:16 executing program 3: r0 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route(r0, &(0x7f0000000200)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000000)=@mpls_newroute={0x1c, 0x18, 0x601, 0x0, 0x0, {0x1c, 0x14, 0x0, 0x0, 0xfe, 0x0, 0x0, 0x1}}, 0x1c}}, 0x0) 13:09:16 executing program 1: prlimit64(0x0, 0xe, &(0x7f0000000280)={0xa, 0x99}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000001c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = socket$inet6(0xa, 0x1, 0x8010000000000084) sched_setscheduler(0x0, 0x1, &(0x7f0000000140)) pipe2(&(0x7f0000000040), 0x0) pipe2(&(0x7f0000000480)={0xffffffffffffffff}, 0x0) faccessat(r3, &(0x7f0000000180)='./file0\x00', 0x24) sched_setscheduler(r0, 0x1, &(0x7f0000000240)=0x1) setsockopt$inet_sctp_SCTP_DELAYED_SACK(r4, 0x84, 0x10, &(0x7f0000000380)=@assoc_value={0x0, 0x6}, 0x8) bind$inet6(r3, &(0x7f00000000c0)={0xa, 0x4e21, 0x0, @empty}, 0x1c) connect$inet6(r3, &(0x7f0000000200)={0xa, 0x4e1d, 0x0, @ipv4={'\x00', '\xff\xff', @dev={0xac, 0x14, 0x14, 0x39}}}, 0x1c) lsetxattr$security_ima(&(0x7f0000000300)='./file0\x00', &(0x7f0000000340), &(0x7f0000001740)=ANY=[@ANYBLOB="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"], 0xd, 0x4) r5 = socket$inet_sctp(0x2, 0x5, 0x84) syz_open_dev$vcsn(&(0x7f0000000100), 0xffffffffffffffff, 0x404100) r6 = socket(0x2, 0x80805, 0x0) getsockopt$inet_sctp6_SCTP_SOCKOPT_CONNECTX3(r6, 0x84, 0x6f, &(0x7f00000004c0)={0x0, 0x48, &(0x7f0000000540)=[@in6={0xa, 0x4e22, 0x1000, @mcast2, 0x8}, @in={0x2, 0x4e22, @rand_addr=0x64010100}, @in6={0xa, 0x4e22, 0xfffffffa, @dev={0xfe, 0x80, '\x00', 0x42}, 0x3ff}]}, &(0x7f0000000100)=0x10) r7 = socket$inet(0x2, 0x80001, 0x84) getsockopt$inet_sctp_SCTP_MAX_BURST(r5, 0x84, 0x14, &(0x7f0000000100)=@assoc_value={0x0}, &(0x7f0000000040)=0x8) getsockopt$inet_sctp6_SCTP_PRIMARY_ADDR(r6, 0x84, 0x1a, &(0x7f0000000140)={r8, @in6={{0xa, 0x0, 0x5, @mcast1}}}, &(0x7f0000000200)=0x84) setsockopt$inet_sctp_SCTP_DELAYED_SACK(r7, 0x84, 0x10, &(0x7f00000002c0)=@sack_info={0x0, 0x10001}, 0xc) fchmod(r6, 0x18) setsockopt$IP_VS_SO_SET_ADD(r5, 0x0, 0x482, &(0x7f0000000000)={0x84, @local, 0x0, 0x0, 'lblcr\x00', 0x4, 0xa4d, 0x24}, 0x2c) setsockopt$inet_sctp6_SCTP_PEER_ADDR_PARAMS(r4, 0x84, 0x9, &(0x7f00000003c0)={0x0, @in6={{0xa, 0x4e22, 0x9, @loopback, 0xb1c}}, 0x8, 0x6141, 0xe6f6, 0x55f0, 0xb3550aa4ba878394, 0x772fa892, 0x5}, 0x9c) ioctl$BTRFS_IOC_SYNC(0xffffffffffffffff, 0x9408, 0x0) 13:09:16 executing program 3: r0 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r0, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r0, 0x6, 0x0, 0x0, 0x0) r1 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r1, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r1, 0x6, 0x0, 0x0, 0x0) 13:09:16 executing program 4: r0 = openat$ptmx(0xffffffffffffff9c, &(0x7f0000000080), 0x0, 0x0) ioctl$TIOCPKT(r0, 0x5420, &(0x7f0000000040)=0x1) ioctl$TIOCSTI(r0, 0x5412, &(0x7f0000000000)) readv(r0, &(0x7f0000001340)=[{&(0x7f0000000100)=""/96, 0x60}], 0x1) [ 446.495639][ T7816] loop0: detected capacity change from 0 to 512 [ 446.773300][ T7816] EXT4-fs error (device loop0): ext4_orphan_get:1420: comm syz-executor.0: bad orphan inode 4 [ 447.076342][ T7816] EXT4-fs (loop0): mounted filesystem 00000000-0000-0000-0000-000000000000 r/w without journal. Quota mode: none. 13:09:17 executing program 4: syz_mount_image$ext4(&(0x7f0000000200)='ext4\x00', &(0x7f0000000480)='./file0\x00', 0xa00200, &(0x7f0000000140)=ANY=[], 0x84, 0x479, &(0x7f0000000500)="$eJzs3M1vFOUfAPDvzLa88+vCD194UVfRSHxpaUHl4AWjCRcTEz3gsZZCkAIGaiKESDUGj4a/QD2a+Bd4wotRThqveDcmxHARPZg1szvTbtvp2mW3LLifTzL0eXaemXm++8yz+8w8swQwsGrZP0nEloi4EREjzeziArXmn9u3Lk39eevSVBL1+pu/J41yf9y6NFUULbbbPJ+pRvpJErtLjnv+wsVTkzMz0+fy/Njs6ffGzl+4+PzJ05Mnpk9Mn5k4dOjggfGXXpx4oSdxDmV13fXh2T07j7x99fWpo1ff+eHrrIpb8vWtcTRVuz5mLWqL38sWT3W993vL9W0L6WSotMi1rXevOqxSJe8bw43+PxKVWGi8kXjt475WDlhr6fplL1WKxFwd+A/LRvPAICq+6LPr32K5i2OPvrt5OObvY9zOl+aaoUiza/hq89pora5daxFxdO6vz7MlSu9DAAD01rXDEfFc2fgvjQeLQrWF8tWI2BYR2yPi/xGxIyIeiGiUfSgiHu7w+LUl+eXjn582dhxUB7Lx38v53Nbi8V9aFKlW8tzWRvzDyfGTM9P7I+J/EbEvhtdn+fE2x/j21Z8/W2ld6/gvW7LjF2PBvB6/DS25QXdscnaym5hb3fwoYtdQWfzJ/ExANj7eGRG77mD/WeOdfOarPSut//f42yifZ+pI/cuIp5vtPxdL4i8k7ecnxzbEzPT+seKsWLRZRMzF9R+vvLHS8buKvwey9t9Uev7Px19NWudrz3d+jCu/fFp+TbMu/3sH5/+65K1Fu/hgcnb23HjEumRu+esTC9sW+aJ8Fv++veX9f3vE31/k2+2OiOwkfiQiHo2Ix/K2ezwinoiIvW3i//6VJ99dad290P7HOmr/zhOVU999k+/rRufxZ+1/sJHal7+yms+/1Vaw2/cPAAAA7gdp4xn4JB2dT6fpxvwZ/h2xKZ2p12efPX72/TPHms/KV2M4Le50jbTcDx3P7w0X+Ykl+QON+8b1er2+sZEfnTo743lw6K/NJf1/dLS57tdKv2sHrLlVzaMVkzAr/aINuC/1YB4duE/p/zC49H8YXPo/DK6y/n854nYfqgLcZb7/YXDp/zC49H8YXPo/DKRuftffLrH9SDebD/e2PocjotcBNhNp9/uprFUTlCfSDv5zhLaJ6EHsfUukZas2REQ/6rM+IlZb+PKyGsaGZk/udcX6/ckEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADQG/8EAAD//+3S304=") prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) r0 = getpgrp(0x0) sched_setscheduler(r0, 0x2, &(0x7f0000000040)=0x5) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0xb635773f06ebbeee, 0x8031, 0xffffffffffffffff, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sched_setaffinity(0x0, 0x8, &(0x7f0000000280)=0x2) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = open(&(0x7f00000000c0)='.\x00', 0x591002, 0x0) write$FUSE_WRITE(r3, &(0x7f0000000080)={0x18}, 0x18) 13:09:17 executing program 1: r0 = openat$ptmx(0xffffffffffffff9c, &(0x7f0000000080), 0x0, 0x0) ioctl$TIOCPKT(r0, 0x5420, &(0x7f0000000040)=0x1) ioctl$TIOCSTI(r0, 0x5412, &(0x7f0000000000)) readv(r0, &(0x7f0000001340)=[{&(0x7f0000000100)=""/96, 0x60}], 0x1) [ 447.563010][ T7831] loop4: detected capacity change from 0 to 512 [ 447.630515][ T7831] EXT4-fs error (device loop4): ext4_orphan_get:1420: comm syz-executor.4: bad orphan inode 4 [ 447.668299][ T7831] EXT4-fs (loop4): mounted filesystem 00000000-0000-0000-0000-000000000000 r/w without journal. Quota mode: none. [ 447.702966][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 447.709146][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 447.923307][ T5069] libceph: connect (1)[c::]:6789 error -101 13:09:18 executing program 1: r0 = openat$ptmx(0xffffffffffffff9c, &(0x7f0000000080), 0x0, 0x0) ioctl$TIOCPKT(r0, 0x5420, &(0x7f0000000040)=0x1) ioctl$TIOCSTI(r0, 0x5412, &(0x7f0000000000)) readv(r0, &(0x7f0000001340)=[{&(0x7f0000000100)=""/96, 0x60}], 0x1) 13:09:18 executing program 0: syz_mount_image$ext4(&(0x7f0000000200)='ext4\x00', &(0x7f0000000480)='./file0\x00', 0xa00200, &(0x7f0000000140)=ANY=[], 0x84, 0x479, &(0x7f0000000500)="$eJzs3M1vFOUfAPDvzLa88+vCD194UVfRSHxpaUHl4AWjCRcTEz3gsZZCkAIGaiKESDUGj4a/QD2a+Bd4wotRThqveDcmxHARPZg1szvTbtvp2mW3LLifTzL0eXaemXm++8yz+8w8swQwsGrZP0nEloi4EREjzeziArXmn9u3Lk39eevSVBL1+pu/J41yf9y6NFUULbbbPJ+pRvpJErtLjnv+wsVTkzMz0+fy/Njs6ffGzl+4+PzJ05Mnpk9Mn5k4dOjggfGXXpx4oSdxDmV13fXh2T07j7x99fWpo1ff+eHrrIpb8vWtcTRVuz5mLWqL38sWT3W993vL9W0L6WSotMi1rXevOqxSJe8bw43+PxKVWGi8kXjt475WDlhr6fplL1WKxFwd+A/LRvPAICq+6LPr32K5i2OPvrt5OObvY9zOl+aaoUiza/hq89pora5daxFxdO6vz7MlSu9DAAD01rXDEfFc2fgvjQeLQrWF8tWI2BYR2yPi/xGxIyIeiGiUfSgiHu7w+LUl+eXjn582dhxUB7Lx38v53Nbi8V9aFKlW8tzWRvzDyfGTM9P7I+J/EbEvhtdn+fE2x/j21Z8/W2ld6/gvW7LjF2PBvB6/DS25QXdscnaym5hb3fwoYtdQWfzJ/ExANj7eGRG77mD/WeOdfOarPSut//f42yifZ+pI/cuIp5vtPxdL4i8k7ecnxzbEzPT+seKsWLRZRMzF9R+vvLHS8buKvwey9t9Uev7Px19NWudrz3d+jCu/fFp+TbMu/3sH5/+65K1Fu/hgcnb23HjEumRu+esTC9sW+aJ8Fv++veX9f3vE31/k2+2OiOwkfiQiHo2Ix/K2ezwinoiIvW3i//6VJ99dad290P7HOmr/zhOVU999k+/rRufxZ+1/sJHal7+yms+/1Vaw2/cPAAAA7gdp4xn4JB2dT6fpxvwZ/h2xKZ2p12efPX72/TPHms/KV2M4Le50jbTcDx3P7w0X+Ykl+QON+8b1er2+sZEfnTo743lw6K/NJf1/dLS57tdKv2sHrLlVzaMVkzAr/aINuC/1YB4duE/p/zC49H8YXPo/DK6y/n854nYfqgLcZb7/YXDp/zC49H8YXPo/DKRuftffLrH9SDebD/e2PocjotcBNhNp9/uprFUTlCfSDv5zhLaJ6EHsfUukZas2REQ/6rM+IlZb+PKyGsaGZk/udcX6/ckEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADQG/8EAAD//+3S304=") prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) r0 = getpgrp(0x0) sched_setscheduler(r0, 0x2, &(0x7f0000000040)=0x5) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0xb635773f06ebbeee, 0x8031, 0xffffffffffffffff, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sched_setaffinity(0x0, 0x8, &(0x7f0000000280)=0x2) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = open(&(0x7f00000000c0)='.\x00', 0x591002, 0x0) write$FUSE_WRITE(r3, &(0x7f0000000080)={0x18}, 0x18) [ 448.390499][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 448.399151][ T5095] EXT4-fs (loop0): unmounting filesystem 00000000-0000-0000-0000-000000000000. [ 448.410168][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 448.416862][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 448.423118][ T7828] ceph: No mds server is up or the cluster is laggy [ 448.432914][ T7835] ceph: No mds server is up or the cluster is laggy [ 448.436738][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 448.446558][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 448.854421][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 448.917947][ T5069] libceph: mon0 (1)[c::]:6789 connect error 13:09:19 executing program 1: r0 = openat$ptmx(0xffffffffffffff9c, &(0x7f0000000080), 0x0, 0x0) ioctl$TIOCPKT(r0, 0x5420, &(0x7f0000000040)=0x1) ioctl$TIOCSTI(r0, 0x5412, &(0x7f0000000000)) readv(r0, &(0x7f0000001340)=[{&(0x7f0000000100)=""/96, 0x60}], 0x1) [ 448.986597][ T5069] libceph: connect (1)[c::]:6789 error -101 13:09:19 executing program 4: syz_mount_image$ext4(&(0x7f0000000200)='ext4\x00', &(0x7f0000000480)='./file0\x00', 0xa00200, &(0x7f0000000140)=ANY=[], 0x84, 0x479, &(0x7f0000000500)="$eJzs3M1vFOUfAPDvzLa88+vCD194UVfRSHxpaUHl4AWjCRcTEz3gsZZCkAIGaiKESDUGj4a/QD2a+Bd4wotRThqveDcmxHARPZg1szvTbtvp2mW3LLifTzL0eXaemXm++8yz+8w8swQwsGrZP0nEloi4EREjzeziArXmn9u3Lk39eevSVBL1+pu/J41yf9y6NFUULbbbPJ+pRvpJErtLjnv+wsVTkzMz0+fy/Njs6ffGzl+4+PzJ05Mnpk9Mn5k4dOjggfGXXpx4oSdxDmV13fXh2T07j7x99fWpo1ff+eHrrIpb8vWtcTRVuz5mLWqL38sWT3W993vL9W0L6WSotMi1rXevOqxSJe8bw43+PxKVWGi8kXjt475WDlhr6fplL1WKxFwd+A/LRvPAICq+6LPr32K5i2OPvrt5OObvY9zOl+aaoUiza/hq89pora5daxFxdO6vz7MlSu9DAAD01rXDEfFc2fgvjQeLQrWF8tWI2BYR2yPi/xGxIyIeiGiUfSgiHu7w+LUl+eXjn582dhxUB7Lx38v53Nbi8V9aFKlW8tzWRvzDyfGTM9P7I+J/EbEvhtdn+fE2x/j21Z8/W2ld6/gvW7LjF2PBvB6/DS25QXdscnaym5hb3fwoYtdQWfzJ/ExANj7eGRG77mD/WeOdfOarPSut//f42yifZ+pI/cuIp5vtPxdL4i8k7ecnxzbEzPT+seKsWLRZRMzF9R+vvLHS8buKvwey9t9Uev7Px19NWudrz3d+jCu/fFp+TbMu/3sH5/+65K1Fu/hgcnb23HjEumRu+esTC9sW+aJ8Fv++veX9f3vE31/k2+2OiOwkfiQiHo2Ix/K2ezwinoiIvW3i//6VJ99dad290P7HOmr/zhOVU999k+/rRufxZ+1/sJHal7+yms+/1Vaw2/cPAAAA7gdp4xn4JB2dT6fpxvwZ/h2xKZ2p12efPX72/TPHms/KV2M4Le50jbTcDx3P7w0X+Ykl+QON+8b1er2+sZEfnTo743lw6K/NJf1/dLS57tdKv2sHrLlVzaMVkzAr/aINuC/1YB4duE/p/zC49H8YXPo/DK6y/n854nYfqgLcZb7/YXDp/zC49H8YXPo/DKRuftffLrH9SDebD/e2PocjotcBNhNp9/uprFUTlCfSDv5zhLaJ6EHsfUukZas2REQ/6rM+IlZb+PKyGsaGZk/udcX6/ckEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADQG/8EAAD//+3S304=") prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) r0 = getpgrp(0x0) sched_setscheduler(r0, 0x2, &(0x7f0000000040)=0x5) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0xb635773f06ebbeee, 0x8031, 0xffffffffffffffff, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sched_setaffinity(0x0, 0x8, &(0x7f0000000280)=0x2) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = open(&(0x7f00000000c0)='.\x00', 0x591002, 0x0) write$FUSE_WRITE(r3, &(0x7f0000000080)={0x18}, 0x18) [ 449.047208][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 449.121016][ T5100] EXT4-fs (loop4): unmounting filesystem 00000000-0000-0000-0000-000000000000. 13:09:19 executing program 1: r0 = openat$ptmx(0xffffffffffffff9c, &(0x7f0000000080), 0x0, 0x0) ioctl$TIOCPKT(r0, 0x5420, &(0x7f0000000040)=0x1) ioctl$TIOCSTI(r0, 0x5412, &(0x7f0000000000)) readv(r0, &(0x7f0000001340)=[{&(0x7f0000000100)=""/96, 0x60}], 0x1) [ 449.288371][ T7852] loop0: detected capacity change from 0 to 512 13:09:19 executing program 1: r0 = openat$ptmx(0xffffffffffffff9c, &(0x7f0000000080), 0x0, 0x0) ioctl$TIOCPKT(r0, 0x5420, &(0x7f0000000040)=0x1) ioctl$TIOCSTI(r0, 0x5412, &(0x7f0000000000)) readv(r0, &(0x7f0000001340)=[{&(0x7f0000000100)=""/96, 0x60}], 0x1) [ 449.332750][ T7852] EXT4-fs error (device loop0): ext4_orphan_get:1420: comm syz-executor.0: bad orphan inode 4 [ 449.387194][ T7857] loop4: detected capacity change from 0 to 512 [ 449.390016][ T7852] EXT4-fs (loop0): mounted filesystem 00000000-0000-0000-0000-000000000000 r/w without journal. Quota mode: none. [ 449.459228][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 449.465275][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 449.483905][ T7857] EXT4-fs error (device loop4): ext4_orphan_get:1420: comm syz-executor.4: bad orphan inode 4 [ 449.496293][ T7857] EXT4-fs (loop4): mounted filesystem 00000000-0000-0000-0000-000000000000 r/w without journal. Quota mode: none. [ 450.475996][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 450.488718][ T5069] libceph: mon0 (1)[c::]:6789 connect error 13:09:21 executing program 0: syz_mount_image$ext4(&(0x7f0000000200)='ext4\x00', &(0x7f0000000480)='./file0\x00', 0xa00200, &(0x7f0000000140)=ANY=[], 0x84, 0x479, &(0x7f0000000500)="$eJzs3M1vFOUfAPDvzLa88+vCD194UVfRSHxpaUHl4AWjCRcTEz3gsZZCkAIGaiKESDUGj4a/QD2a+Bd4wotRThqveDcmxHARPZg1szvTbtvp2mW3LLifTzL0eXaemXm++8yz+8w8swQwsGrZP0nEloi4EREjzeziArXmn9u3Lk39eevSVBL1+pu/J41yf9y6NFUULbbbPJ+pRvpJErtLjnv+wsVTkzMz0+fy/Njs6ffGzl+4+PzJ05Mnpk9Mn5k4dOjggfGXXpx4oSdxDmV13fXh2T07j7x99fWpo1ff+eHrrIpb8vWtcTRVuz5mLWqL38sWT3W993vL9W0L6WSotMi1rXevOqxSJe8bw43+PxKVWGi8kXjt475WDlhr6fplL1WKxFwd+A/LRvPAICq+6LPr32K5i2OPvrt5OObvY9zOl+aaoUiza/hq89pora5daxFxdO6vz7MlSu9DAAD01rXDEfFc2fgvjQeLQrWF8tWI2BYR2yPi/xGxIyIeiGiUfSgiHu7w+LUl+eXjn582dhxUB7Lx38v53Nbi8V9aFKlW8tzWRvzDyfGTM9P7I+J/EbEvhtdn+fE2x/j21Z8/W2ld6/gvW7LjF2PBvB6/DS25QXdscnaym5hb3fwoYtdQWfzJ/ExANj7eGRG77mD/WeOdfOarPSut//f42yifZ+pI/cuIp5vtPxdL4i8k7ecnxzbEzPT+seKsWLRZRMzF9R+vvLHS8buKvwey9t9Uev7Px19NWudrz3d+jCu/fFp+TbMu/3sH5/+65K1Fu/hgcnb23HjEumRu+esTC9sW+aJ8Fv++veX9f3vE31/k2+2OiOwkfiQiHo2Ix/K2ezwinoiIvW3i//6VJ99dad290P7HOmr/zhOVU999k+/rRufxZ+1/sJHal7+yms+/1Vaw2/cPAAAA7gdp4xn4JB2dT6fpxvwZ/h2xKZ2p12efPX72/TPHms/KV2M4Le50jbTcDx3P7w0X+Ykl+QON+8b1er2+sZEfnTo743lw6K/NJf1/dLS57tdKv2sHrLlVzaMVkzAr/aINuC/1YB4duE/p/zC49H8YXPo/DK6y/n854nYfqgLcZb7/YXDp/zC49H8YXPo/DKRuftffLrH9SDebD/e2PocjotcBNhNp9/uprFUTlCfSDv5zhLaJ6EHsfUukZas2REQ/6rM+IlZb+PKyGsaGZk/udcX6/ckEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADQG/8EAAD//+3S304=") prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) r0 = getpgrp(0x0) sched_setscheduler(r0, 0x2, &(0x7f0000000040)=0x5) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0xb635773f06ebbeee, 0x8031, 0xffffffffffffffff, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sched_setaffinity(0x0, 0x8, &(0x7f0000000280)=0x2) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = open(&(0x7f00000000c0)='.\x00', 0x591002, 0x0) write$FUSE_WRITE(r3, &(0x7f0000000080)={0x18}, 0x18) 13:09:21 executing program 1: r0 = openat$ptmx(0xffffffffffffff9c, &(0x7f0000000080), 0x0, 0x0) ioctl$TIOCPKT(r0, 0x5420, &(0x7f0000000040)=0x1) ioctl$TIOCSTI(r0, 0x5412, &(0x7f0000000000)) readv(r0, &(0x7f0000001340)=[{&(0x7f0000000100)=""/96, 0x60}], 0x1) [ 451.107038][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 451.116389][ T5145] libceph: connect (1)[c::]:6789 error -101 13:09:21 executing program 4: syz_mount_image$ext4(&(0x7f0000000200)='ext4\x00', &(0x7f0000000480)='./file0\x00', 0xa00200, &(0x7f0000000140)=ANY=[], 0x84, 0x479, &(0x7f0000000500)="$eJzs3M1vFOUfAPDvzLa88+vCD194UVfRSHxpaUHl4AWjCRcTEz3gsZZCkAIGaiKESDUGj4a/QD2a+Bd4wotRThqveDcmxHARPZg1szvTbtvp2mW3LLifTzL0eXaemXm++8yz+8w8swQwsGrZP0nEloi4EREjzeziArXmn9u3Lk39eevSVBL1+pu/J41yf9y6NFUULbbbPJ+pRvpJErtLjnv+wsVTkzMz0+fy/Njs6ffGzl+4+PzJ05Mnpk9Mn5k4dOjggfGXXpx4oSdxDmV13fXh2T07j7x99fWpo1ff+eHrrIpb8vWtcTRVuz5mLWqL38sWT3W993vL9W0L6WSotMi1rXevOqxSJe8bw43+PxKVWGi8kXjt475WDlhr6fplL1WKxFwd+A/LRvPAICq+6LPr32K5i2OPvrt5OObvY9zOl+aaoUiza/hq89pora5daxFxdO6vz7MlSu9DAAD01rXDEfFc2fgvjQeLQrWF8tWI2BYR2yPi/xGxIyIeiGiUfSgiHu7w+LUl+eXjn582dhxUB7Lx38v53Nbi8V9aFKlW8tzWRvzDyfGTM9P7I+J/EbEvhtdn+fE2x/j21Z8/W2ld6/gvW7LjF2PBvB6/DS25QXdscnaym5hb3fwoYtdQWfzJ/ExANj7eGRG77mD/WeOdfOarPSut//f42yifZ+pI/cuIp5vtPxdL4i8k7ecnxzbEzPT+seKsWLRZRMzF9R+vvLHS8buKvwey9t9Uev7Px19NWudrz3d+jCu/fFp+TbMu/3sH5/+65K1Fu/hgcnb23HjEumRu+esTC9sW+aJ8Fv++veX9f3vE31/k2+2OiOwkfiQiHo2Ix/K2ezwinoiIvW3i//6VJ99dad290P7HOmr/zhOVU999k+/rRufxZ+1/sJHal7+yms+/1Vaw2/cPAAAA7gdp4xn4JB2dT6fpxvwZ/h2xKZ2p12efPX72/TPHms/KV2M4Le50jbTcDx3P7w0X+Ykl+QON+8b1er2+sZEfnTo743lw6K/NJf1/dLS57tdKv2sHrLlVzaMVkzAr/aINuC/1YB4duE/p/zC49H8YXPo/DK6y/n854nYfqgLcZb7/YXDp/zC49H8YXPo/DKRuftffLrH9SDebD/e2PocjotcBNhNp9/uprFUTlCfSDv5zhLaJ6EHsfUukZas2REQ/6rM+IlZb+PKyGsaGZk/udcX6/ckEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADQG/8EAAD//+3S304=") prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) r0 = getpgrp(0x0) sched_setscheduler(r0, 0x2, &(0x7f0000000040)=0x5) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0xb635773f06ebbeee, 0x8031, 0xffffffffffffffff, 0x0) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sched_setaffinity(0x0, 0x8, &(0x7f0000000280)=0x2) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = open(&(0x7f00000000c0)='.\x00', 0x591002, 0x0) write$FUSE_WRITE(r3, &(0x7f0000000080)={0x18}, 0x18) [ 451.158060][ T5095] EXT4-fs (loop0): unmounting filesystem 00000000-0000-0000-0000-000000000000. [ 451.167301][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 451.188519][ T5145] libceph: mon0 (1)[c::]:6789 connect error 13:09:21 executing program 1: r0 = syz_open_dev$video(&(0x7f0000000040), 0xa7, 0x0) preadv(r0, &(0x7f0000001640)=[{&(0x7f00000002c0)=""/129, 0x81}], 0x1, 0x0, 0x0) [ 451.216613][ T5100] EXT4-fs (loop4): unmounting filesystem 00000000-0000-0000-0000-000000000000. 13:09:21 executing program 1: ioctl$TIOCPKT(0xffffffffffffffff, 0x5420, 0x0) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0x2) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000280)='cgroup.controllers\x00', 0x275a, 0x0) write$binfmt_script(0xffffffffffffffff, &(0x7f00000003c0)={'#! ', './file1', [{0x20, '/dev/e\b\xc8\x85\x05'}, {0x20, ',D[\''}], 0xa, "0b16db232662b61cc389ba3199b4c16bac201a7a1964890307116bc032f4e109dd6537749d66ea1d63d3cf33afdffe6c8a832228afc7bf26a15317beb90327412f6c7e8e4fc1cb54adbc909d86268c98c0694bef5e904cc91a9547280cbd6939def97e27c0a65aa270"}, 0x84) r4 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000180)='cgroup.controllers\x00', 0x275a, 0x0) stat(&(0x7f0000007ec0)='./file0\x00', &(0x7f0000007f00)) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00'}, 0x10) sendmmsg$unix(r2, &(0x7f0000008000)=[{{&(0x7f0000000980)=@file={0x1, './file0\x00'}, 0x6e, 0x0, 0x0, &(0x7f0000000340)=ANY=[@ANYBLOB, @ANYBLOB, @ANYRES64=r3, @ANYRES32=r1, @ANYRES32], 0x60}}, {{&(0x7f0000000e80)=@file={0x0, './file0\x00'}, 0x6e, 0x0}}, {{&(0x7f0000001140)=@file={0x0, './file0\x00'}, 0x6e, &(0x7f0000000580)=[{0x0}, {&(0x7f0000001280)="af356c1ebe6f090000000000000fd09cbd5a77c98e6d366fdc552e72950e4f177069752e1218c7513e77f2b70083932ab18365e6b808b851e9aa16d471a1a356d55db67f27bd1ad92e79efa6781c37c7ab734ea8b9ce24b88f8bc2da00"/107, 0x6b}, {&(0x7f0000001380)="8cd2fd6dcfa940c0400d4f7a4309335368bf95a1ad7472dd36493b309f6694a7185b71e6aef12f5a95c4ac8f2dc32eb6b7c6a17a207758a55030b021ac40e858274762ed8050718e4dc27a071510a79cd900c0f167e3dbcfad49b433aa5d6aea79bac7d8e458a5330da08ddaeb9adde939204d9412fed0d9642e1536710b6fb7ae02ae586e2ac5dad01a451b4d4d86b9fd659e74290cb85564515d86e962991648c364380ead4be8fa9b4ed10b5d2a1b2080084abb4d94f7515c9b9d6dbd3ef75c8dbe1b2a62b3f86e59170afabf75da6a2f1bb8", 0xd4}, {&(0x7f00000014c0)="60a32a3a192d37e040f3fe720e91ae511d4eb380712bd1055b98ec523032ab83cb376bc89897245e633c8d43df14dec65be8326848d661f70da517169040073f4bc4ec82d1b2867a7552a64cdbbe9b88ad91ff3277b2cda90463106836ef0fe17f3f9d06c54613bb9870caf32f3dca36fc49f8d068b8e1b40727fee5f904e08ae33a65da79ead9b4e6dc0204ee53ff4cf93d654ee275e5e6c01b75aaada1b891d09dd945ffd0ec9fb51eef5dd3228c97c32b045c4618183d05de3e67879cf26617d3167d7faccfd5efa4c0da46106e70db03a3c8a1e6b1402e85b62301546fff1aed05e4c1de56b6f02ccb129f819c0c92722cc8827b2210125f3318113b090919b7f947f61d4cf010f524adcd58ada46869bfc54e1468f92c1bcf57536435265e202d02ab9e5c53845d644d5c3408b2b02097772f75323066f2e30360172508f5c71d8103029a63baaf48ce4dbebbf45a6ec2c5e875bd9e561a8c15788a38273542035d204d3fa0a77132baa8f72f1c30f982a63a18aed350391d3e660d7413712de95f6410d03d25eed6f015539aa437736728092fa2a05661fd79a7b0c19607aa0dbbc6fdd9d193f5b05c94a42ffc1beaa5363a9c2d48ae9bc61c3cef7a0cd8b3eaec9baaed60a786d239a2d0d54cf7d662c63092d205dec2970cbba993f3f1bfb05a68e5f02284b7bfaa1f759d851174ae385995b4ee87f4e0e9db248945353b526b7adbbc546455d8caf5e5ed69195b8f6199c4e6754b6de164f936a558ebc25772113eccf85ab07242c4aa0a4005d77d044671f5b74745320a4cd28e3d691bec7785088c6f3c17b6f57ef59765c6573fd81aa0f3d8e30cc2ce9c06c8422bdf932d84b3229a629001e09e55fedcf54d236912afd6cb8dfacde876fd46b9e4932088f2529a3894c362ec547af3e6fc2d1b3e5f76120fdd7dfd5245d4cffca4963235c507dee33d0467301c92e56924f539db8faa17f2fdadd838f71d51c0fc4d615804e4a0905916d1b52b1d6eb9a9df78300056425d2a9bb51003d8cd1f44c73f1aa8e59afa03c4bb9cec458dcd59cc389b331dcccd2488a512a96b6edaeb6056231d3f55cf6432a281a16df5271e7b3a22581a90db44c229fb568da921c42dab96b9618f1717a61be5196e75a1a87ad7cac4b3b2f57d575f4d786e45410441e62d71b935f782b034850de3ce7863b5869f7b6e6b47877a4bed7c424edccace3cf9b93b4eb737928b870a918fdabbfa2ab2530cfb1efdd8a61f7f3c72d5b17974c318be105e5a8d43bd679cba9c38d10cdbf19be44e311e9c5c67e7e63fbee546d7f89de11f96f4072b147e735d90", 0x3b1}, {&(0x7f0000002580)="f7f6ce8f97991a7c34f07f04b37ebadc1ba254bbec00ce1347ffd64dbd10b60ad34f3d5b2df03eef78aa8df9cca2648877b2ce93c3a30826e3f8b65f61d54b17", 0x40}, {&(0x7f0000000480)="d4ab486559a7ea26ac06b7eb94b1f47e8f25bb5c81cd8aa633482d2663fb50a849f59d6c4341a032ea36efff01e49fae40603746866ba67537a30871f63bf68f3a54126502be7a2548b7cb419c7313053ea78a3fc9e13bf1d79e857d334e82ce9da2a6873226d5cc1ac6789749dd33e5d7b3296b7a669ed1cc11fcc07d95d77f7e40366c075179fd55752c5c399f312567285fb7d7da34e436dfb741f6cd479690df218d41a62131555b509863ffea34465078157fa8f7fc344455627913172c25637afb983465d6abe82fb4e9d90c7c6b69c74fccad492a5431c7ead5e0", 0xde}], 0x6}}, {{0x0, 0x0, &(0x7f0000007e80)=[{0x0}], 0x1, &(0x7f0000007f80), 0x0, 0x800}}], 0x4, 0x1) r5 = dup3(r4, r3, 0x0) ioctl$FS_IOC_FSSETXATTR(r3, 0x401c5820, &(0x7f00000002c0)={0x20}) mmap(&(0x7f0000ffe000/0x1000)=nil, 0x1000, 0x0, 0x13, 0xffffffffffffffff, 0x0) write$cgroup_int(r3, &(0x7f0000000040), 0xfea0) r6 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f00000001c0)='cpuset.effective_cpus\x00', 0x275a, 0x0) write$cgroup_int(r6, &(0x7f0000000380), 0x101bf) ioctl$EXT4_IOC_ALLOC_DA_BLKS(r6, 0x660c) ioctl$EXT4_IOC_MOVE_EXT(r5, 0xc028660f, &(0x7f00000000c0)={0x0, r6, 0x0, 0x8}) ioctl$PIO_FONTRESET(0xffffffffffffffff, 0x4b6d, 0x0) ioctl$EXT4_IOC_MOVE_EXT(r6, 0xc028660f, &(0x7f0000000000)={0x2880008, r3}) [ 451.386931][ T7871] loop4: detected capacity change from 0 to 512 [ 451.437273][ T7871] EXT4-fs error (device loop4): ext4_orphan_get:1420: comm syz-executor.4: bad orphan inode 4 [ 451.449513][ T24] libceph: connect (1)[c::]:6789 error -101 [ 451.455539][ T24] libceph: mon0 (1)[c::]:6789 connect error [ 451.466259][ T7874] loop0: detected capacity change from 0 to 512 [ 451.473670][ T7871] EXT4-fs (loop4): mounted filesystem 00000000-0000-0000-0000-000000000000 r/w without journal. Quota mode: none. [ 451.595907][ T7874] EXT4-fs error (device loop0): ext4_orphan_get:1420: comm syz-executor.0: bad orphan inode 4 [ 451.622839][ T7874] EXT4-fs (loop0): mounted filesystem 00000000-0000-0000-0000-000000000000 r/w without journal. Quota mode: none. [ 452.695520][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 452.701927][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 452.925348][ T5100] EXT4-fs (loop4): unmounting filesystem 00000000-0000-0000-0000-000000000000. 13:09:23 executing program 4: r0 = socket$inet_udplite(0x2, 0x2, 0x88) ioctl$sock_SIOCGIFINDEX(r0, 0x8933, &(0x7f0000000340)={'bridge_slave_0\x00', 0x0}) r2 = socket(0x10, 0x80002, 0x0) sendmsg$nl_route(r2, &(0x7f0000000000)={0x0, 0x0, &(0x7f0000000200)={&(0x7f00000001c0)=@bridge_setlink={0x2c, 0x13, 0xa29, 0x0, 0x0, {0x7, 0x0, 0x0, r1}, [@IFLA_AF_SPEC={0xc, 0x1a, 0x0, 0x1, [@AF_INET={0x8, 0x5, 0x0, 0x1, {0x4, 0x7}}]}]}, 0x2c}}, 0x0) [ 453.009631][ T7] libceph: connect (1)[c::]:6789 error -101 [ 453.015678][ T7] libceph: mon0 (1)[c::]:6789 connect error 13:09:23 executing program 1: ioctl$TIOCPKT(0xffffffffffffffff, 0x5420, 0x0) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0x2) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000280)='cgroup.controllers\x00', 0x275a, 0x0) write$binfmt_script(0xffffffffffffffff, &(0x7f00000003c0)={'#! ', './file1', [{0x20, '/dev/e\b\xc8\x85\x05'}, {0x20, ',D[\''}], 0xa, "0b16db232662b61cc389ba3199b4c16bac201a7a1964890307116bc032f4e109dd6537749d66ea1d63d3cf33afdffe6c8a832228afc7bf26a15317beb90327412f6c7e8e4fc1cb54adbc909d86268c98c0694bef5e904cc91a9547280cbd6939def97e27c0a65aa270"}, 0x84) r4 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000180)='cgroup.controllers\x00', 0x275a, 0x0) stat(&(0x7f0000007ec0)='./file0\x00', &(0x7f0000007f00)) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00'}, 0x10) sendmmsg$unix(r2, &(0x7f0000008000)=[{{&(0x7f0000000980)=@file={0x1, './file0\x00'}, 0x6e, 0x0, 0x0, &(0x7f0000000340)=ANY=[@ANYBLOB, @ANYBLOB, @ANYRES64=r3, @ANYRES32=r1, @ANYRES32], 0x60}}, {{&(0x7f0000000e80)=@file={0x0, './file0\x00'}, 0x6e, 0x0}}, {{&(0x7f0000001140)=@file={0x0, './file0\x00'}, 0x6e, &(0x7f0000000580)=[{0x0}, {&(0x7f0000001280)="af356c1ebe6f090000000000000fd09cbd5a77c98e6d366fdc552e72950e4f177069752e1218c7513e77f2b70083932ab18365e6b808b851e9aa16d471a1a356d55db67f27bd1ad92e79efa6781c37c7ab734ea8b9ce24b88f8bc2da00"/107, 0x6b}, {&(0x7f0000001380)="8cd2fd6dcfa940c0400d4f7a4309335368bf95a1ad7472dd36493b309f6694a7185b71e6aef12f5a95c4ac8f2dc32eb6b7c6a17a207758a55030b021ac40e858274762ed8050718e4dc27a071510a79cd900c0f167e3dbcfad49b433aa5d6aea79bac7d8e458a5330da08ddaeb9adde939204d9412fed0d9642e1536710b6fb7ae02ae586e2ac5dad01a451b4d4d86b9fd659e74290cb85564515d86e962991648c364380ead4be8fa9b4ed10b5d2a1b2080084abb4d94f7515c9b9d6dbd3ef75c8dbe1b2a62b3f86e59170afabf75da6a2f1bb8", 0xd4}, {&(0x7f00000014c0)="60a32a3a192d37e040f3fe720e91ae511d4eb380712bd1055b98ec523032ab83cb376bc89897245e633c8d43df14dec65be8326848d661f70da517169040073f4bc4ec82d1b2867a7552a64cdbbe9b88ad91ff3277b2cda90463106836ef0fe17f3f9d06c54613bb9870caf32f3dca36fc49f8d068b8e1b40727fee5f904e08ae33a65da79ead9b4e6dc0204ee53ff4cf93d654ee275e5e6c01b75aaada1b891d09dd945ffd0ec9fb51eef5dd3228c97c32b045c4618183d05de3e67879cf26617d3167d7faccfd5efa4c0da46106e70db03a3c8a1e6b1402e85b62301546fff1aed05e4c1de56b6f02ccb129f819c0c92722cc8827b2210125f3318113b090919b7f947f61d4cf010f524adcd58ada46869bfc54e1468f92c1bcf57536435265e202d02ab9e5c53845d644d5c3408b2b02097772f75323066f2e30360172508f5c71d8103029a63baaf48ce4dbebbf45a6ec2c5e875bd9e561a8c15788a38273542035d204d3fa0a77132baa8f72f1c30f982a63a18aed350391d3e660d7413712de95f6410d03d25eed6f015539aa437736728092fa2a05661fd79a7b0c19607aa0dbbc6fdd9d193f5b05c94a42ffc1beaa5363a9c2d48ae9bc61c3cef7a0cd8b3eaec9baaed60a786d239a2d0d54cf7d662c63092d205dec2970cbba993f3f1bfb05a68e5f02284b7bfaa1f759d851174ae385995b4ee87f4e0e9db248945353b526b7adbbc546455d8caf5e5ed69195b8f6199c4e6754b6de164f936a558ebc25772113eccf85ab07242c4aa0a4005d77d044671f5b74745320a4cd28e3d691bec7785088c6f3c17b6f57ef59765c6573fd81aa0f3d8e30cc2ce9c06c8422bdf932d84b3229a629001e09e55fedcf54d236912afd6cb8dfacde876fd46b9e4932088f2529a3894c362ec547af3e6fc2d1b3e5f76120fdd7dfd5245d4cffca4963235c507dee33d0467301c92e56924f539db8faa17f2fdadd838f71d51c0fc4d615804e4a0905916d1b52b1d6eb9a9df78300056425d2a9bb51003d8cd1f44c73f1aa8e59afa03c4bb9cec458dcd59cc389b331dcccd2488a512a96b6edaeb6056231d3f55cf6432a281a16df5271e7b3a22581a90db44c229fb568da921c42dab96b9618f1717a61be5196e75a1a87ad7cac4b3b2f57d575f4d786e45410441e62d71b935f782b034850de3ce7863b5869f7b6e6b47877a4bed7c424edccace3cf9b93b4eb737928b870a918fdabbfa2ab2530cfb1efdd8a61f7f3c72d5b17974c318be105e5a8d43bd679cba9c38d10cdbf19be44e311e9c5c67e7e63fbee546d7f89de11f96f4072b147e735d90", 0x3b1}, {&(0x7f0000002580)="f7f6ce8f97991a7c34f07f04b37ebadc1ba254bbec00ce1347ffd64dbd10b60ad34f3d5b2df03eef78aa8df9cca2648877b2ce93c3a30826e3f8b65f61d54b17", 0x40}, {&(0x7f0000000480)="d4ab486559a7ea26ac06b7eb94b1f47e8f25bb5c81cd8aa633482d2663fb50a849f59d6c4341a032ea36efff01e49fae40603746866ba67537a30871f63bf68f3a54126502be7a2548b7cb419c7313053ea78a3fc9e13bf1d79e857d334e82ce9da2a6873226d5cc1ac6789749dd33e5d7b3296b7a669ed1cc11fcc07d95d77f7e40366c075179fd55752c5c399f312567285fb7d7da34e436dfb741f6cd479690df218d41a62131555b509863ffea34465078157fa8f7fc344455627913172c25637afb983465d6abe82fb4e9d90c7c6b69c74fccad492a5431c7ead5e0", 0xde}], 0x6}}, {{0x0, 0x0, &(0x7f0000007e80)=[{0x0}], 0x1, &(0x7f0000007f80), 0x0, 0x800}}], 0x4, 0x1) r5 = dup3(r4, r3, 0x0) ioctl$FS_IOC_FSSETXATTR(r3, 0x401c5820, &(0x7f00000002c0)={0x20}) mmap(&(0x7f0000ffe000/0x1000)=nil, 0x1000, 0x0, 0x13, 0xffffffffffffffff, 0x0) write$cgroup_int(r3, &(0x7f0000000040), 0xfea0) r6 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f00000001c0)='cpuset.effective_cpus\x00', 0x275a, 0x0) write$cgroup_int(r6, &(0x7f0000000380), 0x101bf) ioctl$EXT4_IOC_ALLOC_DA_BLKS(r6, 0x660c) ioctl$EXT4_IOC_MOVE_EXT(r5, 0xc028660f, &(0x7f00000000c0)={0x0, r6, 0x0, 0x8}) ioctl$PIO_FONTRESET(0xffffffffffffffff, 0x4b6d, 0x0) ioctl$EXT4_IOC_MOVE_EXT(r6, 0xc028660f, &(0x7f0000000000)={0x2880008, r3}) 13:09:23 executing program 4: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r0, &(0x7f000000c2c0)={0x0, 0x0, &(0x7f0000000200)={&(0x7f00000000c0)=ANY=[@ANYBLOB="140000001000010000000000000000000000000a28000000000a0101000000005e1affd5020000000900010073797a300000000008000240000000032c000000030a01030000e6ff00000000020000000900010073797a30000000000900030073797a320000000014000000110001"], 0x7c}}, 0x0) r1 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_MSG_GETRULE(r1, &(0x7f0000000700)={0x0, 0x0, &(0x7f00000006c0)={&(0x7f0000000600)={0x2c, 0x19, 0xa, 0x801, 0x0, 0x0, {0x2}, [@NFTA_RULE_CHAIN={0x9, 0x2, 'syz2\x00'}, @NFTA_RULE_TABLE={0x9, 0x1, 'syz0\x00'}]}, 0x2c}}, 0x0) 13:09:23 executing program 4: r0 = userfaultfd(0x1) ioctl$UFFDIO_API(r0, 0xc018aa3f, &(0x7f0000000000)={0xaa, 0x40}) ioctl$UFFDIO_REGISTER(r0, 0xc020aa00, &(0x7f00000000c0)={{&(0x7f0000ffe000/0x1000)=nil, 0x1000}, 0x3}) r1 = socket$packet(0x11, 0x2, 0x300) mmap(&(0x7f0000568000/0x2000)=nil, 0x1000000, 0x0, 0x11, r1, 0x0) ioctl$UFFDIO_COPY(r0, 0xc028aa03, 0x0) 13:09:24 executing program 0: r0 = socket$can_j1939(0x1d, 0x2, 0x7) ioctl$sock_SIOCETHTOOL(r0, 0x8946, &(0x7f0000000080)={'erspan0\x00', &(0x7f0000000000)=@ethtool_coalesce={0x14}}) [ 453.903728][ T7] libceph: connect (1)[c::]:6789 error -101 [ 453.909818][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 453.972902][ T5095] EXT4-fs (loop0): unmounting filesystem 00000000-0000-0000-0000-000000000000. [ 454.194935][ T24] libceph: connect (1)[c::]:6789 error -101 [ 454.201134][ T24] libceph: mon0 (1)[c::]:6789 connect error [ 454.207533][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 454.213814][ T5145] libceph: mon0 (1)[c::]:6789 connect error 13:09:24 executing program 0: r0 = socket$inet6_sctp(0xa, 0x1, 0x84) ioctl$sock_SIOCETHTOOL(r0, 0x8946, &(0x7f0000000180)={'netdevsim0\x00', &(0x7f0000000000)=@ethtool_cmd={0xf, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, [0x1192]}}) 13:09:24 executing program 0: openat$sequencer(0xffffffffffffff9c, &(0x7f0000000000), 0x48001, 0x0) [ 454.423336][ T5096] Bluetooth: hci5: unexpected cc 0x0c03 length: 249 > 1 [ 454.431944][ T8] libceph: connect (1)[c::]:6789 error -101 [ 454.441260][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 454.447350][ T5096] Bluetooth: hci5: unexpected cc 0x1003 length: 249 > 9 [ 454.456088][ T5096] Bluetooth: hci5: unexpected cc 0x1001 length: 249 > 9 13:09:24 executing program 0: openat$sequencer(0xffffffffffffff9c, &(0x7f0000000000), 0x48001, 0x0) [ 454.469326][ T5096] Bluetooth: hci5: unexpected cc 0x0c23 length: 249 > 4 [ 454.478658][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 454.486962][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 454.493893][ T5096] Bluetooth: hci5: unexpected cc 0x0c25 length: 249 > 3 [ 454.501363][ T5096] Bluetooth: hci5: unexpected cc 0x0c38 length: 249 > 2 13:09:24 executing program 0: openat$sequencer(0xffffffffffffff9c, &(0x7f0000000000), 0x48001, 0x0) 13:09:24 executing program 4: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f0000000000)=0x5) r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000000240)=0x1) socketpair$unix(0x1, 0x3, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) sched_setaffinity(r0, 0x8, &(0x7f00000002c0)=0x81) sendmmsg$unix(r2, &(0x7f0000001300), 0x0, 0x0) ioctl$LOOP_CTL_REMOVE(0xffffffffffffffff, 0x4c81, 0xc) r3 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x18, 0x3, &(0x7f00000000c0)=@framed, &(0x7f0000000080)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f00000000c0)={&(0x7f0000000080)='sched_switch\x00', r3}, 0x10) ppoll(&(0x7f00000000c0)=[{0xffffffffffffffff, 0x20c2}], 0x1, &(0x7f0000000180), &(0x7f00000001c0)={[0xfff]}, 0x8) r4 = openat$loop_ctrl(0xffffff9c, &(0x7f0000000040), 0x0, 0x0) ioctl$sock_SIOCGIFINDEX(0xffffffffffffffff, 0x8933, &(0x7f0000000280)={'ip6gre0\x00'}) r5 = socket$inet6(0xa, 0x2, 0x0) connect$inet6(r5, &(0x7f0000000240)={0xa, 0x4e1e, 0xffff, @local, 0x10000}, 0x1c) ioctl$sock_SIOCGIFINDEX(r5, 0x8933, &(0x7f0000000040)={'sit0\x00'}) bpf$MAP_CREATE(0x0, &(0x7f0000000300)=@bloom_filter={0x1e, 0xfff, 0x3, 0x61f, 0x2030, 0xffffffffffffffff, 0x5, '\x00', 0x0, 0xffffffffffffffff, 0x1, 0x5, 0x3}, 0x48) ioctl$LOOP_CTL_REMOVE(r4, 0x4c81, 0x0) ioctl$LOOP_CTL_ADD(r4, 0x4c80, 0x0) 13:09:24 executing program 1: ioctl$TIOCPKT(0xffffffffffffffff, 0x5420, 0x0) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0x2) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000280)='cgroup.controllers\x00', 0x275a, 0x0) write$binfmt_script(0xffffffffffffffff, &(0x7f00000003c0)={'#! ', './file1', [{0x20, '/dev/e\b\xc8\x85\x05'}, {0x20, ',D[\''}], 0xa, "0b16db232662b61cc389ba3199b4c16bac201a7a1964890307116bc032f4e109dd6537749d66ea1d63d3cf33afdffe6c8a832228afc7bf26a15317beb90327412f6c7e8e4fc1cb54adbc909d86268c98c0694bef5e904cc91a9547280cbd6939def97e27c0a65aa270"}, 0x84) r4 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000180)='cgroup.controllers\x00', 0x275a, 0x0) stat(&(0x7f0000007ec0)='./file0\x00', &(0x7f0000007f00)) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00'}, 0x10) sendmmsg$unix(r2, &(0x7f0000008000)=[{{&(0x7f0000000980)=@file={0x1, './file0\x00'}, 0x6e, 0x0, 0x0, &(0x7f0000000340)=ANY=[@ANYBLOB, @ANYBLOB, @ANYRES64=r3, @ANYRES32=r1, @ANYRES32], 0x60}}, {{&(0x7f0000000e80)=@file={0x0, './file0\x00'}, 0x6e, 0x0}}, {{&(0x7f0000001140)=@file={0x0, './file0\x00'}, 0x6e, &(0x7f0000000580)=[{0x0}, {&(0x7f0000001280)="af356c1ebe6f090000000000000fd09cbd5a77c98e6d366fdc552e72950e4f177069752e1218c7513e77f2b70083932ab18365e6b808b851e9aa16d471a1a356d55db67f27bd1ad92e79efa6781c37c7ab734ea8b9ce24b88f8bc2da00"/107, 0x6b}, {&(0x7f0000001380)="8cd2fd6dcfa940c0400d4f7a4309335368bf95a1ad7472dd36493b309f6694a7185b71e6aef12f5a95c4ac8f2dc32eb6b7c6a17a207758a55030b021ac40e858274762ed8050718e4dc27a071510a79cd900c0f167e3dbcfad49b433aa5d6aea79bac7d8e458a5330da08ddaeb9adde939204d9412fed0d9642e1536710b6fb7ae02ae586e2ac5dad01a451b4d4d86b9fd659e74290cb85564515d86e962991648c364380ead4be8fa9b4ed10b5d2a1b2080084abb4d94f7515c9b9d6dbd3ef75c8dbe1b2a62b3f86e59170afabf75da6a2f1bb8", 0xd4}, {&(0x7f00000014c0)="60a32a3a192d37e040f3fe720e91ae511d4eb380712bd1055b98ec523032ab83cb376bc89897245e633c8d43df14dec65be8326848d661f70da517169040073f4bc4ec82d1b2867a7552a64cdbbe9b88ad91ff3277b2cda90463106836ef0fe17f3f9d06c54613bb9870caf32f3dca36fc49f8d068b8e1b40727fee5f904e08ae33a65da79ead9b4e6dc0204ee53ff4cf93d654ee275e5e6c01b75aaada1b891d09dd945ffd0ec9fb51eef5dd3228c97c32b045c4618183d05de3e67879cf26617d3167d7faccfd5efa4c0da46106e70db03a3c8a1e6b1402e85b62301546fff1aed05e4c1de56b6f02ccb129f819c0c92722cc8827b2210125f3318113b090919b7f947f61d4cf010f524adcd58ada46869bfc54e1468f92c1bcf57536435265e202d02ab9e5c53845d644d5c3408b2b02097772f75323066f2e30360172508f5c71d8103029a63baaf48ce4dbebbf45a6ec2c5e875bd9e561a8c15788a38273542035d204d3fa0a77132baa8f72f1c30f982a63a18aed350391d3e660d7413712de95f6410d03d25eed6f015539aa437736728092fa2a05661fd79a7b0c19607aa0dbbc6fdd9d193f5b05c94a42ffc1beaa5363a9c2d48ae9bc61c3cef7a0cd8b3eaec9baaed60a786d239a2d0d54cf7d662c63092d205dec2970cbba993f3f1bfb05a68e5f02284b7bfaa1f759d851174ae385995b4ee87f4e0e9db248945353b526b7adbbc546455d8caf5e5ed69195b8f6199c4e6754b6de164f936a558ebc25772113eccf85ab07242c4aa0a4005d77d044671f5b74745320a4cd28e3d691bec7785088c6f3c17b6f57ef59765c6573fd81aa0f3d8e30cc2ce9c06c8422bdf932d84b3229a629001e09e55fedcf54d236912afd6cb8dfacde876fd46b9e4932088f2529a3894c362ec547af3e6fc2d1b3e5f76120fdd7dfd5245d4cffca4963235c507dee33d0467301c92e56924f539db8faa17f2fdadd838f71d51c0fc4d615804e4a0905916d1b52b1d6eb9a9df78300056425d2a9bb51003d8cd1f44c73f1aa8e59afa03c4bb9cec458dcd59cc389b331dcccd2488a512a96b6edaeb6056231d3f55cf6432a281a16df5271e7b3a22581a90db44c229fb568da921c42dab96b9618f1717a61be5196e75a1a87ad7cac4b3b2f57d575f4d786e45410441e62d71b935f782b034850de3ce7863b5869f7b6e6b47877a4bed7c424edccace3cf9b93b4eb737928b870a918fdabbfa2ab2530cfb1efdd8a61f7f3c72d5b17974c318be105e5a8d43bd679cba9c38d10cdbf19be44e311e9c5c67e7e63fbee546d7f89de11f96f4072b147e735d90", 0x3b1}, {&(0x7f0000002580)="f7f6ce8f97991a7c34f07f04b37ebadc1ba254bbec00ce1347ffd64dbd10b60ad34f3d5b2df03eef78aa8df9cca2648877b2ce93c3a30826e3f8b65f61d54b17", 0x40}, {&(0x7f0000000480)="d4ab486559a7ea26ac06b7eb94b1f47e8f25bb5c81cd8aa633482d2663fb50a849f59d6c4341a032ea36efff01e49fae40603746866ba67537a30871f63bf68f3a54126502be7a2548b7cb419c7313053ea78a3fc9e13bf1d79e857d334e82ce9da2a6873226d5cc1ac6789749dd33e5d7b3296b7a669ed1cc11fcc07d95d77f7e40366c075179fd55752c5c399f312567285fb7d7da34e436dfb741f6cd479690df218d41a62131555b509863ffea34465078157fa8f7fc344455627913172c25637afb983465d6abe82fb4e9d90c7c6b69c74fccad492a5431c7ead5e0", 0xde}], 0x6}}, {{0x0, 0x0, &(0x7f0000007e80)=[{0x0}], 0x1, &(0x7f0000007f80), 0x0, 0x800}}], 0x4, 0x1) r5 = dup3(r4, r3, 0x0) ioctl$FS_IOC_FSSETXATTR(r3, 0x401c5820, &(0x7f00000002c0)={0x20}) mmap(&(0x7f0000ffe000/0x1000)=nil, 0x1000, 0x0, 0x13, 0xffffffffffffffff, 0x0) write$cgroup_int(r3, &(0x7f0000000040), 0xfea0) r6 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f00000001c0)='cpuset.effective_cpus\x00', 0x275a, 0x0) write$cgroup_int(r6, &(0x7f0000000380), 0x101bf) ioctl$EXT4_IOC_ALLOC_DA_BLKS(r6, 0x660c) ioctl$EXT4_IOC_MOVE_EXT(r5, 0xc028660f, &(0x7f00000000c0)={0x0, r6, 0x0, 0x8}) ioctl$PIO_FONTRESET(0xffffffffffffffff, 0x4b6d, 0x0) ioctl$EXT4_IOC_MOVE_EXT(r6, 0xc028660f, &(0x7f0000000000)={0x2880008, r3}) 13:09:25 executing program 0: openat$sequencer(0xffffffffffffff9c, &(0x7f0000000000), 0x48001, 0x0) [ 455.479357][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 455.532407][ T5145] libceph: mon0 (1)[c::]:6789 connect error 13:09:25 executing program 1: ioctl$TIOCPKT(0xffffffffffffffff, 0x5420, 0x0) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0x2) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000280)='cgroup.controllers\x00', 0x275a, 0x0) write$binfmt_script(0xffffffffffffffff, &(0x7f00000003c0)={'#! ', './file1', [{0x20, '/dev/e\b\xc8\x85\x05'}, {0x20, ',D[\''}], 0xa, "0b16db232662b61cc389ba3199b4c16bac201a7a1964890307116bc032f4e109dd6537749d66ea1d63d3cf33afdffe6c8a832228afc7bf26a15317beb90327412f6c7e8e4fc1cb54adbc909d86268c98c0694bef5e904cc91a9547280cbd6939def97e27c0a65aa270"}, 0x84) r4 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000180)='cgroup.controllers\x00', 0x275a, 0x0) stat(&(0x7f0000007ec0)='./file0\x00', &(0x7f0000007f00)) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00'}, 0x10) sendmmsg$unix(r2, &(0x7f0000008000)=[{{&(0x7f0000000980)=@file={0x1, './file0\x00'}, 0x6e, 0x0, 0x0, &(0x7f0000000340)=ANY=[@ANYBLOB, @ANYBLOB, @ANYRES64=r3, @ANYRES32=r1, @ANYRES32], 0x60}}, {{&(0x7f0000000e80)=@file={0x0, './file0\x00'}, 0x6e, 0x0}}, {{&(0x7f0000001140)=@file={0x0, './file0\x00'}, 0x6e, &(0x7f0000000580)=[{0x0}, {&(0x7f0000001280)="af356c1ebe6f090000000000000fd09cbd5a77c98e6d366fdc552e72950e4f177069752e1218c7513e77f2b70083932ab18365e6b808b851e9aa16d471a1a356d55db67f27bd1ad92e79efa6781c37c7ab734ea8b9ce24b88f8bc2da00"/107, 0x6b}, {&(0x7f0000001380)="8cd2fd6dcfa940c0400d4f7a4309335368bf95a1ad7472dd36493b309f6694a7185b71e6aef12f5a95c4ac8f2dc32eb6b7c6a17a207758a55030b021ac40e858274762ed8050718e4dc27a071510a79cd900c0f167e3dbcfad49b433aa5d6aea79bac7d8e458a5330da08ddaeb9adde939204d9412fed0d9642e1536710b6fb7ae02ae586e2ac5dad01a451b4d4d86b9fd659e74290cb85564515d86e962991648c364380ead4be8fa9b4ed10b5d2a1b2080084abb4d94f7515c9b9d6dbd3ef75c8dbe1b2a62b3f86e59170afabf75da6a2f1bb8", 0xd4}, {&(0x7f00000014c0)="60a32a3a192d37e040f3fe720e91ae511d4eb380712bd1055b98ec523032ab83cb376bc89897245e633c8d43df14dec65be8326848d661f70da517169040073f4bc4ec82d1b2867a7552a64cdbbe9b88ad91ff3277b2cda90463106836ef0fe17f3f9d06c54613bb9870caf32f3dca36fc49f8d068b8e1b40727fee5f904e08ae33a65da79ead9b4e6dc0204ee53ff4cf93d654ee275e5e6c01b75aaada1b891d09dd945ffd0ec9fb51eef5dd3228c97c32b045c4618183d05de3e67879cf26617d3167d7faccfd5efa4c0da46106e70db03a3c8a1e6b1402e85b62301546fff1aed05e4c1de56b6f02ccb129f819c0c92722cc8827b2210125f3318113b090919b7f947f61d4cf010f524adcd58ada46869bfc54e1468f92c1bcf57536435265e202d02ab9e5c53845d644d5c3408b2b02097772f75323066f2e30360172508f5c71d8103029a63baaf48ce4dbebbf45a6ec2c5e875bd9e561a8c15788a38273542035d204d3fa0a77132baa8f72f1c30f982a63a18aed350391d3e660d7413712de95f6410d03d25eed6f015539aa437736728092fa2a05661fd79a7b0c19607aa0dbbc6fdd9d193f5b05c94a42ffc1beaa5363a9c2d48ae9bc61c3cef7a0cd8b3eaec9baaed60a786d239a2d0d54cf7d662c63092d205dec2970cbba993f3f1bfb05a68e5f02284b7bfaa1f759d851174ae385995b4ee87f4e0e9db248945353b526b7adbbc546455d8caf5e5ed69195b8f6199c4e6754b6de164f936a558ebc25772113eccf85ab07242c4aa0a4005d77d044671f5b74745320a4cd28e3d691bec7785088c6f3c17b6f57ef59765c6573fd81aa0f3d8e30cc2ce9c06c8422bdf932d84b3229a629001e09e55fedcf54d236912afd6cb8dfacde876fd46b9e4932088f2529a3894c362ec547af3e6fc2d1b3e5f76120fdd7dfd5245d4cffca4963235c507dee33d0467301c92e56924f539db8faa17f2fdadd838f71d51c0fc4d615804e4a0905916d1b52b1d6eb9a9df78300056425d2a9bb51003d8cd1f44c73f1aa8e59afa03c4bb9cec458dcd59cc389b331dcccd2488a512a96b6edaeb6056231d3f55cf6432a281a16df5271e7b3a22581a90db44c229fb568da921c42dab96b9618f1717a61be5196e75a1a87ad7cac4b3b2f57d575f4d786e45410441e62d71b935f782b034850de3ce7863b5869f7b6e6b47877a4bed7c424edccace3cf9b93b4eb737928b870a918fdabbfa2ab2530cfb1efdd8a61f7f3c72d5b17974c318be105e5a8d43bd679cba9c38d10cdbf19be44e311e9c5c67e7e63fbee546d7f89de11f96f4072b147e735d90", 0x3b1}, {&(0x7f0000002580)="f7f6ce8f97991a7c34f07f04b37ebadc1ba254bbec00ce1347ffd64dbd10b60ad34f3d5b2df03eef78aa8df9cca2648877b2ce93c3a30826e3f8b65f61d54b17", 0x40}, {&(0x7f0000000480)="d4ab486559a7ea26ac06b7eb94b1f47e8f25bb5c81cd8aa633482d2663fb50a849f59d6c4341a032ea36efff01e49fae40603746866ba67537a30871f63bf68f3a54126502be7a2548b7cb419c7313053ea78a3fc9e13bf1d79e857d334e82ce9da2a6873226d5cc1ac6789749dd33e5d7b3296b7a669ed1cc11fcc07d95d77f7e40366c075179fd55752c5c399f312567285fb7d7da34e436dfb741f6cd479690df218d41a62131555b509863ffea34465078157fa8f7fc344455627913172c25637afb983465d6abe82fb4e9d90c7c6b69c74fccad492a5431c7ead5e0", 0xde}], 0x6}}, {{0x0, 0x0, &(0x7f0000007e80)=[{0x0}], 0x1, &(0x7f0000007f80), 0x0, 0x800}}], 0x4, 0x1) r5 = dup3(r4, r3, 0x0) ioctl$FS_IOC_FSSETXATTR(r3, 0x401c5820, &(0x7f00000002c0)={0x20}) mmap(&(0x7f0000ffe000/0x1000)=nil, 0x1000, 0x0, 0x13, 0xffffffffffffffff, 0x0) write$cgroup_int(r3, &(0x7f0000000040), 0xfea0) r6 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f00000001c0)='cpuset.effective_cpus\x00', 0x275a, 0x0) write$cgroup_int(r6, &(0x7f0000000380), 0x101bf) ioctl$EXT4_IOC_ALLOC_DA_BLKS(r6, 0x660c) ioctl$EXT4_IOC_MOVE_EXT(r5, 0xc028660f, &(0x7f00000000c0)={0x0, r6, 0x0, 0x8}) ioctl$PIO_FONTRESET(0xffffffffffffffff, 0x4b6d, 0x0) ioctl$EXT4_IOC_MOVE_EXT(r6, 0xc028660f, &(0x7f0000000000)={0x2880008, r3}) 13:09:25 executing program 0: syz_mount_image$nilfs2(&(0x7f0000000040), &(0x7f0000000000)='./file2\x00', 0x2000000, &(0x7f00000000c0)=ANY=[@ANYRES8=0x0, @ANYRES8=0x0, @ANYRESOCT=0x0, @ANYRES32=0x0, @ANYRES16, @ANYBLOB="06afe6de5ec34f68f583177e6a3f", @ANYRES64], 0x11, 0xabe, &(0x7f00000001c0)="$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") r0 = open(&(0x7f0000000080)='./file2\x00', 0x1c7942, 0x0) openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000040)='blkio.throttle.io_service_bytes\x00', 0x275a, 0x0) write$cgroup_type(r0, &(0x7f0000000140), 0x9) 13:09:25 executing program 4: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f0000000000)=0x5) r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000000240)=0x1) socketpair$unix(0x1, 0x3, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) sched_setaffinity(r0, 0x8, &(0x7f00000002c0)=0x81) sendmmsg$unix(r2, &(0x7f0000001300), 0x0, 0x0) ioctl$LOOP_CTL_REMOVE(0xffffffffffffffff, 0x4c81, 0xc) r3 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x18, 0x3, &(0x7f00000000c0)=@framed, &(0x7f0000000080)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f00000000c0)={&(0x7f0000000080)='sched_switch\x00', r3}, 0x10) ppoll(&(0x7f00000000c0)=[{0xffffffffffffffff, 0x20c2}], 0x1, &(0x7f0000000180), &(0x7f00000001c0)={[0xfff]}, 0x8) r4 = openat$loop_ctrl(0xffffff9c, &(0x7f0000000040), 0x0, 0x0) ioctl$sock_SIOCGIFINDEX(0xffffffffffffffff, 0x8933, &(0x7f0000000280)={'ip6gre0\x00'}) r5 = socket$inet6(0xa, 0x2, 0x0) connect$inet6(r5, &(0x7f0000000240)={0xa, 0x4e1e, 0xffff, @local, 0x10000}, 0x1c) ioctl$sock_SIOCGIFINDEX(r5, 0x8933, &(0x7f0000000040)={'sit0\x00'}) bpf$MAP_CREATE(0x0, &(0x7f0000000300)=@bloom_filter={0x1e, 0xfff, 0x3, 0x61f, 0x2030, 0xffffffffffffffff, 0x5, '\x00', 0x0, 0xffffffffffffffff, 0x1, 0x5, 0x3}, 0x48) ioctl$LOOP_CTL_REMOVE(r4, 0x4c81, 0x0) ioctl$LOOP_CTL_ADD(r4, 0x4c80, 0x0) [ 455.822676][ T28] audit: type=1800 audit(1706879365.960:66): pid=7931 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.0" name="file2" dev="sda1" ino=1971 res=0 errno=0 [ 455.824800][ T7905] chnl_net:caif_netlink_parms(): no params data found [ 456.584655][ T8] libceph: connect (1)[c::]:6789 error -101 [ 456.591565][ T52] Bluetooth: hci5: command 0x0409 tx timeout 13:09:27 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f0000000000)=0x5) r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000000240)=0x1) socketpair$unix(0x1, 0x3, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) sched_setaffinity(r0, 0x8, &(0x7f00000002c0)=0x81) sendmmsg$unix(r2, &(0x7f0000001300), 0x0, 0x0) ioctl$LOOP_CTL_REMOVE(0xffffffffffffffff, 0x4c81, 0xc) r3 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x18, 0x3, &(0x7f00000000c0)=@framed, &(0x7f0000000080)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f00000000c0)={&(0x7f0000000080)='sched_switch\x00', r3}, 0x10) ppoll(&(0x7f00000000c0)=[{0xffffffffffffffff, 0x20c2}], 0x1, &(0x7f0000000180), &(0x7f00000001c0)={[0xfff]}, 0x8) r4 = openat$loop_ctrl(0xffffff9c, &(0x7f0000000040), 0x0, 0x0) ioctl$sock_SIOCGIFINDEX(0xffffffffffffffff, 0x8933, &(0x7f0000000280)={'ip6gre0\x00'}) r5 = socket$inet6(0xa, 0x2, 0x0) connect$inet6(r5, &(0x7f0000000240)={0xa, 0x4e1e, 0xffff, @local, 0x10000}, 0x1c) ioctl$sock_SIOCGIFINDEX(r5, 0x8933, &(0x7f0000000040)={'sit0\x00'}) bpf$MAP_CREATE(0x0, &(0x7f0000000300)=@bloom_filter={0x1e, 0xfff, 0x3, 0x61f, 0x2030, 0xffffffffffffffff, 0x5, '\x00', 0x0, 0xffffffffffffffff, 0x1, 0x5, 0x3}, 0x48) ioctl$LOOP_CTL_REMOVE(r4, 0x4c81, 0x0) ioctl$LOOP_CTL_ADD(r4, 0x4c80, 0x0) [ 456.838420][ T8] libceph: mon0 (1)[c::]:6789 connect error 13:09:27 executing program 1: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f0000000000)=0x5) r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000000240)=0x1) socketpair$unix(0x1, 0x3, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) sched_setaffinity(r0, 0x8, &(0x7f00000002c0)=0x81) sendmmsg$unix(r2, &(0x7f0000001300), 0x0, 0x0) ioctl$LOOP_CTL_REMOVE(0xffffffffffffffff, 0x4c81, 0xc) r3 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x18, 0x3, &(0x7f00000000c0)=@framed, &(0x7f0000000080)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f00000000c0)={&(0x7f0000000080)='sched_switch\x00', r3}, 0x10) ppoll(&(0x7f00000000c0)=[{0xffffffffffffffff, 0x20c2}], 0x1, &(0x7f0000000180), &(0x7f00000001c0)={[0xfff]}, 0x8) r4 = openat$loop_ctrl(0xffffff9c, &(0x7f0000000040), 0x0, 0x0) ioctl$sock_SIOCGIFINDEX(0xffffffffffffffff, 0x8933, &(0x7f0000000280)={'ip6gre0\x00'}) r5 = socket$inet6(0xa, 0x2, 0x0) connect$inet6(r5, &(0x7f0000000240)={0xa, 0x4e1e, 0xffff, @local, 0x10000}, 0x1c) ioctl$sock_SIOCGIFINDEX(r5, 0x8933, &(0x7f0000000040)={'sit0\x00'}) bpf$MAP_CREATE(0x0, &(0x7f0000000300)=@bloom_filter={0x1e, 0xfff, 0x3, 0x61f, 0x2030, 0xffffffffffffffff, 0x5, '\x00', 0x0, 0xffffffffffffffff, 0x1, 0x5, 0x3}, 0x48) ioctl$LOOP_CTL_REMOVE(r4, 0x4c81, 0x0) ioctl$LOOP_CTL_ADD(r4, 0x4c80, 0x0) [ 456.975655][ T7] libceph: connect (1)[c::]:6789 error -101 [ 457.012069][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 457.091068][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 457.102912][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 457.112607][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 457.120623][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 458.217157][ T24] libceph: connect (1)[c::]:6789 error -101 [ 458.224230][ T24] libceph: mon0 (1)[c::]:6789 connect error [ 458.540378][ T7] libceph: connect (1)[c::]:6789 error -101 [ 458.657180][ T52] Bluetooth: hci5: command 0x041b tx timeout [ 458.727183][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 459.030162][ T7905] bridge0: port 1(bridge_slave_0) entered blocking state [ 459.037266][ T7905] bridge0: port 1(bridge_slave_0) entered disabled state [ 459.066194][ T7905] bridge_slave_0: entered allmulticast mode [ 459.075049][ T7905] bridge_slave_0: entered promiscuous mode [ 459.095826][ T7905] bridge0: port 2(bridge_slave_1) entered blocking state [ 459.103771][ T7905] bridge0: port 2(bridge_slave_1) entered disabled state [ 459.111116][ T7905] bridge_slave_1: entered allmulticast mode [ 459.119187][ T7905] bridge_slave_1: entered promiscuous mode [ 459.147131][ T7905] bond0: (slave bond_slave_0): Enslaving as an active interface with an up link [ 459.158957][ T7905] bond0: (slave bond_slave_1): Enslaving as an active interface with an up link [ 459.189534][ T7905] team0: Port device team_slave_0 added [ 459.198227][ T7905] team0: Port device team_slave_1 added [ 459.223111][ T7905] batman_adv: batadv0: Adding interface: batadv_slave_0 [ 459.230429][ T7905] batman_adv: batadv0: The MTU of interface batadv_slave_0 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 459.256519][ T7905] batman_adv: batadv0: Not using interface batadv_slave_0 (retrying later): interface not active [ 459.268766][ T7905] batman_adv: batadv0: Adding interface: batadv_slave_1 [ 459.276237][ T7905] batman_adv: batadv0: The MTU of interface batadv_slave_1 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 459.302432][ T7905] batman_adv: batadv0: Not using interface batadv_slave_1 (retrying later): interface not active [ 459.343069][ T7905] hsr_slave_0: entered promiscuous mode [ 459.352375][ T7905] hsr_slave_1: entered promiscuous mode [ 459.359085][ T7905] debugfs: Directory 'hsr0' with parent 'hsr' already present! [ 459.366909][ T7905] Cannot create hsr debugfs directory [ 459.470447][ T7905] netdevsim netdevsim2 netdevsim3 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 [ 459.535438][ T24] libceph: connect (1)[c::]:6789 error -101 [ 459.538011][ T783] libceph: connect (1)[c::]:6789 error -101 [ 459.541550][ T24] libceph: mon0 (1)[c::]:6789 connect error [ 459.547345][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 459.601524][ T7905] netdevsim netdevsim2 netdevsim2 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 [ 459.677440][ T7905] netdevsim netdevsim2 netdevsim1 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 [ 459.759934][ T7905] netdevsim netdevsim2 netdevsim0 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 [ 459.876788][ T7905] netdevsim netdevsim2 netdevsim0: renamed from eth0 [ 459.889816][ T7905] netdevsim netdevsim2 netdevsim1: renamed from eth1 [ 459.899318][ T7905] netdevsim netdevsim2 netdevsim2: renamed from eth2 [ 459.908520][ T7905] netdevsim netdevsim2 netdevsim3: renamed from eth3 [ 459.976672][ T7905] 8021q: adding VLAN 0 to HW filter on device bond0 [ 460.001558][ T7905] 8021q: adding VLAN 0 to HW filter on device team0 [ 460.015286][ T24] bridge0: port 1(bridge_slave_0) entered blocking state [ 460.022482][ T24] bridge0: port 1(bridge_slave_0) entered forwarding state [ 460.037480][ T7] bridge0: port 2(bridge_slave_1) entered blocking state [ 460.044592][ T7] bridge0: port 2(bridge_slave_1) entered forwarding state [ 460.093241][ T7905] hsr0: Slave B (hsr_slave_1) is not up; please bring it up to get a fully working HSR network [ 460.138028][ T8] libceph: connect (1)[c::]:6789 error -101 [ 460.138028][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 460.138132][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 460.144033][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 460.240355][ T7905] 8021q: adding VLAN 0 to HW filter on device batadv0 [ 460.275566][ T7905] veth0_vlan: entered promiscuous mode [ 460.290919][ T7905] veth1_vlan: entered promiscuous mode [ 460.323518][ T7905] veth0_macvtap: entered promiscuous mode [ 460.333079][ T7905] veth1_macvtap: entered promiscuous mode [ 460.347045][ T7905] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 460.358156][ T7905] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 460.368476][ T7905] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 460.378989][ T7905] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 460.388853][ T7905] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 460.401715][ T7905] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 460.411810][ T7905] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 460.412776][ T7] libceph: connect (1)[c::]:6789 error -101 [ 460.427622][ T7905] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 460.429280][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 460.444688][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 460.450583][ T7905] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 460.450598][ T7905] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 460.452471][ T7905] batman_adv: batadv0: Interface activated: batadv_slave_0 [ 460.477182][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 460.481597][ T7905] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 460.495137][ T7905] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 460.505745][ T7905] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 460.520439][ T7905] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 460.531350][ T7905] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 460.541903][ T7905] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 460.552931][ T7905] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 460.563382][ T7905] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 460.573296][ T7905] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 460.583749][ T7905] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 460.595702][ T7905] batman_adv: batadv0: Interface activated: batadv_slave_1 [ 460.618727][ T7905] netdevsim netdevsim2 netdevsim0: set [1, 0] type 2 family 0 port 6081 - 0 [ 460.627425][ T7905] netdevsim netdevsim2 netdevsim1: set [1, 0] type 2 family 0 port 6081 - 0 [ 460.636284][ T7905] netdevsim netdevsim2 netdevsim2: set [1, 0] type 2 family 0 port 6081 - 0 [ 460.646349][ T7905] netdevsim netdevsim2 netdevsim3: set [1, 0] type 2 family 0 port 6081 - 0 [ 460.688391][ T52] Bluetooth: hci5: command 0x040f tx timeout [ 460.723516][ T34] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 460.735767][ T34] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 460.760830][ T365] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 460.769605][ T365] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 460.948144][ T8] libceph: connect (1)[c::]:6789 error -101 [ 460.954147][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 460.988203][ T24] libceph: connect (1)[c::]:6789 error -101 [ 460.994227][ T24] libceph: mon0 (1)[c::]:6789 connect error [ 462.128358][ T7] libceph: connect (1)[c::]:6789 error -101 [ 462.134336][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 462.144475][ T24] libceph: connect (1)[c::]:6789 error -101 [ 462.150774][ T24] libceph: mon0 (1)[c::]:6789 connect error [ 462.767735][ T52] Bluetooth: hci5: command 0x0419 tx timeout [ 463.088005][ T24] libceph: connect (1)[c::]:6789 error -101 [ 463.093982][ T24] libceph: mon0 (1)[c::]:6789 connect error [ 463.170174][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 463.176254][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 463.361198][ T24] libceph: connect (1)[c::]:6789 error -101 [ 463.368151][ T24] libceph: mon0 (1)[c::]:6789 connect error [ 463.437933][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 463.443911][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 463.888399][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 463.894446][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 463.958007][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 463.963988][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 465.088114][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 465.094122][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 465.168023][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 465.174000][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 466.129158][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 466.129270][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 466.136266][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 466.149241][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 466.409081][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 466.409961][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 466.415109][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 466.421068][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 466.939577][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 466.940109][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 466.945597][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 466.959580][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 468.134582][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 468.136267][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 468.146609][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 468.148054][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 469.104043][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 469.110125][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 469.175610][ T8] libceph: connect (1)[c::]:6789 error -101 [ 469.181924][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 469.369290][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 469.375273][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 469.447980][ T8] libceph: connect (1)[c::]:6789 error -101 [ 469.453958][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 469.894227][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 469.900303][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 469.973657][ T8] libceph: connect (1)[c::]:6789 error -101 [ 469.979711][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 471.092881][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 471.099557][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 471.167997][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 471.173970][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 472.129487][ T8] libceph: connect (1)[c::]:6789 error -101 [ 472.130102][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 472.135538][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 472.151190][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 472.408776][ T8] libceph: connect (1)[c::]:6789 error -101 [ 472.414767][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 472.418066][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 472.426998][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 472.937497][ T8] libceph: connect (1)[c::]:6789 error -101 [ 472.943590][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 472.948009][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 472.956054][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 474.130752][ T8] libceph: connect (1)[c::]:6789 error -101 [ 474.136738][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 474.138119][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 474.148954][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 475.092052][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 475.098383][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 475.168984][ T7] libceph: connect (1)[c::]:6789 error -101 [ 475.174993][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 475.358326][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 475.364315][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 475.438474][ T7] libceph: connect (1)[c::]:6789 error -101 [ 475.444464][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 475.880971][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 475.886953][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 475.957963][ T7] libceph: connect (1)[c::]:6789 error -101 [ 475.964668][ T7] libceph: mon0 (1)[c::]:6789 connect error 13:09:46 executing program 4: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f0000000000)=0x5) r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000000240)=0x1) socketpair$unix(0x1, 0x3, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) sched_setaffinity(r0, 0x8, &(0x7f00000002c0)=0x81) sendmmsg$unix(r2, &(0x7f0000001300), 0x0, 0x0) ioctl$LOOP_CTL_REMOVE(0xffffffffffffffff, 0x4c81, 0xc) r3 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x18, 0x3, &(0x7f00000000c0)=@framed, &(0x7f0000000080)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f00000000c0)={&(0x7f0000000080)='sched_switch\x00', r3}, 0x10) ppoll(&(0x7f00000000c0)=[{0xffffffffffffffff, 0x20c2}], 0x1, &(0x7f0000000180), &(0x7f00000001c0)={[0xfff]}, 0x8) r4 = openat$loop_ctrl(0xffffff9c, &(0x7f0000000040), 0x0, 0x0) ioctl$sock_SIOCGIFINDEX(0xffffffffffffffff, 0x8933, &(0x7f0000000280)={'ip6gre0\x00'}) r5 = socket$inet6(0xa, 0x2, 0x0) connect$inet6(r5, &(0x7f0000000240)={0xa, 0x4e1e, 0xffff, @local, 0x10000}, 0x1c) ioctl$sock_SIOCGIFINDEX(r5, 0x8933, &(0x7f0000000040)={'sit0\x00'}) bpf$MAP_CREATE(0x0, &(0x7f0000000300)=@bloom_filter={0x1e, 0xfff, 0x3, 0x61f, 0x2030, 0xffffffffffffffff, 0x5, '\x00', 0x0, 0xffffffffffffffff, 0x1, 0x5, 0x3}, 0x48) ioctl$LOOP_CTL_REMOVE(r4, 0x4c81, 0x0) ioctl$LOOP_CTL_ADD(r4, 0x4c80, 0x0) 13:09:46 executing program 3: r0 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r0, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r0, 0x6, 0x0, 0x0, 0x0) r1 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r1, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r1, 0x6, 0x0, 0x0, 0x0) 13:09:46 executing program 2: r0 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r0, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r0, 0x6, 0x0, 0x0, 0x0) r1 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r1, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r1, 0x6, 0x0, 0x0, 0x0) 13:09:46 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f0000000000)=0x5) r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000000240)=0x1) socketpair$unix(0x1, 0x3, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) sched_setaffinity(r0, 0x8, &(0x7f00000002c0)=0x81) sendmmsg$unix(r2, &(0x7f0000001300), 0x0, 0x0) ioctl$LOOP_CTL_REMOVE(0xffffffffffffffff, 0x4c81, 0xc) r3 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x18, 0x3, &(0x7f00000000c0)=@framed, &(0x7f0000000080)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f00000000c0)={&(0x7f0000000080)='sched_switch\x00', r3}, 0x10) ppoll(&(0x7f00000000c0)=[{0xffffffffffffffff, 0x20c2}], 0x1, &(0x7f0000000180), &(0x7f00000001c0)={[0xfff]}, 0x8) r4 = openat$loop_ctrl(0xffffff9c, &(0x7f0000000040), 0x0, 0x0) ioctl$sock_SIOCGIFINDEX(0xffffffffffffffff, 0x8933, &(0x7f0000000280)={'ip6gre0\x00'}) r5 = socket$inet6(0xa, 0x2, 0x0) connect$inet6(r5, &(0x7f0000000240)={0xa, 0x4e1e, 0xffff, @local, 0x10000}, 0x1c) ioctl$sock_SIOCGIFINDEX(r5, 0x8933, &(0x7f0000000040)={'sit0\x00'}) bpf$MAP_CREATE(0x0, &(0x7f0000000300)=@bloom_filter={0x1e, 0xfff, 0x3, 0x61f, 0x2030, 0xffffffffffffffff, 0x5, '\x00', 0x0, 0xffffffffffffffff, 0x1, 0x5, 0x3}, 0x48) ioctl$LOOP_CTL_REMOVE(r4, 0x4c81, 0x0) ioctl$LOOP_CTL_ADD(r4, 0x4c80, 0x0) 13:09:46 executing program 1: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f0000000000)=0x5) r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000000240)=0x1) socketpair$unix(0x1, 0x3, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) sched_setaffinity(r0, 0x8, &(0x7f00000002c0)=0x81) sendmmsg$unix(r2, &(0x7f0000001300), 0x0, 0x0) ioctl$LOOP_CTL_REMOVE(0xffffffffffffffff, 0x4c81, 0xc) r3 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x18, 0x3, &(0x7f00000000c0)=@framed, &(0x7f0000000080)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f00000000c0)={&(0x7f0000000080)='sched_switch\x00', r3}, 0x10) ppoll(&(0x7f00000000c0)=[{0xffffffffffffffff, 0x20c2}], 0x1, &(0x7f0000000180), &(0x7f00000001c0)={[0xfff]}, 0x8) r4 = openat$loop_ctrl(0xffffff9c, &(0x7f0000000040), 0x0, 0x0) ioctl$sock_SIOCGIFINDEX(0xffffffffffffffff, 0x8933, &(0x7f0000000280)={'ip6gre0\x00'}) r5 = socket$inet6(0xa, 0x2, 0x0) connect$inet6(r5, &(0x7f0000000240)={0xa, 0x4e1e, 0xffff, @local, 0x10000}, 0x1c) ioctl$sock_SIOCGIFINDEX(r5, 0x8933, &(0x7f0000000040)={'sit0\x00'}) bpf$MAP_CREATE(0x0, &(0x7f0000000300)=@bloom_filter={0x1e, 0xfff, 0x3, 0x61f, 0x2030, 0xffffffffffffffff, 0x5, '\x00', 0x0, 0xffffffffffffffff, 0x1, 0x5, 0x3}, 0x48) ioctl$LOOP_CTL_REMOVE(r4, 0x4c81, 0x0) ioctl$LOOP_CTL_ADD(r4, 0x4c80, 0x0) [ 476.623083][ T7] libceph: connect (1)[c::]:6789 error -101 [ 478.856656][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 479.048010][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 479.414404][ T7] libceph: connect (1)[c::]:6789 error -101 [ 479.550027][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 479.623485][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 479.637341][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 479.643412][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 479.678240][ T7973] ceph: No mds server is up or the cluster is laggy [ 479.718123][ T7962] ceph: No mds server is up or the cluster is laggy 13:09:49 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f0000000000)=0x5) r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000000240)=0x1) socketpair$unix(0x1, 0x3, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) sched_setaffinity(r0, 0x8, &(0x7f00000002c0)=0x81) sendmmsg$unix(r2, &(0x7f0000001300), 0x0, 0x0) ioctl$LOOP_CTL_REMOVE(0xffffffffffffffff, 0x4c81, 0xc) r3 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x18, 0x3, &(0x7f00000000c0)=@framed, &(0x7f0000000080)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f00000000c0)={&(0x7f0000000080)='sched_switch\x00', r3}, 0x10) ppoll(&(0x7f00000000c0)=[{0xffffffffffffffff, 0x20c2}], 0x1, &(0x7f0000000180), &(0x7f00000001c0)={[0xfff]}, 0x8) r4 = openat$loop_ctrl(0xffffff9c, &(0x7f0000000040), 0x0, 0x0) ioctl$sock_SIOCGIFINDEX(0xffffffffffffffff, 0x8933, &(0x7f0000000280)={'ip6gre0\x00'}) r5 = socket$inet6(0xa, 0x2, 0x0) connect$inet6(r5, &(0x7f0000000240)={0xa, 0x4e1e, 0xffff, @local, 0x10000}, 0x1c) ioctl$sock_SIOCGIFINDEX(r5, 0x8933, &(0x7f0000000040)={'sit0\x00'}) bpf$MAP_CREATE(0x0, &(0x7f0000000300)=@bloom_filter={0x1e, 0xfff, 0x3, 0x61f, 0x2030, 0xffffffffffffffff, 0x5, '\x00', 0x0, 0xffffffffffffffff, 0x1, 0x5, 0x3}, 0x48) ioctl$LOOP_CTL_REMOVE(r4, 0x4c81, 0x0) ioctl$LOOP_CTL_ADD(r4, 0x4c80, 0x0) [ 479.747901][ T8] libceph: connect (1)[c::]:6789 error -101 [ 479.767837][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 479.781630][ T8] libceph: connect (1)[c::]:6789 error -101 [ 479.797848][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 479.974344][ T7] libceph: connect (1)[c::]:6789 error -101 [ 480.120104][ T7] libceph: mon0 (1)[c::]:6789 connect error 13:09:50 executing program 1: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f0000000000)=0x5) r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000000240)=0x1) socketpair$unix(0x1, 0x3, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) sched_setaffinity(r0, 0x8, &(0x7f00000002c0)=0x81) sendmmsg$unix(r2, &(0x7f0000001300), 0x0, 0x0) ioctl$LOOP_CTL_REMOVE(0xffffffffffffffff, 0x4c81, 0xc) r3 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x18, 0x3, &(0x7f00000000c0)=@framed, &(0x7f0000000080)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f00000000c0)={&(0x7f0000000080)='sched_switch\x00', r3}, 0x10) ppoll(&(0x7f00000000c0)=[{0xffffffffffffffff, 0x20c2}], 0x1, &(0x7f0000000180), &(0x7f00000001c0)={[0xfff]}, 0x8) r4 = openat$loop_ctrl(0xffffff9c, &(0x7f0000000040), 0x0, 0x0) ioctl$sock_SIOCGIFINDEX(0xffffffffffffffff, 0x8933, &(0x7f0000000280)={'ip6gre0\x00'}) r5 = socket$inet6(0xa, 0x2, 0x0) connect$inet6(r5, &(0x7f0000000240)={0xa, 0x4e1e, 0xffff, @local, 0x10000}, 0x1c) ioctl$sock_SIOCGIFINDEX(r5, 0x8933, &(0x7f0000000040)={'sit0\x00'}) bpf$MAP_CREATE(0x0, &(0x7f0000000300)=@bloom_filter={0x1e, 0xfff, 0x3, 0x61f, 0x2030, 0xffffffffffffffff, 0x5, '\x00', 0x0, 0xffffffffffffffff, 0x1, 0x5, 0x3}, 0x48) ioctl$LOOP_CTL_REMOVE(r4, 0x4c81, 0x0) ioctl$LOOP_CTL_ADD(r4, 0x4c80, 0x0) 13:09:50 executing program 4: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f0000000000)=0x5) r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000000240)=0x1) socketpair$unix(0x1, 0x3, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) sched_setaffinity(r0, 0x8, &(0x7f00000002c0)=0x81) sendmmsg$unix(r2, &(0x7f0000001300), 0x0, 0x0) ioctl$LOOP_CTL_REMOVE(0xffffffffffffffff, 0x4c81, 0xc) r3 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x18, 0x3, &(0x7f00000000c0)=@framed, &(0x7f0000000080)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f00000000c0)={&(0x7f0000000080)='sched_switch\x00', r3}, 0x10) ppoll(&(0x7f00000000c0)=[{0xffffffffffffffff, 0x20c2}], 0x1, &(0x7f0000000180), &(0x7f00000001c0)={[0xfff]}, 0x8) r4 = openat$loop_ctrl(0xffffff9c, &(0x7f0000000040), 0x0, 0x0) ioctl$sock_SIOCGIFINDEX(0xffffffffffffffff, 0x8933, &(0x7f0000000280)={'ip6gre0\x00'}) r5 = socket$inet6(0xa, 0x2, 0x0) connect$inet6(r5, &(0x7f0000000240)={0xa, 0x4e1e, 0xffff, @local, 0x10000}, 0x1c) ioctl$sock_SIOCGIFINDEX(r5, 0x8933, &(0x7f0000000040)={'sit0\x00'}) bpf$MAP_CREATE(0x0, &(0x7f0000000300)=@bloom_filter={0x1e, 0xfff, 0x3, 0x61f, 0x2030, 0xffffffffffffffff, 0x5, '\x00', 0x0, 0xffffffffffffffff, 0x1, 0x5, 0x3}, 0x48) ioctl$LOOP_CTL_REMOVE(r4, 0x4c81, 0x0) ioctl$LOOP_CTL_ADD(r4, 0x4c80, 0x0) [ 480.305814][ T8] libceph: connect (1)[c::]:6789 error -101 [ 480.512632][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 480.555073][ T7] libceph: connect (1)[c::]:6789 error -101 13:09:50 executing program 0: syz_emit_ethernet(0x2a, &(0x7f0000000440)={@multicast, @remote, @void, {@ipv4={0x800, @icmp={{0x5, 0x4, 0x0, 0x0, 0x1c, 0x0, 0x0, 0x0, 0x1, 0x0, @loopback, @empty=0xe0000300}, @address_reply}}}}, 0x0) [ 480.697510][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 480.703644][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 480.717975][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 480.726363][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 480.732679][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 481.871723][ T5148] libceph: connect (1)[c::]:6789 error -101 13:09:52 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000a40), &(0x7f0000000000)='./file0\x00', 0x808, &(0x7f0000000080)=ANY=[], 0x1, 0xa07, &(0x7f0000000b00)="$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") r0 = openat2$dir(0xffffffffffffff9c, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000080), 0x18) ioctl$FITRIM(r0, 0x40086e8b, &(0x7f0000000040)) [ 482.066551][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 482.095018][ T8] libceph: connect (1)[c::]:6789 error -101 [ 482.235123][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 482.283115][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 482.298498][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 482.311825][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 482.403561][ T5148] libceph: mon0 (1)[c::]:6789 connect error 13:09:52 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) prlimit64(0x0, 0x0, &(0x7f00000000c0)={0x20, 0x200000200}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) getpid() r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) sched_setscheduler(r0, 0x0, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) openat$ttyS3(0xffffffffffffff9c, &(0x7f0000000180), 0x2, 0x0) r3 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r3) r4 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r4) r5 = socket$inet6_sctp(0xa, 0x1, 0x84) sendto$inet6(r5, &(0x7f0000847fff)='X', 0x34000, 0x0, &(0x7f000005ffe4)={0xa, 0x0, 0x0, @loopback={0xfec0ffff00000000}}, 0x1c) shutdown(r5, 0x1) 13:09:52 executing program 4: r0 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route(r0, &(0x7f0000000600)={0x0, 0x0, &(0x7f0000000000)={&(0x7f0000000040)=@newlink={0x48, 0x10, 0x581, 0x0, 0x0, {}, [@IFLA_LINKINFO={0x28, 0x12, 0x0, 0x1, @geneve={{0xb}, {0x18, 0x2, 0x0, 0x1, [@IFLA_GENEVE_REMOTE6={0x14, 0x7, @dev}]}}}]}, 0x48}}, 0x0) [ 482.505890][ T8001] loop1: detected capacity change from 0 to 2048 13:09:52 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) sendmsg$nl_generic(r0, &(0x7f0000000100)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x34, 0x28, 0x107, 0x0, 0x0, {0x3}, [@nested={0xc, 0x1, 0x0, 0x1, [@typed={0x8, 0x0, 0x0, 0x0, @pid}]}, @typed={0x14, 0x2, 0x0, 0x0, @ipv6=@loopback}]}, 0x34}}, 0x0) [ 482.612795][ T8006] NILFS (loop1): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds [ 482.728540][ T8010] netlink: 'syz-executor.4': attribute type 1 has an invalid length. [ 482.903546][ T8010] netlink: 16 bytes leftover after parsing attributes in process `syz-executor.4'. [ 483.090410][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 483.183048][ T5069] libceph: mon0 (1)[c::]:6789 connect error 13:09:53 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000a40), &(0x7f0000000000)='./file0\x00', 0x808, &(0x7f0000000080)=ANY=[], 0x1, 0xa07, &(0x7f0000000b00)="$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") r0 = openat2$dir(0xffffffffffffff9c, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000080), 0x18) ioctl$FITRIM(r0, 0x40086e8b, &(0x7f0000000040)) 13:09:53 executing program 4: syz_mount_image$nilfs2(&(0x7f0000000a40), &(0x7f0000000000)='./file0\x00', 0x808, &(0x7f0000000080)=ANY=[], 0x1, 0xa07, &(0x7f0000000b00)="$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") r0 = openat2$dir(0xffffffffffffff9c, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000080), 0x18) ioctl$FITRIM(r0, 0x40086e8b, &(0x7f0000000040)) 13:09:53 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) prlimit64(0x0, 0x0, &(0x7f00000000c0)={0x20, 0x200000200}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) getpid() r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) sched_setscheduler(r0, 0x0, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) openat$ttyS3(0xffffffffffffff9c, &(0x7f0000000180), 0x2, 0x0) r3 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r3) r4 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r4) r5 = socket$inet6_sctp(0xa, 0x1, 0x84) sendto$inet6(r5, &(0x7f0000847fff)='X', 0x34000, 0x0, &(0x7f000005ffe4)={0xa, 0x0, 0x0, @loopback={0xfec0ffff00000000}}, 0x1c) shutdown(r5, 0x1) [ 483.494681][ T8] libceph: connect (1)[c::]:6789 error -101 [ 483.500846][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 483.515263][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 483.521621][ T7] libceph: connect (1)[c::]:6789 error -101 [ 483.527710][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 483.534308][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 483.558104][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 483.564132][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 483.616827][ T8017] loop4: detected capacity change from 0 to 2048 [ 483.855794][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 484.079087][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 484.165737][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 484.300383][ T8024] NILFS (loop4): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds [ 484.522012][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 484.557739][ T8025] loop1: detected capacity change from 0 to 2048 13:09:54 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) prlimit64(0x0, 0x0, &(0x7f00000000c0)={0x20, 0x200000200}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) getpid() r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) sched_setscheduler(r0, 0x0, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) openat$ttyS3(0xffffffffffffff9c, &(0x7f0000000180), 0x2, 0x0) r3 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r3) r4 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r4) r5 = socket$inet6_sctp(0xa, 0x1, 0x84) sendto$inet6(r5, &(0x7f0000847fff)='X', 0x34000, 0x0, &(0x7f000005ffe4)={0xa, 0x0, 0x0, @loopback={0xfec0ffff00000000}}, 0x1c) shutdown(r5, 0x1) [ 484.578720][ T7] libceph: connect (1)[c::]:6789 error -101 [ 484.584751][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 484.609338][ T8] libceph: connect (1)[c::]:6789 error -101 13:09:54 executing program 4: syz_mount_image$nilfs2(&(0x7f0000000a40), &(0x7f0000000000)='./file0\x00', 0x808, &(0x7f0000000080)=ANY=[], 0x1, 0xa07, &(0x7f0000000b00)="$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") r0 = openat2$dir(0xffffffffffffff9c, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000080), 0x18) ioctl$FITRIM(r0, 0x40086e8b, &(0x7f0000000040)) [ 484.624634][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 484.669080][ T8029] NILFS (loop1): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds [ 484.911613][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 484.918162][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 485.157411][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 485.251815][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 485.283492][ T8] libceph: connect (1)[c::]:6789 error -101 13:09:55 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000a40), &(0x7f0000000000)='./file0\x00', 0x808, &(0x7f0000000080)=ANY=[], 0x1, 0xa07, &(0x7f0000000b00)="$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") r0 = openat2$dir(0xffffffffffffff9c, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000080), 0x18) ioctl$FITRIM(r0, 0x40086e8b, &(0x7f0000000040)) [ 485.410956][ T8] libceph: mon0 (1)[c::]:6789 connect error 13:09:55 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) prlimit64(0x0, 0x0, &(0x7f00000000c0)={0x20, 0x200000200}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) getpid() r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) sched_setscheduler(r0, 0x0, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) openat$ttyS3(0xffffffffffffff9c, &(0x7f0000000180), 0x2, 0x0) r3 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r3) r4 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r4) r5 = socket$inet6_sctp(0xa, 0x1, 0x84) sendto$inet6(r5, &(0x7f0000847fff)='X', 0x34000, 0x0, &(0x7f000005ffe4)={0xa, 0x0, 0x0, @loopback={0xfec0ffff00000000}}, 0x1c) shutdown(r5, 0x1) [ 485.619680][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 485.625733][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 485.648864][ T8] libceph: connect (1)[c::]:6789 error -101 [ 485.654897][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 485.750279][ T8036] loop4: detected capacity change from 0 to 2048 13:09:57 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) prlimit64(0x0, 0x0, &(0x7f00000000c0)={0x20, 0x200000200}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) getpid() r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) sched_setscheduler(r0, 0x0, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) openat$ttyS3(0xffffffffffffff9c, &(0x7f0000000180), 0x2, 0x0) r3 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r3) r4 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r4) r5 = socket$inet6_sctp(0xa, 0x1, 0x84) sendto$inet6(r5, &(0x7f0000847fff)='X', 0x34000, 0x0, &(0x7f000005ffe4)={0xa, 0x0, 0x0, @loopback={0xfec0ffff00000000}}, 0x1c) shutdown(r5, 0x1) [ 486.803610][ T8046] NILFS (loop4): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds [ 486.821701][ T8045] loop1: detected capacity change from 0 to 2048 [ 486.843610][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 486.868045][ T5145] libceph: mon0 (1)[c::]:6789 connect error 13:09:57 executing program 4: syz_mount_image$nilfs2(&(0x7f0000000a40), &(0x7f0000000000)='./file0\x00', 0x808, &(0x7f0000000080)=ANY=[], 0x1, 0xa07, &(0x7f0000000b00)="$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") r0 = openat2$dir(0xffffffffffffff9c, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000080), 0x18) ioctl$FITRIM(r0, 0x40086e8b, &(0x7f0000000040)) [ 486.923722][ T8049] NILFS (loop1): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 13:09:57 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000a40), &(0x7f0000000000)='./file0\x00', 0x808, &(0x7f0000000080)=ANY=[], 0x1, 0xa07, &(0x7f0000000b00)="$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") r0 = openat2$dir(0xffffffffffffff9c, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000080), 0x18) ioctl$FITRIM(r0, 0x40086e8b, &(0x7f0000000040)) [ 487.178118][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 487.184394][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 487.608389][ T5096] Bluetooth: hci6: unexpected cc 0x0c03 length: 249 > 1 [ 487.620796][ T5096] Bluetooth: hci6: unexpected cc 0x1003 length: 249 > 9 [ 487.633819][ T5096] Bluetooth: hci6: unexpected cc 0x1001 length: 249 > 9 [ 487.651439][ T5096] Bluetooth: hci6: unexpected cc 0x0c23 length: 249 > 4 [ 487.663149][ T5096] Bluetooth: hci6: unexpected cc 0x0c25 length: 249 > 3 [ 487.673659][ T5096] Bluetooth: hci6: unexpected cc 0x0c38 length: 249 > 2 [ 487.758774][ T8] libceph: connect (1)[c::]:6789 error -101 [ 487.764832][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 487.771197][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 487.777259][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 487.814415][ T8] libceph: connect (1)[c::]:6789 error -101 [ 487.850148][ T8058] loop4: detected capacity change from 0 to 2048 [ 487.857812][ T8] libceph: mon0 (1)[c::]:6789 connect error 13:09:58 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) prlimit64(0x0, 0x0, &(0x7f00000000c0)={0x20, 0x200000200}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) getpid() r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) sched_setscheduler(r0, 0x0, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) openat$ttyS3(0xffffffffffffff9c, &(0x7f0000000180), 0x2, 0x0) r3 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r3) r4 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r4) r5 = socket$inet6_sctp(0xa, 0x1, 0x84) sendto$inet6(r5, &(0x7f0000847fff)='X', 0x34000, 0x0, &(0x7f000005ffe4)={0xa, 0x0, 0x0, @loopback={0xfec0ffff00000000}}, 0x1c) shutdown(r5, 0x1) [ 487.858064][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 487.871824][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 487.926550][ T8] libceph: connect (1)[c::]:6789 error -101 [ 487.938041][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 487.949185][ T8061] NILFS (loop4): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds [ 488.023709][ T5143] libceph: mon0 (1)[c::]:6789 connect error 13:09:59 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) prlimit64(0x0, 0x0, &(0x7f00000000c0)={0x20, 0x200000200}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) getpid() r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) sched_setscheduler(r0, 0x0, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) openat$ttyS3(0xffffffffffffff9c, &(0x7f0000000180), 0x2, 0x0) r3 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r3) r4 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r4) r5 = socket$inet6_sctp(0xa, 0x1, 0x84) sendto$inet6(r5, &(0x7f0000847fff)='X', 0x34000, 0x0, &(0x7f000005ffe4)={0xa, 0x0, 0x0, @loopback={0xfec0ffff00000000}}, 0x1c) shutdown(r5, 0x1) 13:09:59 executing program 4: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) prlimit64(0x0, 0x0, &(0x7f00000000c0)={0x20, 0x200000200}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) getpid() r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) sched_setscheduler(r0, 0x0, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) openat$ttyS3(0xffffffffffffff9c, &(0x7f0000000180), 0x2, 0x0) r3 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r3) r4 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r4) r5 = socket$inet6_sctp(0xa, 0x1, 0x84) sendto$inet6(r5, &(0x7f0000847fff)='X', 0x34000, 0x0, &(0x7f000005ffe4)={0xa, 0x0, 0x0, @loopback={0xfec0ffff00000000}}, 0x1c) shutdown(r5, 0x1) [ 488.907744][ T7] libceph: connect (1)[c::]:6789 error -101 [ 488.913792][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 488.921918][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 488.991576][ T8069] loop1: detected capacity change from 0 to 2048 [ 489.149051][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 489.155234][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 489.712692][ T7] libceph: connect (1)[c::]:6789 error -101 [ 489.748490][ T5096] Bluetooth: hci6: command 0x0409 tx timeout [ 489.928932][ T8078] NILFS (loop1): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds [ 489.988839][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 490.011477][ T7] libceph: connect (1)[c::]:6789 error -101 13:10:00 executing program 0: prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = openat$hwrng(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) preadv(r0, &(0x7f0000001880)=[{&(0x7f0000001a80)=""/102400, 0x19000}], 0x1, 0x0, 0x0) write$6lowpan_enable(0xffffffffffffffff, 0x0, 0x0) r1 = openat$nullb(0xffffffffffffff9c, 0x0, 0x149802, 0x0) getpid() r2 = openat$nullb(0xffffffffffffff9c, &(0x7f0000000580), 0x642, 0x0) openat$hwrng(0xffffffffffffff9c, 0x0, 0x0, 0x0) read(0xffffffffffffffff, 0x0, 0x0) ioctl$AUTOFS_DEV_IOCTL_TIMEOUT(0xffffffffffffffff, 0xc018937a, &(0x7f0000000300)=ANY=[]) r3 = dup(r2) r4 = socket$alg(0x26, 0x5, 0x0) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f00000001c0)='./cgroup.cpu/syz1\x00', 0x1ff) bind$alg(r4, &(0x7f0000000280)={0x26, 'skcipher\x00', 0x0, 0x0, 'ecb(cipher_null)\x00'}, 0x58) r5 = accept4(r4, 0x0, 0x0, 0x0) dup(r5) recvfrom(r5, &(0x7f0000000080)=""/175, 0x59000, 0x3f00, 0x0, 0x10000000000000) sendfile(r5, r3, 0x0, 0x8a000) dup(r1) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x1000002, 0x28011, 0xffffffffffffffff, 0x0) socket$inet6_udplite(0xa, 0x2, 0x88) [ 490.068792][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 490.112619][ T7] libceph: connect (1)[c::]:6789 error -101 [ 490.138079][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 490.143744][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 490.144081][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 490.373442][ T8055] chnl_net:caif_netlink_parms(): no params data found 13:10:00 executing program 1: mprotect(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000004) shmget(0x3, 0x4000, 0x2, &(0x7f0000ffc000/0x4000)=nil) r0 = msgget(0x3, 0x20c) mlock(&(0x7f0000ffb000/0x2000)=nil, 0x2000) r1 = msgget(0x3, 0x33d) madvise(&(0x7f0000ffb000/0x2000)=nil, 0x2000, 0x65) pipe(&(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$EXT4_IOC_MOVE_EXT(r3, 0x5451, 0x0) openat$cgroup_type(r3, &(0x7f0000000040), 0x2, 0x0) mlock(&(0x7f0000ffb000/0x1000)=nil, 0x1000) shmctl$SHM_LOCK(r1, 0xb) msgsnd(r1, &(0x7f0000000280)=ANY=[@ANYRESOCT=r0], 0x7a, 0x0) msgrcv(r1, &(0x7f0000000180)=ANY=[@ANYBLOB="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000500"/205], 0xcd, 0x2, 0x2800) shmctl$IPC_RMID(r1, 0x0) r4 = msgget$private(0x0, 0x0) shmctl$SHM_UNLOCK(r1, 0xc) msgsnd(r4, &(0x7f00000003c0)=ANY=[@ANYBLOB="0200000001040000"], 0x8, 0x0) r5 = shmget$private(0x0, 0x1000, 0x54000000, &(0x7f0000fff000/0x1000)=nil) shmctl$SHM_LOCK(r5, 0xb) msgrcv(r4, &(0x7f0000003580)=ANY=[@ANYBLOB="00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000fdffffffffffffff00"/102408], 0x0, 0x0, 0x3000) msgrcv(r4, &(0x7f0000000400)=ANY=[@ANYRESOCT=r0], 0x90, 0x1, 0x0) madvise(&(0x7f0000ffd000/0x1000)=nil, 0x1000, 0x3) msgrcv(0x0, &(0x7f0000000100)={0x0, ""/59}, 0x43, 0x2, 0x1800) shmctl$SHM_UNLOCK(0x0, 0xc) mlock(&(0x7f0000ffe000/0x2000)=nil, 0x2000) msgget(0x3, 0x1c2) shmctl$SHM_STAT(0xffffffffffffffff, 0xd, &(0x7f00000002c0)=""/216) msgctl$IPC_STAT(r1, 0x2, &(0x7f0000000000)=""/4) msgrcv(0x0, &(0x7f0000000540)=ANY=[@ANYBLOB="0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000095ab5a00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000067c562daff5fb1ce715ad85b7150e100"/210], 0xc9, 0x0, 0x2000) fcntl$addseals(r2, 0x409, 0x5) [ 490.821969][ T5145] libceph: connect (1)[c::]:6789 error -101 13:10:01 executing program 4: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) prlimit64(0x0, 0x0, &(0x7f00000000c0)={0x20, 0x200000200}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) getpid() r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) sched_setscheduler(r0, 0x0, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) openat$ttyS3(0xffffffffffffff9c, &(0x7f0000000180), 0x2, 0x0) r3 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r3) r4 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r4) r5 = socket$inet6_sctp(0xa, 0x1, 0x84) sendto$inet6(r5, &(0x7f0000847fff)='X', 0x34000, 0x0, &(0x7f000005ffe4)={0xa, 0x0, 0x0, @loopback={0xfec0ffff00000000}}, 0x1c) shutdown(r5, 0x1) [ 491.122591][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 491.170162][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 491.193200][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 491.205787][ T8] libceph: connect (1)[c::]:6789 error -101 [ 491.648473][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 491.655136][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 491.807839][ T5096] Bluetooth: hci6: command 0x041b tx timeout 13:10:02 executing program 4: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) prlimit64(0x0, 0x0, &(0x7f00000000c0)={0x20, 0x200000200}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) getpid() r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) sched_setscheduler(r0, 0x0, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) openat$ttyS3(0xffffffffffffff9c, &(0x7f0000000180), 0x2, 0x0) r3 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r3) r4 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) ptrace(0x10, r4) r5 = socket$inet6_sctp(0xa, 0x1, 0x84) sendto$inet6(r5, &(0x7f0000847fff)='X', 0x34000, 0x0, &(0x7f000005ffe4)={0xa, 0x0, 0x0, @loopback={0xfec0ffff00000000}}, 0x1c) shutdown(r5, 0x1) [ 492.107281][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 492.115723][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 492.139939][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 492.203495][ T8055] bridge0: port 1(bridge_slave_0) entered blocking state [ 492.213896][ T8055] bridge0: port 1(bridge_slave_0) entered disabled state [ 492.221748][ T8055] bridge_slave_0: entered allmulticast mode [ 492.229487][ T8055] bridge_slave_0: entered promiscuous mode [ 492.242038][ T8055] bridge0: port 2(bridge_slave_1) entered blocking state [ 492.250956][ T8055] bridge0: port 2(bridge_slave_1) entered disabled state [ 492.259635][ T8055] bridge_slave_1: entered allmulticast mode [ 492.288583][ T8055] bridge_slave_1: entered promiscuous mode [ 492.962981][ T7] libceph: connect (1)[c::]:6789 error -101 [ 492.991328][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 493.176617][ T7] libceph: connect (1)[c::]:6789 error -101 [ 493.190221][ T7] libceph: mon0 (1)[c::]:6789 connect error 13:10:03 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x1, 0xe, &(0x7f0000001440)=ANY=[@ANYBLOB="b702000000000000bfa30000000000000703000000feffff7a0af0fff8ffff1971a4f0ff00000000b7060000080000001e6400000000000045040400010000001704000001000a00b7040000000100006a0af2fe00000000850000002e000000b7000000000000009500000000000000455781a5feee5e1ce784909b849d5550adf200000000000000b61d69f2ffdaa10350e11cb97c8ad51bcda0c4ee6d9674c77404ceb9971e43405d621ffbc9a4fd39b0631f6dde53a9a53608c10556e5734eb84049761471ce540c772e2d9f8004e26f7fcc059c062234d5595f6dba87b81d1106fb0289ce67a66afd9ac3d09e29a9d542ca9d85a5c9c88474895d679838def0a83a733dc6a39b63a5ed69d32394c53361d7480884bd8048a967d9b912ef9f1dcc4ff8546fee53f5b2e7b91c61ced1ebad000000000000e8122a793c080a882add4e1179bd4a44f231b6d753a7be428ba953df4aece69311687f4122073a236c3ad198e3f3a532efa04137d452ff47d2638da3261c8362bb7c7824be6195a66d2e17e122040e11e3bd4a69fc6e8d9f7043e09b9e10dc7777bfae5884e4ba1e9cc4a2bbe99e30816127f46a1aae33d4d63d716c0975e1ce4a655362e7062ff6ab3934555c0184021b829472adefa06d3482c7b2711b98eabdca89b77efd13e6dba4a431ce47910000118093b6cabaa17a57727474e1785ee234835088445aa4a9b677d3d342640e328504aea02a2d727e62b7f097a02dbf8fe1d704765de7482040b2fc3000000000000000008947baeaaf954aff687deaa2f80492461d273ee26d8115cbca081a14cba24788779291745083fccdddc90d7af35c528d46362ea0d8d79c79ddca066da478c197d4a550470557bc99cca336bd88cd28a5ee651627e3a6fbf6ea53b95ddb64c69c7d8d2f4baddc239828760459564124bad68209d2a1d16ad085886c017679cfcda8b1e152ac1e2bcc5ede5b5687aa418abfa29acd7339e73b2cd185beeb5fb34fccd20ffa155b16c0c309ed6f6663677df37de0ec0d0f548b273940be5d1fe0bae14d1a76bf741330dacd9cc19c0163bcc93059e8d2d1bfa928e2ba458ecd989cb3581a3f270ad48255ac0dad4923e3e36629589ff6b0ceb343"], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, &(0x7f0000000000), 0x0, 0x10, &(0x7f0000000340), 0xfffffffffffffe19}, 0x42) setsockopt$sock_attach_bpf(r0, 0x1, 0x32, &(0x7f0000000200)=r1, 0x4) sendmsg$BATADV_CMD_TP_METER(r0, &(0x7f0000000300)={0x0, 0x0, &(0x7f00000002c0)={&(0x7f0000000280)={0x14, 0x0, 0x4}, 0x14}}, 0x0) 13:10:03 executing program 1: mprotect(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000004) shmget(0x3, 0x4000, 0x2, &(0x7f0000ffc000/0x4000)=nil) r0 = msgget(0x3, 0x20c) mlock(&(0x7f0000ffb000/0x2000)=nil, 0x2000) r1 = msgget(0x3, 0x33d) madvise(&(0x7f0000ffb000/0x2000)=nil, 0x2000, 0x65) pipe(&(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$EXT4_IOC_MOVE_EXT(r3, 0x5451, 0x0) openat$cgroup_type(r3, &(0x7f0000000040), 0x2, 0x0) mlock(&(0x7f0000ffb000/0x1000)=nil, 0x1000) shmctl$SHM_LOCK(r1, 0xb) msgsnd(r1, &(0x7f0000000280)=ANY=[@ANYRESOCT=r0], 0x7a, 0x0) msgrcv(r1, &(0x7f0000000180)=ANY=[@ANYBLOB="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000500"/205], 0xcd, 0x2, 0x2800) shmctl$IPC_RMID(r1, 0x0) r4 = msgget$private(0x0, 0x0) shmctl$SHM_UNLOCK(r1, 0xc) msgsnd(r4, &(0x7f00000003c0)=ANY=[@ANYBLOB="0200000001040000"], 0x8, 0x0) r5 = shmget$private(0x0, 0x1000, 0x54000000, &(0x7f0000fff000/0x1000)=nil) shmctl$SHM_LOCK(r5, 0xb) msgrcv(r4, &(0x7f0000003580)=ANY=[@ANYBLOB="00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000fdffffffffffffff00"/102408], 0x0, 0x0, 0x3000) msgrcv(r4, &(0x7f0000000400)=ANY=[@ANYRESOCT=r0], 0x90, 0x1, 0x0) madvise(&(0x7f0000ffd000/0x1000)=nil, 0x1000, 0x3) msgrcv(0x0, &(0x7f0000000100)={0x0, ""/59}, 0x43, 0x2, 0x1800) shmctl$SHM_UNLOCK(0x0, 0xc) mlock(&(0x7f0000ffe000/0x2000)=nil, 0x2000) msgget(0x3, 0x1c2) shmctl$SHM_STAT(0xffffffffffffffff, 0xd, &(0x7f00000002c0)=""/216) msgctl$IPC_STAT(r1, 0x2, &(0x7f0000000000)=""/4) msgrcv(0x0, &(0x7f0000000540)=ANY=[@ANYBLOB="0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000095ab5a00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000067c562daff5fb1ce715ad85b7150e100"/210], 0xc9, 0x0, 0x2000) fcntl$addseals(r2, 0x409, 0x5) [ 493.278461][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 493.284434][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 493.291816][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 493.297864][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 493.319010][ T7] libceph: connect (1)[c::]:6789 error -101 13:10:03 executing program 0: prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = openat$hwrng(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) preadv(r0, &(0x7f0000001880)=[{&(0x7f0000001a80)=""/102400, 0x19000}], 0x1, 0x0, 0x0) write$6lowpan_enable(0xffffffffffffffff, 0x0, 0x0) r1 = openat$nullb(0xffffffffffffff9c, 0x0, 0x149802, 0x0) getpid() r2 = openat$nullb(0xffffffffffffff9c, &(0x7f0000000580), 0x642, 0x0) openat$hwrng(0xffffffffffffff9c, 0x0, 0x0, 0x0) read(0xffffffffffffffff, 0x0, 0x0) ioctl$AUTOFS_DEV_IOCTL_TIMEOUT(0xffffffffffffffff, 0xc018937a, &(0x7f0000000300)=ANY=[]) r3 = dup(r2) r4 = socket$alg(0x26, 0x5, 0x0) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f00000001c0)='./cgroup.cpu/syz1\x00', 0x1ff) bind$alg(r4, &(0x7f0000000280)={0x26, 'skcipher\x00', 0x0, 0x0, 'ecb(cipher_null)\x00'}, 0x58) r5 = accept4(r4, 0x0, 0x0, 0x0) dup(r5) recvfrom(r5, &(0x7f0000000080)=""/175, 0x59000, 0x3f00, 0x0, 0x10000000000000) sendfile(r5, r3, 0x0, 0x8a000) dup(r1) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x1000002, 0x28011, 0xffffffffffffffff, 0x0) socket$inet6_udplite(0xa, 0x2, 0x88) [ 493.354706][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 493.442472][ T8055] bond0: (slave bond_slave_0): Enslaving as an active interface with an up link 13:10:03 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x1, 0xe, &(0x7f0000001440)=ANY=[@ANYBLOB="b702000000000000bfa30000000000000703000000feffff7a0af0fff8ffff1971a4f0ff00000000b7060000080000001e6400000000000045040400010000001704000001000a00b7040000000100006a0af2fe00000000850000002e000000b7000000000000009500000000000000455781a5feee5e1ce784909b849d5550adf200000000000000b61d69f2ffdaa10350e11cb97c8ad51bcda0c4ee6d9674c77404ceb9971e43405d621ffbc9a4fd39b0631f6dde53a9a53608c10556e5734eb84049761471ce540c772e2d9f8004e26f7fcc059c062234d5595f6dba87b81d1106fb0289ce67a66afd9ac3d09e29a9d542ca9d85a5c9c88474895d679838def0a83a733dc6a39b63a5ed69d32394c53361d7480884bd8048a967d9b912ef9f1dcc4ff8546fee53f5b2e7b91c61ced1ebad000000000000e8122a793c080a882add4e1179bd4a44f231b6d753a7be428ba953df4aece69311687f4122073a236c3ad198e3f3a532efa04137d452ff47d2638da3261c8362bb7c7824be6195a66d2e17e122040e11e3bd4a69fc6e8d9f7043e09b9e10dc7777bfae5884e4ba1e9cc4a2bbe99e30816127f46a1aae33d4d63d716c0975e1ce4a655362e7062ff6ab3934555c0184021b829472adefa06d3482c7b2711b98eabdca89b77efd13e6dba4a431ce47910000118093b6cabaa17a57727474e1785ee234835088445aa4a9b677d3d342640e328504aea02a2d727e62b7f097a02dbf8fe1d704765de7482040b2fc3000000000000000008947baeaaf954aff687deaa2f80492461d273ee26d8115cbca081a14cba24788779291745083fccdddc90d7af35c528d46362ea0d8d79c79ddca066da478c197d4a550470557bc99cca336bd88cd28a5ee651627e3a6fbf6ea53b95ddb64c69c7d8d2f4baddc239828760459564124bad68209d2a1d16ad085886c017679cfcda8b1e152ac1e2bcc5ede5b5687aa418abfa29acd7339e73b2cd185beeb5fb34fccd20ffa155b16c0c309ed6f6663677df37de0ec0d0f548b273940be5d1fe0bae14d1a76bf741330dacd9cc19c0163bcc93059e8d2d1bfa928e2ba458ecd989cb3581a3f270ad48255ac0dad4923e3e36629589ff6b0ceb343"], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, &(0x7f0000000000), 0x0, 0x10, &(0x7f0000000340), 0xfffffffffffffe19}, 0x42) setsockopt$sock_attach_bpf(r0, 0x1, 0x32, &(0x7f0000000200)=r1, 0x4) sendmsg$BATADV_CMD_TP_METER(r0, &(0x7f0000000300)={0x0, 0x0, &(0x7f00000002c0)={&(0x7f0000000280)={0x14, 0x0, 0x4}, 0x14}}, 0x0) [ 493.482985][ T8055] bond0: (slave bond_slave_1): Enslaving as an active interface with an up link [ 493.558029][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 493.565502][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 493.586848][ T8055] team0: Port device team_slave_0 added [ 493.598744][ T8055] team0: Port device team_slave_1 added [ 493.679077][ T8055] batman_adv: batadv0: Adding interface: batadv_slave_0 [ 493.686165][ T8055] batman_adv: batadv0: The MTU of interface batadv_slave_0 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 493.713021][ T8055] batman_adv: batadv0: Not using interface batadv_slave_0 (retrying later): interface not active [ 493.732774][ T8055] batman_adv: batadv0: Adding interface: batadv_slave_1 [ 493.740329][ T8055] batman_adv: batadv0: The MTU of interface batadv_slave_1 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 493.767790][ T8055] batman_adv: batadv0: Not using interface batadv_slave_1 (retrying later): interface not active [ 493.813708][ T8055] hsr_slave_0: entered promiscuous mode [ 493.820140][ T8055] hsr_slave_1: entered promiscuous mode [ 493.826195][ T8055] debugfs: Directory 'hsr0' with parent 'hsr' already present! [ 493.834232][ T8055] Cannot create hsr debugfs directory [ 493.887838][ T5096] Bluetooth: hci6: command 0x040f tx timeout [ 493.899304][ T8] libceph: connect (1)[c::]:6789 error -101 [ 493.905328][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 493.913034][ T7] libceph: connect (1)[c::]:6789 error -101 [ 493.919308][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 493.925733][ T7] libceph: connect (1)[c::]:6789 error -101 [ 493.932242][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 493.973333][ T8055] netdevsim netdevsim3 netdevsim3 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 [ 494.059519][ T8055] netdevsim netdevsim3 netdevsim2 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 [ 494.088089][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 494.094112][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 494.128431][ T7] libceph: connect (1)[c::]:6789 error -101 [ 494.134539][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 494.141029][ T8] libceph: connect (1)[c::]:6789 error -101 [ 494.147355][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 494.148114][ T8055] netdevsim netdevsim3 netdevsim1 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 [ 494.153686][ T7] libceph: connect (1)[c::]:6789 error -101 [ 494.186442][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 494.246500][ T8055] netdevsim netdevsim3 netdevsim0 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 [ 494.424701][ T8055] netdevsim netdevsim3 netdevsim0: renamed from eth0 [ 494.431761][ T7] libceph: connect (1)[c::]:6789 error -101 [ 494.437922][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 494.444198][ T7] libceph: connect (1)[c::]:6789 error -101 [ 494.453867][ T8055] netdevsim netdevsim3 netdevsim1: renamed from eth1 [ 494.458125][ T783] libceph: connect (1)[c::]:6789 error -101 [ 494.466566][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 494.473473][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 494.479319][ T8055] netdevsim netdevsim3 netdevsim2: renamed from eth2 [ 494.490462][ T8055] netdevsim netdevsim3 netdevsim3: renamed from eth3 [ 494.563180][ T8055] 8021q: adding VLAN 0 to HW filter on device bond0 [ 494.584243][ T8055] 8021q: adding VLAN 0 to HW filter on device team0 [ 494.596316][ T5069] bridge0: port 1(bridge_slave_0) entered blocking state [ 494.603473][ T5069] bridge0: port 1(bridge_slave_0) entered forwarding state [ 494.619866][ T783] bridge0: port 2(bridge_slave_1) entered blocking state [ 494.626956][ T783] bridge0: port 2(bridge_slave_1) entered forwarding state [ 494.674906][ T8055] hsr0: Slave B (hsr_slave_1) is not up; please bring it up to get a fully working HSR network [ 494.793051][ T8055] 8021q: adding VLAN 0 to HW filter on device batadv0 [ 494.838294][ T8055] veth0_vlan: entered promiscuous mode [ 494.850258][ T8055] veth1_vlan: entered promiscuous mode [ 494.876398][ T8055] veth0_macvtap: entered promiscuous mode [ 494.891837][ T8055] veth1_macvtap: entered promiscuous mode [ 494.909102][ T8055] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 494.920389][ T8055] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 494.934547][ T8055] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 494.945214][ T8055] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 494.955158][ T8055] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 494.958152][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 494.969831][ T8055] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 494.978986][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 494.984296][ T8055] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 494.997618][ T8055] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 495.005469][ T7] libceph: connect (1)[c::]:6789 error -101 [ 495.007405][ T8055] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 495.015558][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 495.031805][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 495.038057][ T8055] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 495.038303][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 495.054730][ T8055] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 495.065803][ T8055] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 495.078756][ T8055] batman_adv: batadv0: Interface activated: batadv_slave_0 [ 495.088640][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 495.094630][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 495.107575][ T8055] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 495.119957][ T8055] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 495.130223][ T8055] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 495.141796][ T8055] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 495.152439][ T8055] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 495.163128][ T8055] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 495.173099][ T8055] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 495.183838][ T8055] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 495.197242][ T8055] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 495.207984][ T8055] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 495.218963][ T8055] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 495.230093][ T8055] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 495.241217][ T8055] batman_adv: batadv0: Interface activated: batadv_slave_1 [ 495.254728][ T8055] netdevsim netdevsim3 netdevsim0: set [1, 0] type 2 family 0 port 6081 - 0 [ 495.263877][ T8055] netdevsim netdevsim3 netdevsim1: set [1, 0] type 2 family 0 port 6081 - 0 [ 495.273277][ T8055] netdevsim netdevsim3 netdevsim2: set [1, 0] type 2 family 0 port 6081 - 0 [ 495.287706][ T8055] netdevsim netdevsim3 netdevsim3: set [1, 0] type 2 family 0 port 6081 - 0 [ 495.366782][ T10] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 495.375601][ T10] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 495.402653][ T6848] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 495.415056][ T6848] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 495.967936][ T5096] Bluetooth: hci6: command 0x0419 tx timeout [ 496.129003][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 496.133773][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 496.135757][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 496.136135][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 496.136229][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 496.136559][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 496.162681][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 496.174072][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 496.439219][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 496.446150][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 496.969281][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 496.975354][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 497.169383][ T7] libceph: connect (1)[c::]:6789 error -101 [ 497.175438][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 497.181764][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 497.187921][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 497.194035][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 497.200357][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 497.458237][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 497.464288][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 497.471312][ T783] libceph: connect (1)[c::]:6789 error -101 [ 497.477287][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 497.484040][ T7] libceph: connect (1)[c::]:6789 error -101 [ 497.490454][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 497.997974][ T7] libceph: connect (1)[c::]:6789 error -101 [ 498.003951][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 498.010467][ T783] libceph: connect (1)[c::]:6789 error -101 [ 498.016479][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 498.022906][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 498.029046][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 498.128256][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 498.134293][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 499.088367][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 499.094414][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 499.175497][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 499.181611][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 499.188100][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 499.194082][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 499.200531][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 499.207255][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 499.368245][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 499.374301][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 499.888120][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 499.894125][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 500.129435][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 500.135505][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 500.141983][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 500.148094][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 500.154294][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 500.161148][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 500.418022][ T8] libceph: connect (1)[c::]:6789 error -101 [ 500.424017][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 500.430652][ T7] libceph: connect (1)[c::]:6789 error -101 [ 500.437372][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 500.444118][ T8] libceph: connect (1)[c::]:6789 error -101 [ 500.451761][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 500.969882][ T8] libceph: connect (1)[c::]:6789 error -101 [ 500.975875][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 500.982239][ T7] libceph: connect (1)[c::]:6789 error -101 [ 500.988412][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 500.994670][ T7] libceph: connect (1)[c::]:6789 error -101 [ 501.000792][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 501.097987][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 501.103968][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 501.731448][ T1234] ieee802154 phy0 wpan0: encryption failed: -22 [ 501.746906][ T1234] ieee802154 phy1 wpan1: encryption failed: -22 [ 502.129230][ T7] libceph: connect (1)[c::]:6789 error -101 [ 502.135208][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 502.139195][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 502.147138][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 502.147422][ T783] libceph: connect (1)[c::]:6789 error -101 [ 502.159451][ T8] libceph: connect (1)[c::]:6789 error -101 [ 502.165456][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 502.171540][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 502.408269][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 502.414266][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 502.929871][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 502.935865][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 503.169968][ T8] libceph: connect (1)[c::]:6789 error -101 [ 503.175948][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 503.182317][ T7] libceph: connect (1)[c::]:6789 error -101 [ 503.188397][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 503.194501][ T7] libceph: connect (1)[c::]:6789 error -101 [ 503.201537][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 503.458488][ T7] libceph: connect (1)[c::]:6789 error -101 [ 503.464477][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 503.470991][ T8] libceph: connect (1)[c::]:6789 error -101 [ 503.477010][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 503.483202][ T7] libceph: connect (1)[c::]:6789 error -101 [ 503.489527][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 504.004086][ T7] libceph: connect (1)[c::]:6789 error -101 [ 504.010154][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 504.016260][ T7] libceph: connect (1)[c::]:6789 error -101 [ 504.023425][ T8] libceph: connect (1)[c::]:6789 error -101 [ 504.029516][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 504.035470][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 504.128509][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 504.134573][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 505.090086][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 505.096074][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 505.169693][ T7] libceph: connect (1)[c::]:6789 error -101 [ 505.175736][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 505.182119][ T8] libceph: connect (1)[c::]:6789 error -101 [ 505.188194][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 505.194446][ T8] libceph: connect (1)[c::]:6789 error -101 [ 505.200636][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 505.364132][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 505.370176][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 505.879396][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 505.885394][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 506.128728][ T8] libceph: connect (1)[c::]:6789 error -101 [ 506.134705][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 506.141150][ T7] libceph: connect (1)[c::]:6789 error -101 [ 506.147127][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 506.153477][ T783] libceph: connect (1)[c::]:6789 error -101 [ 506.159698][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 506.422789][ T783] libceph: connect (1)[c::]:6789 error -101 [ 506.428911][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 506.435030][ T783] libceph: connect (1)[c::]:6789 error -101 [ 506.441574][ T7] libceph: connect (1)[c::]:6789 error -101 [ 506.447756][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 506.453686][ T7] libceph: mon0 (1)[c::]:6789 connect error 13:10:16 executing program 2: r0 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r0, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r0, 0x6, 0x0, 0x0, 0x0) r1 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r1, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r1, 0x6, 0x0, 0x0, 0x0) 13:10:16 executing program 3: r0 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r0, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r0, 0x6, 0x0, 0x0, 0x0) r1 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r1, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r1, 0x6, 0x0, 0x0, 0x0) 13:10:16 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x1, 0xe, &(0x7f0000001440)=ANY=[@ANYBLOB="b702000000000000bfa30000000000000703000000feffff7a0af0fff8ffff1971a4f0ff00000000b7060000080000001e6400000000000045040400010000001704000001000a00b7040000000100006a0af2fe00000000850000002e000000b7000000000000009500000000000000455781a5feee5e1ce784909b849d5550adf200000000000000b61d69f2ffdaa10350e11cb97c8ad51bcda0c4ee6d9674c77404ceb9971e43405d621ffbc9a4fd39b0631f6dde53a9a53608c10556e5734eb84049761471ce540c772e2d9f8004e26f7fcc059c062234d5595f6dba87b81d1106fb0289ce67a66afd9ac3d09e29a9d542ca9d85a5c9c88474895d679838def0a83a733dc6a39b63a5ed69d32394c53361d7480884bd8048a967d9b912ef9f1dcc4ff8546fee53f5b2e7b91c61ced1ebad000000000000e8122a793c080a882add4e1179bd4a44f231b6d753a7be428ba953df4aece69311687f4122073a236c3ad198e3f3a532efa04137d452ff47d2638da3261c8362bb7c7824be6195a66d2e17e122040e11e3bd4a69fc6e8d9f7043e09b9e10dc7777bfae5884e4ba1e9cc4a2bbe99e30816127f46a1aae33d4d63d716c0975e1ce4a655362e7062ff6ab3934555c0184021b829472adefa06d3482c7b2711b98eabdca89b77efd13e6dba4a431ce47910000118093b6cabaa17a57727474e1785ee234835088445aa4a9b677d3d342640e328504aea02a2d727e62b7f097a02dbf8fe1d704765de7482040b2fc3000000000000000008947baeaaf954aff687deaa2f80492461d273ee26d8115cbca081a14cba24788779291745083fccdddc90d7af35c528d46362ea0d8d79c79ddca066da478c197d4a550470557bc99cca336bd88cd28a5ee651627e3a6fbf6ea53b95ddb64c69c7d8d2f4baddc239828760459564124bad68209d2a1d16ad085886c017679cfcda8b1e152ac1e2bcc5ede5b5687aa418abfa29acd7339e73b2cd185beeb5fb34fccd20ffa155b16c0c309ed6f6663677df37de0ec0d0f548b273940be5d1fe0bae14d1a76bf741330dacd9cc19c0163bcc93059e8d2d1bfa928e2ba458ecd989cb3581a3f270ad48255ac0dad4923e3e36629589ff6b0ceb343"], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, &(0x7f0000000000), 0x0, 0x10, &(0x7f0000000340), 0xfffffffffffffe19}, 0x42) setsockopt$sock_attach_bpf(r0, 0x1, 0x32, &(0x7f0000000200)=r1, 0x4) sendmsg$BATADV_CMD_TP_METER(r0, &(0x7f0000000300)={0x0, 0x0, &(0x7f00000002c0)={&(0x7f0000000280)={0x14, 0x0, 0x4}, 0x14}}, 0x0) 13:10:16 executing program 0: prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = openat$hwrng(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) preadv(r0, &(0x7f0000001880)=[{&(0x7f0000001a80)=""/102400, 0x19000}], 0x1, 0x0, 0x0) write$6lowpan_enable(0xffffffffffffffff, 0x0, 0x0) r1 = openat$nullb(0xffffffffffffff9c, 0x0, 0x149802, 0x0) getpid() r2 = openat$nullb(0xffffffffffffff9c, &(0x7f0000000580), 0x642, 0x0) openat$hwrng(0xffffffffffffff9c, 0x0, 0x0, 0x0) read(0xffffffffffffffff, 0x0, 0x0) ioctl$AUTOFS_DEV_IOCTL_TIMEOUT(0xffffffffffffffff, 0xc018937a, &(0x7f0000000300)=ANY=[]) r3 = dup(r2) r4 = socket$alg(0x26, 0x5, 0x0) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f00000001c0)='./cgroup.cpu/syz1\x00', 0x1ff) bind$alg(r4, &(0x7f0000000280)={0x26, 'skcipher\x00', 0x0, 0x0, 'ecb(cipher_null)\x00'}, 0x58) r5 = accept4(r4, 0x0, 0x0, 0x0) dup(r5) recvfrom(r5, &(0x7f0000000080)=""/175, 0x59000, 0x3f00, 0x0, 0x10000000000000) sendfile(r5, r3, 0x0, 0x8a000) dup(r1) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x1000002, 0x28011, 0xffffffffffffffff, 0x0) socket$inet6_udplite(0xa, 0x2, 0x88) 13:10:16 executing program 1: mprotect(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000004) shmget(0x3, 0x4000, 0x2, &(0x7f0000ffc000/0x4000)=nil) r0 = msgget(0x3, 0x20c) mlock(&(0x7f0000ffb000/0x2000)=nil, 0x2000) r1 = msgget(0x3, 0x33d) madvise(&(0x7f0000ffb000/0x2000)=nil, 0x2000, 0x65) pipe(&(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$EXT4_IOC_MOVE_EXT(r3, 0x5451, 0x0) openat$cgroup_type(r3, &(0x7f0000000040), 0x2, 0x0) mlock(&(0x7f0000ffb000/0x1000)=nil, 0x1000) shmctl$SHM_LOCK(r1, 0xb) msgsnd(r1, &(0x7f0000000280)=ANY=[@ANYRESOCT=r0], 0x7a, 0x0) msgrcv(r1, &(0x7f0000000180)=ANY=[@ANYBLOB="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000500"/205], 0xcd, 0x2, 0x2800) shmctl$IPC_RMID(r1, 0x0) r4 = msgget$private(0x0, 0x0) shmctl$SHM_UNLOCK(r1, 0xc) msgsnd(r4, &(0x7f00000003c0)=ANY=[@ANYBLOB="0200000001040000"], 0x8, 0x0) r5 = shmget$private(0x0, 0x1000, 0x54000000, &(0x7f0000fff000/0x1000)=nil) shmctl$SHM_LOCK(r5, 0xb) msgrcv(r4, &(0x7f0000003580)=ANY=[@ANYBLOB="00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000fdffffffffffffff00"/102408], 0x0, 0x0, 0x3000) msgrcv(r4, &(0x7f0000000400)=ANY=[@ANYRESOCT=r0], 0x90, 0x1, 0x0) madvise(&(0x7f0000ffd000/0x1000)=nil, 0x1000, 0x3) msgrcv(0x0, &(0x7f0000000100)={0x0, ""/59}, 0x43, 0x2, 0x1800) shmctl$SHM_UNLOCK(0x0, 0xc) mlock(&(0x7f0000ffe000/0x2000)=nil, 0x2000) msgget(0x3, 0x1c2) shmctl$SHM_STAT(0xffffffffffffffff, 0xd, &(0x7f00000002c0)=""/216) msgctl$IPC_STAT(r1, 0x2, &(0x7f0000000000)=""/4) msgrcv(0x0, &(0x7f0000000540)=ANY=[@ANYBLOB="0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000095ab5a00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000067c562daff5fb1ce715ad85b7150e100"/210], 0xc9, 0x0, 0x2000) fcntl$addseals(r2, 0x409, 0x5) [ 506.678982][ T7] libceph: connect (1)[c::]:6789 error -101 13:10:16 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x1, 0xe, &(0x7f0000001440)=ANY=[@ANYBLOB="b702000000000000bfa30000000000000703000000feffff7a0af0fff8ffff1971a4f0ff00000000b7060000080000001e6400000000000045040400010000001704000001000a00b7040000000100006a0af2fe00000000850000002e000000b7000000000000009500000000000000455781a5feee5e1ce784909b849d5550adf200000000000000b61d69f2ffdaa10350e11cb97c8ad51bcda0c4ee6d9674c77404ceb9971e43405d621ffbc9a4fd39b0631f6dde53a9a53608c10556e5734eb84049761471ce540c772e2d9f8004e26f7fcc059c062234d5595f6dba87b81d1106fb0289ce67a66afd9ac3d09e29a9d542ca9d85a5c9c88474895d679838def0a83a733dc6a39b63a5ed69d32394c53361d7480884bd8048a967d9b912ef9f1dcc4ff8546fee53f5b2e7b91c61ced1ebad000000000000e8122a793c080a882add4e1179bd4a44f231b6d753a7be428ba953df4aece69311687f4122073a236c3ad198e3f3a532efa04137d452ff47d2638da3261c8362bb7c7824be6195a66d2e17e122040e11e3bd4a69fc6e8d9f7043e09b9e10dc7777bfae5884e4ba1e9cc4a2bbe99e30816127f46a1aae33d4d63d716c0975e1ce4a655362e7062ff6ab3934555c0184021b829472adefa06d3482c7b2711b98eabdca89b77efd13e6dba4a431ce47910000118093b6cabaa17a57727474e1785ee234835088445aa4a9b677d3d342640e328504aea02a2d727e62b7f097a02dbf8fe1d704765de7482040b2fc3000000000000000008947baeaaf954aff687deaa2f80492461d273ee26d8115cbca081a14cba24788779291745083fccdddc90d7af35c528d46362ea0d8d79c79ddca066da478c197d4a550470557bc99cca336bd88cd28a5ee651627e3a6fbf6ea53b95ddb64c69c7d8d2f4baddc239828760459564124bad68209d2a1d16ad085886c017679cfcda8b1e152ac1e2bcc5ede5b5687aa418abfa29acd7339e73b2cd185beeb5fb34fccd20ffa155b16c0c309ed6f6663677df37de0ec0d0f548b273940be5d1fe0bae14d1a76bf741330dacd9cc19c0163bcc93059e8d2d1bfa928e2ba458ecd989cb3581a3f270ad48255ac0dad4923e3e36629589ff6b0ceb343"], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, &(0x7f0000000000), 0x0, 0x10, &(0x7f0000000340), 0xfffffffffffffe19}, 0x42) setsockopt$sock_attach_bpf(r0, 0x1, 0x32, &(0x7f0000000200)=r1, 0x4) sendmsg$BATADV_CMD_TP_METER(r0, &(0x7f0000000300)={0x0, 0x0, &(0x7f00000002c0)={&(0x7f0000000280)={0x14, 0x0, 0x4}, 0x14}}, 0x0) [ 506.728099][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 506.775502][ T7] libceph: connect (1)[c::]:6789 error -101 [ 506.802331][ T7] libceph: mon0 (1)[c::]:6789 connect error 13:10:17 executing program 4: mprotect(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000004) shmget(0x3, 0x4000, 0x2, &(0x7f0000ffc000/0x4000)=nil) r0 = msgget(0x3, 0x20c) mlock(&(0x7f0000ffb000/0x2000)=nil, 0x2000) r1 = msgget(0x3, 0x33d) madvise(&(0x7f0000ffb000/0x2000)=nil, 0x2000, 0x65) pipe(&(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$EXT4_IOC_MOVE_EXT(r3, 0x5451, 0x0) openat$cgroup_type(r3, &(0x7f0000000040), 0x2, 0x0) mlock(&(0x7f0000ffb000/0x1000)=nil, 0x1000) shmctl$SHM_LOCK(r1, 0xb) msgsnd(r1, &(0x7f0000000280)=ANY=[@ANYRESOCT=r0], 0x7a, 0x0) msgrcv(r1, &(0x7f0000000180)=ANY=[@ANYBLOB="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000500"/205], 0xcd, 0x2, 0x2800) shmctl$IPC_RMID(r1, 0x0) r4 = msgget$private(0x0, 0x0) shmctl$SHM_UNLOCK(r1, 0xc) msgsnd(r4, &(0x7f00000003c0)=ANY=[@ANYBLOB="0200000001040000"], 0x8, 0x0) r5 = shmget$private(0x0, 0x1000, 0x54000000, &(0x7f0000fff000/0x1000)=nil) shmctl$SHM_LOCK(r5, 0xb) msgrcv(r4, &(0x7f0000003580)=ANY=[@ANYBLOB="00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000fdffffffffffffff00"/102408], 0x0, 0x0, 0x3000) msgrcv(r4, &(0x7f0000000400)=ANY=[@ANYRESOCT=r0], 0x90, 0x1, 0x0) madvise(&(0x7f0000ffd000/0x1000)=nil, 0x1000, 0x3) msgrcv(0x0, &(0x7f0000000100)={0x0, ""/59}, 0x43, 0x2, 0x1800) shmctl$SHM_UNLOCK(0x0, 0xc) mlock(&(0x7f0000ffe000/0x2000)=nil, 0x2000) msgget(0x3, 0x1c2) shmctl$SHM_STAT(0xffffffffffffffff, 0xd, &(0x7f00000002c0)=""/216) msgctl$IPC_STAT(r1, 0x2, &(0x7f0000000000)=""/4) msgrcv(0x0, &(0x7f0000000540)=ANY=[@ANYBLOB="0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000095ab5a00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000067c562daff5fb1ce715ad85b7150e100"/210], 0xc9, 0x0, 0x2000) fcntl$addseals(r2, 0x409, 0x5) [ 506.970121][ T7] libceph: connect (1)[c::]:6789 error -101 [ 506.993707][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 507.002879][ T783] libceph: connect (1)[c::]:6789 error -101 13:10:17 executing program 0: prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = openat$hwrng(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) preadv(r0, &(0x7f0000001880)=[{&(0x7f0000001a80)=""/102400, 0x19000}], 0x1, 0x0, 0x0) write$6lowpan_enable(0xffffffffffffffff, 0x0, 0x0) r1 = openat$nullb(0xffffffffffffff9c, 0x0, 0x149802, 0x0) getpid() r2 = openat$nullb(0xffffffffffffff9c, &(0x7f0000000580), 0x642, 0x0) openat$hwrng(0xffffffffffffff9c, 0x0, 0x0, 0x0) read(0xffffffffffffffff, 0x0, 0x0) ioctl$AUTOFS_DEV_IOCTL_TIMEOUT(0xffffffffffffffff, 0xc018937a, &(0x7f0000000300)=ANY=[]) r3 = dup(r2) r4 = socket$alg(0x26, 0x5, 0x0) mkdirat$cgroup_root(0xffffffffffffff9c, &(0x7f00000001c0)='./cgroup.cpu/syz1\x00', 0x1ff) bind$alg(r4, &(0x7f0000000280)={0x26, 'skcipher\x00', 0x0, 0x0, 'ecb(cipher_null)\x00'}, 0x58) r5 = accept4(r4, 0x0, 0x0, 0x0) dup(r5) recvfrom(r5, &(0x7f0000000080)=""/175, 0x59000, 0x3f00, 0x0, 0x10000000000000) sendfile(r5, r3, 0x0, 0x8a000) dup(r1) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x1000002, 0x28011, 0xffffffffffffffff, 0x0) socket$inet6_udplite(0xa, 0x2, 0x88) [ 507.027883][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 507.048035][ T783] libceph: connect (1)[c::]:6789 error -101 [ 507.064348][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 507.094332][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 507.105164][ T7] libceph: connect (1)[c::]:6789 error -101 [ 507.111294][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 507.125634][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 507.132999][ T783] libceph: connect (1)[c::]:6789 error -101 [ 507.148650][ T783] libceph: mon0 (1)[c::]:6789 connect error 13:10:17 executing program 0: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) ioctl$sock_SIOCGIFINDEX_80211(r0, 0x8933, &(0x7f0000000080)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_SET_TID_CONFIG(r0, &(0x7f00000002c0)={0x0, 0x0, &(0x7f0000000280)={&(0x7f00000000c0)={0x2c, r1, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r2}, @void}}, [@NL80211_ATTR_TID_CONFIG={0x10, 0x11d, 0x0, 0x1, [{0xc, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_TIDS={0x6, 0x5, 0x16}]}]}]}, 0x2c}}, 0x0) 13:10:17 executing program 1: mprotect(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000004) shmget(0x3, 0x4000, 0x2, &(0x7f0000ffc000/0x4000)=nil) r0 = msgget(0x3, 0x20c) mlock(&(0x7f0000ffb000/0x2000)=nil, 0x2000) r1 = msgget(0x3, 0x33d) madvise(&(0x7f0000ffb000/0x2000)=nil, 0x2000, 0x65) pipe(&(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$EXT4_IOC_MOVE_EXT(r3, 0x5451, 0x0) openat$cgroup_type(r3, &(0x7f0000000040), 0x2, 0x0) mlock(&(0x7f0000ffb000/0x1000)=nil, 0x1000) shmctl$SHM_LOCK(r1, 0xb) msgsnd(r1, &(0x7f0000000280)=ANY=[@ANYRESOCT=r0], 0x7a, 0x0) msgrcv(r1, &(0x7f0000000180)=ANY=[@ANYBLOB="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000500"/205], 0xcd, 0x2, 0x2800) shmctl$IPC_RMID(r1, 0x0) r4 = msgget$private(0x0, 0x0) shmctl$SHM_UNLOCK(r1, 0xc) msgsnd(r4, &(0x7f00000003c0)=ANY=[@ANYBLOB="0200000001040000"], 0x8, 0x0) r5 = shmget$private(0x0, 0x1000, 0x54000000, &(0x7f0000fff000/0x1000)=nil) shmctl$SHM_LOCK(r5, 0xb) msgrcv(r4, &(0x7f0000003580)=ANY=[@ANYBLOB="00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000fdffffffffffffff00"/102408], 0x0, 0x0, 0x3000) msgrcv(r4, &(0x7f0000000400)=ANY=[@ANYRESOCT=r0], 0x90, 0x1, 0x0) madvise(&(0x7f0000ffd000/0x1000)=nil, 0x1000, 0x3) msgrcv(0x0, &(0x7f0000000100)={0x0, ""/59}, 0x43, 0x2, 0x1800) shmctl$SHM_UNLOCK(0x0, 0xc) mlock(&(0x7f0000ffe000/0x2000)=nil, 0x2000) msgget(0x3, 0x1c2) shmctl$SHM_STAT(0xffffffffffffffff, 0xd, &(0x7f00000002c0)=""/216) msgctl$IPC_STAT(r1, 0x2, &(0x7f0000000000)=""/4) msgrcv(0x0, &(0x7f0000000540)=ANY=[@ANYBLOB="0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000095ab5a00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000067c562daff5fb1ce715ad85b7150e100"/210], 0xc9, 0x0, 0x2000) fcntl$addseals(r2, 0x409, 0x5) [ 507.456433][ T8135] ceph: No mds server is up or the cluster is laggy [ 507.467726][ T8141] ceph: No mds server is up or the cluster is laggy 13:10:17 executing program 0: r0 = socket$inet6(0xa, 0x3, 0x2) connect$inet6(r0, &(0x7f0000000200)={0xa, 0x0, 0x0, @empty}, 0x1c) setsockopt$SO_TIMESTAMPING(r0, 0x1, 0x25, &(0x7f0000000000)=0x6556, 0x4) r1 = dup2(r0, r0) writev(r1, &(0x7f0000000340)=[{&(0x7f0000000080)='[', 0x1}], 0x1) recvmmsg(r1, &(0x7f0000001cc0)=[{{0x0, 0x0, 0x0}}], 0x2, 0xfc, 0x0) 13:10:17 executing program 0: r0 = syz_open_dev$dri(&(0x7f0000000000), 0x213, 0x0) ioctl$DRM_IOCTL_MODE_GET_LEASE(r0, 0xc01064c8, &(0x7f0000000300)={0x1, 0x0, &(0x7f00000002c0)=[0x0]}) ioctl$DRM_IOCTL_MODE_DIRTYFB(r0, 0xc01864b1, &(0x7f0000000380)={r1, 0x0, 0x0, 0x0, 0x0}) 13:10:17 executing program 0: r0 = syz_open_dev$dri(&(0x7f0000000000), 0x213, 0x0) ioctl$DRM_IOCTL_MODE_GET_LEASE(r0, 0xc01064c8, &(0x7f0000000300)={0x1, 0x0, &(0x7f00000002c0)=[0x0]}) ioctl$DRM_IOCTL_MODE_DIRTYFB(r0, 0xc01864b1, &(0x7f0000000380)={r1, 0x0, 0x0, 0x0, 0x0}) [ 507.688076][ T7] libceph: connect (1)[c::]:6789 error -101 [ 507.694925][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 507.701347][ T783] libceph: connect (1)[c::]:6789 error -101 [ 507.713555][ T783] libceph: mon0 (1)[c::]:6789 connect error 13:10:17 executing program 0: r0 = syz_open_dev$dri(&(0x7f0000000000), 0x213, 0x0) ioctl$DRM_IOCTL_MODE_GET_LEASE(r0, 0xc01064c8, &(0x7f0000000300)={0x1, 0x0, &(0x7f00000002c0)=[0x0]}) ioctl$DRM_IOCTL_MODE_DIRTYFB(r0, 0xc01864b1, &(0x7f0000000380)={r1, 0x0, 0x0, 0x0, 0x0}) 13:10:18 executing program 4: mprotect(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000004) shmget(0x3, 0x4000, 0x2, &(0x7f0000ffc000/0x4000)=nil) r0 = msgget(0x3, 0x20c) mlock(&(0x7f0000ffb000/0x2000)=nil, 0x2000) r1 = msgget(0x3, 0x33d) madvise(&(0x7f0000ffb000/0x2000)=nil, 0x2000, 0x65) pipe(&(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$EXT4_IOC_MOVE_EXT(r3, 0x5451, 0x0) openat$cgroup_type(r3, &(0x7f0000000040), 0x2, 0x0) mlock(&(0x7f0000ffb000/0x1000)=nil, 0x1000) shmctl$SHM_LOCK(r1, 0xb) msgsnd(r1, &(0x7f0000000280)=ANY=[@ANYRESOCT=r0], 0x7a, 0x0) msgrcv(r1, &(0x7f0000000180)=ANY=[@ANYBLOB="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000500"/205], 0xcd, 0x2, 0x2800) shmctl$IPC_RMID(r1, 0x0) r4 = msgget$private(0x0, 0x0) shmctl$SHM_UNLOCK(r1, 0xc) msgsnd(r4, &(0x7f00000003c0)=ANY=[@ANYBLOB="0200000001040000"], 0x8, 0x0) r5 = shmget$private(0x0, 0x1000, 0x54000000, &(0x7f0000fff000/0x1000)=nil) shmctl$SHM_LOCK(r5, 0xb) msgrcv(r4, &(0x7f0000003580)=ANY=[@ANYBLOB="00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000fdffffffffffffff00"/102408], 0x0, 0x0, 0x3000) msgrcv(r4, &(0x7f0000000400)=ANY=[@ANYRESOCT=r0], 0x90, 0x1, 0x0) madvise(&(0x7f0000ffd000/0x1000)=nil, 0x1000, 0x3) msgrcv(0x0, &(0x7f0000000100)={0x0, ""/59}, 0x43, 0x2, 0x1800) shmctl$SHM_UNLOCK(0x0, 0xc) mlock(&(0x7f0000ffe000/0x2000)=nil, 0x2000) msgget(0x3, 0x1c2) shmctl$SHM_STAT(0xffffffffffffffff, 0xd, &(0x7f00000002c0)=""/216) msgctl$IPC_STAT(r1, 0x2, &(0x7f0000000000)=""/4) msgrcv(0x0, &(0x7f0000000540)=ANY=[@ANYBLOB="0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000095ab5a00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000067c562daff5fb1ce715ad85b7150e100"/210], 0xc9, 0x0, 0x2000) fcntl$addseals(r2, 0x409, 0x5) 13:10:18 executing program 0: r0 = syz_open_dev$dri(&(0x7f0000000000), 0x213, 0x0) ioctl$DRM_IOCTL_MODE_GET_LEASE(r0, 0xc01064c8, &(0x7f0000000300)={0x1, 0x0, &(0x7f00000002c0)=[0x0]}) ioctl$DRM_IOCTL_MODE_DIRTYFB(r0, 0xc01864b1, &(0x7f0000000380)={r1, 0x0, 0x0, 0x0, 0x0}) 13:10:18 executing program 0: r0 = syz_open_dev$dri(&(0x7f0000000000), 0x213, 0x0) ioctl$DRM_IOCTL_MODE_GET_LEASE(r0, 0xc01064c8, &(0x7f0000000300)={0x1, 0x0, &(0x7f00000002c0)=[0x0]}) ioctl$DRM_IOCTL_MODE_DIRTYFB(r0, 0xc01864b1, &(0x7f0000000380)={r1, 0x0, 0x0, 0x0, 0x0}) 13:10:18 executing program 0: r0 = syz_open_dev$dri(&(0x7f0000000000), 0x213, 0x0) ioctl$DRM_IOCTL_MODE_GET_LEASE(r0, 0xc01064c8, &(0x7f0000000300)={0x1, 0x0, &(0x7f00000002c0)=[0x0]}) ioctl$DRM_IOCTL_MODE_DIRTYFB(r0, 0xc01864b1, &(0x7f0000000380)={r1, 0x0, 0x0, 0x0, 0x0}) 13:10:18 executing program 0: r0 = syz_open_dev$dri(&(0x7f0000000000), 0x213, 0x0) ioctl$DRM_IOCTL_MODE_GET_LEASE(r0, 0xc01064c8, &(0x7f0000000300)={0x1, 0x0, &(0x7f00000002c0)=[0x0]}) ioctl$DRM_IOCTL_MODE_DIRTYFB(r0, 0xc01864b1, &(0x7f0000000380)={r1, 0x0, 0x0, 0x0, 0x0}) 13:10:18 executing program 0: r0 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="180000000080005300000000faff0000940000000fad413e550000000f00000095"], &(0x7f0000000180)='syzkaller\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2}, 0x80) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000400)={&(0x7f0000000300)='contention_begin\x00', r0}, 0x10) r1 = socket$inet_udp(0x2, 0x2, 0x0) getsockopt$EBT_SO_GET_INFO(r1, 0x0, 0x80, &(0x7f0000000040)={'nat\x00'}, &(0x7f0000000280)=0x78) 13:10:18 executing program 0: syz_pidfd_open(0x0, 0x2) 13:10:18 executing program 0: socketpair$tipc(0x1e, 0x1, 0x0, &(0x7f0000000000)={0xffffffffffffffff}) setsockopt$sock_attach_bpf(r0, 0x1, 0x7, &(0x7f00000000c0), 0x4) [ 508.130199][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 508.134116][ T7] libceph: connect (1)[c::]:6789 error -101 [ 508.136219][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 508.149412][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 508.155878][ T7] libceph: connect (1)[c::]:6789 error -101 [ 508.164702][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 508.171084][ T783] libceph: connect (1)[c::]:6789 error -101 13:10:18 executing program 0: syz_open_dev$dri(&(0x7f0000000140), 0x0, 0x8efc0) syz_open_dev$dri(&(0x7f0000000240), 0x1, 0x0) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = getpid() process_vm_readv(r0, &(0x7f0000008400)=[{&(0x7f0000000300)=""/54, 0x7ffff000}, {&(0x7f0000006180)=""/152, 0x98}], 0x2, &(0x7f0000008640)=[{&(0x7f0000008480)=""/95, 0x7ffff000}], 0x286, 0x0) getpid() syz_genetlink_get_family_id$tipc2(&(0x7f00000002c0), 0xffffffffffffffff) syz_genetlink_get_family_id$ethtool(&(0x7f00000001c0), 0xffffffffffffffff) r1 = openat$nullb(0xffffffffffffff9c, &(0x7f0000000580), 0x66380, 0x0) r2 = dup(r1) r3 = socket$alg(0x26, 0x5, 0x0) bind$alg(r3, &(0x7f0000000280)={0x26, 'skcipher\x00', 0x0, 0x0, 'ecb(cipher_null)\x00'}, 0x58) r4 = accept4(r3, 0x0, 0x0, 0x0) recvfrom(r4, &(0x7f0000000080)=""/175, 0x59000, 0x0, 0x0, 0x10000000000008) r5 = getpid() process_vm_readv(r5, &(0x7f0000008400)=[{&(0x7f0000000300)=""/54, 0x36}, {&(0x7f0000006180)=""/152, 0x98}], 0x2, 0x0, 0x0, 0x0) ioctl$BLKZEROOUT(r1, 0x127f, &(0x7f0000000000)={0x7, 0x8}) sendmsg(0xffffffffffffffff, &(0x7f0000001e00)={&(0x7f0000000840)=@nfc={0x27, 0x0, 0xffffffffffffffff, 0x9c0f093bdf05083c}, 0x80, &(0x7f0000000940)=[{&(0x7f00000008c0)="b0680fb2d2d54fa6b35bc5599955deab64f8f81275c135d8c893ea48cac065a877890231b56ba450367c1c1c47c185d70dc69af50debb79820e2cf8d71c2dd46dd406c880535607754da6f3d1c0ef53cc58c40d05cff930701708bf38e904d067dcc23116ccc9656", 0x68}], 0x1, &(0x7f0000001e40)=ANY=[@ANYBLOB="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"/5255], 0x1480}, 0x4008000) setsockopt$SO_TIMESTAMPING(0xffffffffffffffff, 0x1, 0x25, &(0x7f0000000180), 0x4) ioctl$DRM_IOCTL_RES_CTX(0xffffffffffffffff, 0xc0106426, &(0x7f0000000040)={0x4, &(0x7f0000000000)=[{}, {}, {}, {}]}) r6 = socket$inet_icmp_raw(0x2, 0x3, 0x1) setsockopt$inet_mreq(r6, 0x0, 0x23, &(0x7f00000000c0)={@multicast2, @local}, 0x8) setsockopt$SO_TIMESTAMPING(r6, 0x1, 0x41, &(0x7f0000000200), 0x4) ioctl$DRM_IOCTL_SET_SAREA_CTX(0xffffffffffffffff, 0x4010641c, 0x0) sendfile(r4, r2, 0x0, 0x8a000) [ 508.178226][ T783] libceph: mon0 (1)[c::]:6789 connect error 13:10:18 executing program 1: r0 = socket$nl_route(0x10, 0x3, 0x0) r1 = socket$nl_route(0x10, 0x3, 0x0) r2 = socket$netlink(0x10, 0x3, 0x0) r3 = socket(0x10, 0x803, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000380), r3) getsockname$packet(r3, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) sendmsg$nl_route(r0, &(0x7f0000000040)={0x0, 0x0, &(0x7f0000000000)={&(0x7f0000000140)=ANY=[@ANYBLOB="4800000010000507000004000000000000000000", @ANYRES32=r4, @ANYBLOB="0000000000000000280012000c00010076657468"], 0x48}}, 0x0) sendmsg$nl_route_sched(r2, &(0x7f0000000040)={0x0, 0x0, &(0x7f0000000780)={&(0x7f0000000900)=ANY=[@ANYBLOB="3000000024001d0f3a61aa51acb1d59e1a43ca38", @ANYRES32=r4, @ANYBLOB="00000000f1ffffff000000000b000100636c73616374"], 0x30}}, 0x0) sendmsg$nl_route_sched(r1, &(0x7f00000000c0)={0x0, 0x0, &(0x7f0000000300)={&(0x7f00000006c0)=@delchain={0x54, 0x64, 0xf31, 0x0, 0x0, {0x0, 0x0, 0x0, r4, {}, {0xfff2, 0xffff}}, [@filter_kind_options=@f_flower={{0xb}, {0x24, 0x2, [@TCA_FLOWER_KEY_ENC_OPTS={0x18, 0x54, 0x0, 0x1, [@TCA_FLOWER_KEY_ENC_OPTS_ERSPAN={0x14, 0x3, 0x0, 0x1, [@TCA_FLOWER_KEY_ENC_OPT_ERSPAN_VER={0x5}, @TCA_FLOWER_KEY_ENC_OPT_ERSPAN_INDEX={0x8}]}]}, @TCA_FLOWER_KEY_ENC_OPTS_MASK={0x8, 0x55, 0x0, 0x1, [@TCA_FLOWER_KEY_ENC_OPTS_ERSPAN={0x5}]}]}}]}, 0x54}}, 0x0) [ 508.440897][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 508.454774][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 508.556940][ T8194] netlink: 24 bytes leftover after parsing attributes in process `syz-executor.1'. [ 508.692486][ T8194] netlink: 4 bytes leftover after parsing attributes in process `syz-executor.1'. 13:10:18 executing program 1: r0 = socket$nl_route(0x10, 0x3, 0x0) r1 = socket$nl_route(0x10, 0x3, 0x0) r2 = socket$netlink(0x10, 0x3, 0x0) r3 = socket(0x10, 0x803, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000380), r3) getsockname$packet(r3, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) sendmsg$nl_route(r0, &(0x7f0000000040)={0x0, 0x0, &(0x7f0000000000)={&(0x7f0000000140)=ANY=[@ANYBLOB="4800000010000507000004000000000000000000", @ANYRES32=r4, @ANYBLOB="0000000000000000280012000c00010076657468"], 0x48}}, 0x0) sendmsg$nl_route_sched(r2, &(0x7f0000000040)={0x0, 0x0, &(0x7f0000000780)={&(0x7f0000000900)=ANY=[@ANYBLOB="3000000024001d0f3a61aa51acb1d59e1a43ca38", @ANYRES32=r4, @ANYBLOB="00000000f1ffffff000000000b000100636c73616374"], 0x30}}, 0x0) sendmsg$nl_route_sched(r1, &(0x7f00000000c0)={0x0, 0x0, &(0x7f0000000300)={&(0x7f00000006c0)=@delchain={0x54, 0x64, 0xf31, 0x0, 0x0, {0x0, 0x0, 0x0, r4, {}, {0xfff2, 0xffff}}, [@filter_kind_options=@f_flower={{0xb}, {0x24, 0x2, [@TCA_FLOWER_KEY_ENC_OPTS={0x18, 0x54, 0x0, 0x1, [@TCA_FLOWER_KEY_ENC_OPTS_ERSPAN={0x14, 0x3, 0x0, 0x1, [@TCA_FLOWER_KEY_ENC_OPT_ERSPAN_VER={0x5}, @TCA_FLOWER_KEY_ENC_OPT_ERSPAN_INDEX={0x8}]}]}, @TCA_FLOWER_KEY_ENC_OPTS_MASK={0x8, 0x55, 0x0, 0x1, [@TCA_FLOWER_KEY_ENC_OPTS_ERSPAN={0x5}]}]}}]}, 0x54}}, 0x0) 13:10:18 executing program 4: mprotect(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000004) shmget(0x3, 0x4000, 0x2, &(0x7f0000ffc000/0x4000)=nil) r0 = msgget(0x3, 0x20c) mlock(&(0x7f0000ffb000/0x2000)=nil, 0x2000) r1 = msgget(0x3, 0x33d) madvise(&(0x7f0000ffb000/0x2000)=nil, 0x2000, 0x65) pipe(&(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$EXT4_IOC_MOVE_EXT(r3, 0x5451, 0x0) openat$cgroup_type(r3, &(0x7f0000000040), 0x2, 0x0) mlock(&(0x7f0000ffb000/0x1000)=nil, 0x1000) shmctl$SHM_LOCK(r1, 0xb) msgsnd(r1, &(0x7f0000000280)=ANY=[@ANYRESOCT=r0], 0x7a, 0x0) msgrcv(r1, &(0x7f0000000180)=ANY=[@ANYBLOB="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000500"/205], 0xcd, 0x2, 0x2800) shmctl$IPC_RMID(r1, 0x0) r4 = msgget$private(0x0, 0x0) shmctl$SHM_UNLOCK(r1, 0xc) msgsnd(r4, &(0x7f00000003c0)=ANY=[@ANYBLOB="0200000001040000"], 0x8, 0x0) r5 = shmget$private(0x0, 0x1000, 0x54000000, &(0x7f0000fff000/0x1000)=nil) shmctl$SHM_LOCK(r5, 0xb) msgrcv(r4, &(0x7f0000003580)=ANY=[@ANYBLOB="00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000fdffffffffffffff00"/102408], 0x0, 0x0, 0x3000) msgrcv(r4, &(0x7f0000000400)=ANY=[@ANYRESOCT=r0], 0x90, 0x1, 0x0) madvise(&(0x7f0000ffd000/0x1000)=nil, 0x1000, 0x3) msgrcv(0x0, &(0x7f0000000100)={0x0, ""/59}, 0x43, 0x2, 0x1800) shmctl$SHM_UNLOCK(0x0, 0xc) mlock(&(0x7f0000ffe000/0x2000)=nil, 0x2000) msgget(0x3, 0x1c2) shmctl$SHM_STAT(0xffffffffffffffff, 0xd, &(0x7f00000002c0)=""/216) msgctl$IPC_STAT(r1, 0x2, &(0x7f0000000000)=""/4) msgrcv(0x0, &(0x7f0000000540)=ANY=[@ANYBLOB="0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000095ab5a00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000067c562daff5fb1ce715ad85b7150e100"/210], 0xc9, 0x0, 0x2000) fcntl$addseals(r2, 0x409, 0x5) [ 508.877111][ T8199] netlink: 24 bytes leftover after parsing attributes in process `syz-executor.1'. [ 508.946133][ T52] Bluetooth: hci7: unexpected cc 0x0c03 length: 249 > 1 [ 508.956189][ T52] Bluetooth: hci7: unexpected cc 0x1003 length: 249 > 9 [ 508.964766][ T52] Bluetooth: hci7: unexpected cc 0x1001 length: 249 > 9 [ 508.975693][ T52] Bluetooth: hci7: unexpected cc 0x0c23 length: 249 > 4 [ 508.983558][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 508.990576][ T52] Bluetooth: hci7: unexpected cc 0x0c25 length: 249 > 3 [ 508.997981][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 509.004024][ T52] Bluetooth: hci7: unexpected cc 0x0c38 length: 249 > 2 [ 509.102553][ T8199] netlink: 4 bytes leftover after parsing attributes in process `syz-executor.1'. 13:10:19 executing program 0: syz_open_dev$dri(&(0x7f0000000140), 0x0, 0x8efc0) syz_open_dev$dri(&(0x7f0000000240), 0x1, 0x0) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = getpid() process_vm_readv(r0, &(0x7f0000008400)=[{&(0x7f0000000300)=""/54, 0x7ffff000}, {&(0x7f0000006180)=""/152, 0x98}], 0x2, &(0x7f0000008640)=[{&(0x7f0000008480)=""/95, 0x7ffff000}], 0x286, 0x0) getpid() syz_genetlink_get_family_id$tipc2(&(0x7f00000002c0), 0xffffffffffffffff) syz_genetlink_get_family_id$ethtool(&(0x7f00000001c0), 0xffffffffffffffff) r1 = openat$nullb(0xffffffffffffff9c, &(0x7f0000000580), 0x66380, 0x0) r2 = dup(r1) r3 = socket$alg(0x26, 0x5, 0x0) bind$alg(r3, &(0x7f0000000280)={0x26, 'skcipher\x00', 0x0, 0x0, 'ecb(cipher_null)\x00'}, 0x58) r4 = accept4(r3, 0x0, 0x0, 0x0) recvfrom(r4, &(0x7f0000000080)=""/175, 0x59000, 0x0, 0x0, 0x10000000000008) r5 = getpid() process_vm_readv(r5, &(0x7f0000008400)=[{&(0x7f0000000300)=""/54, 0x36}, {&(0x7f0000006180)=""/152, 0x98}], 0x2, 0x0, 0x0, 0x0) ioctl$BLKZEROOUT(r1, 0x127f, &(0x7f0000000000)={0x7, 0x8}) sendmsg(0xffffffffffffffff, &(0x7f0000001e00)={&(0x7f0000000840)=@nfc={0x27, 0x0, 0xffffffffffffffff, 0x9c0f093bdf05083c}, 0x80, &(0x7f0000000940)=[{&(0x7f00000008c0)="b0680fb2d2d54fa6b35bc5599955deab64f8f81275c135d8c893ea48cac065a877890231b56ba450367c1c1c47c185d70dc69af50debb79820e2cf8d71c2dd46dd406c880535607754da6f3d1c0ef53cc58c40d05cff930701708bf38e904d067dcc23116ccc9656", 0x68}], 0x1, &(0x7f0000001e40)=ANY=[@ANYBLOB="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"/5255], 0x1480}, 0x4008000) setsockopt$SO_TIMESTAMPING(0xffffffffffffffff, 0x1, 0x25, &(0x7f0000000180), 0x4) ioctl$DRM_IOCTL_RES_CTX(0xffffffffffffffff, 0xc0106426, &(0x7f0000000040)={0x4, &(0x7f0000000000)=[{}, {}, {}, {}]}) r6 = socket$inet_icmp_raw(0x2, 0x3, 0x1) setsockopt$inet_mreq(r6, 0x0, 0x23, &(0x7f00000000c0)={@multicast2, @local}, 0x8) setsockopt$SO_TIMESTAMPING(r6, 0x1, 0x41, &(0x7f0000000200), 0x4) ioctl$DRM_IOCTL_SET_SAREA_CTX(0xffffffffffffffff, 0x4010641c, 0x0) sendfile(r4, r2, 0x0, 0x8a000) 13:10:19 executing program 1: r0 = socket$nl_route(0x10, 0x3, 0x0) r1 = socket$nl_route(0x10, 0x3, 0x0) r2 = socket$netlink(0x10, 0x3, 0x0) r3 = socket(0x10, 0x803, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000380), r3) getsockname$packet(r3, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) sendmsg$nl_route(r0, &(0x7f0000000040)={0x0, 0x0, &(0x7f0000000000)={&(0x7f0000000140)=ANY=[@ANYBLOB="4800000010000507000004000000000000000000", @ANYRES32=r4, @ANYBLOB="0000000000000000280012000c00010076657468"], 0x48}}, 0x0) sendmsg$nl_route_sched(r2, &(0x7f0000000040)={0x0, 0x0, &(0x7f0000000780)={&(0x7f0000000900)=ANY=[@ANYBLOB="3000000024001d0f3a61aa51acb1d59e1a43ca38", @ANYRES32=r4, @ANYBLOB="00000000f1ffffff000000000b000100636c73616374"], 0x30}}, 0x0) sendmsg$nl_route_sched(r1, &(0x7f00000000c0)={0x0, 0x0, &(0x7f0000000300)={&(0x7f00000006c0)=@delchain={0x54, 0x64, 0xf31, 0x0, 0x0, {0x0, 0x0, 0x0, r4, {}, {0xfff2, 0xffff}}, [@filter_kind_options=@f_flower={{0xb}, {0x24, 0x2, [@TCA_FLOWER_KEY_ENC_OPTS={0x18, 0x54, 0x0, 0x1, [@TCA_FLOWER_KEY_ENC_OPTS_ERSPAN={0x14, 0x3, 0x0, 0x1, [@TCA_FLOWER_KEY_ENC_OPT_ERSPAN_VER={0x5}, @TCA_FLOWER_KEY_ENC_OPT_ERSPAN_INDEX={0x8}]}]}, @TCA_FLOWER_KEY_ENC_OPTS_MASK={0x8, 0x55, 0x0, 0x1, [@TCA_FLOWER_KEY_ENC_OPTS_ERSPAN={0x5}]}]}}]}, 0x54}}, 0x0) [ 509.168035][ T783] libceph: connect (1)[c::]:6789 error -101 [ 509.184785][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 509.195540][ T7] libceph: connect (1)[c::]:6789 error -101 [ 509.220935][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 509.243803][ T7] libceph: connect (1)[c::]:6789 error -101 [ 509.254388][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 509.261324][ T783] libceph: connect (1)[c::]:6789 error -101 [ 509.288198][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 509.300025][ T8207] netlink: 24 bytes leftover after parsing attributes in process `syz-executor.1'. [ 509.308027][ T783] libceph: connect (1)[c::]:6789 error -101 [ 509.325660][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 509.377523][ T8207] netlink: 4 bytes leftover after parsing attributes in process `syz-executor.1'. [ 509.410538][ T8197] chnl_net:caif_netlink_parms(): no params data found 13:10:19 executing program 1: r0 = socket$nl_route(0x10, 0x3, 0x0) r1 = socket$nl_route(0x10, 0x3, 0x0) r2 = socket$netlink(0x10, 0x3, 0x0) r3 = socket(0x10, 0x803, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000380), r3) getsockname$packet(r3, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) sendmsg$nl_route(r0, &(0x7f0000000040)={0x0, 0x0, &(0x7f0000000000)={&(0x7f0000000140)=ANY=[@ANYBLOB="4800000010000507000004000000000000000000", @ANYRES32=r4, @ANYBLOB="0000000000000000280012000c00010076657468"], 0x48}}, 0x0) sendmsg$nl_route_sched(r2, &(0x7f0000000040)={0x0, 0x0, &(0x7f0000000780)={&(0x7f0000000900)=ANY=[@ANYBLOB="3000000024001d0f3a61aa51acb1d59e1a43ca38", @ANYRES32=r4, @ANYBLOB="00000000f1ffffff000000000b000100636c73616374"], 0x30}}, 0x0) sendmsg$nl_route_sched(r1, &(0x7f00000000c0)={0x0, 0x0, &(0x7f0000000300)={&(0x7f00000006c0)=@delchain={0x54, 0x64, 0xf31, 0x0, 0x0, {0x0, 0x0, 0x0, r4, {}, {0xfff2, 0xffff}}, [@filter_kind_options=@f_flower={{0xb}, {0x24, 0x2, [@TCA_FLOWER_KEY_ENC_OPTS={0x18, 0x54, 0x0, 0x1, [@TCA_FLOWER_KEY_ENC_OPTS_ERSPAN={0x14, 0x3, 0x0, 0x1, [@TCA_FLOWER_KEY_ENC_OPT_ERSPAN_VER={0x5}, @TCA_FLOWER_KEY_ENC_OPT_ERSPAN_INDEX={0x8}]}]}, @TCA_FLOWER_KEY_ENC_OPTS_MASK={0x8, 0x55, 0x0, 0x1, [@TCA_FLOWER_KEY_ENC_OPTS_ERSPAN={0x5}]}]}}]}, 0x54}}, 0x0) [ 509.588203][ T7] libceph: connect (1)[c::]:6789 error -101 [ 509.594626][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 509.605355][ T783] libceph: connect (1)[c::]:6789 error -101 [ 509.612883][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 509.619502][ T8] libceph: connect (1)[c::]:6789 error -101 [ 509.633501][ T8219] netlink: 24 bytes leftover after parsing attributes in process `syz-executor.1'. [ 509.643169][ T8] libceph: mon0 (1)[c::]:6789 connect error 13:10:19 executing program 4: syz_open_dev$dri(&(0x7f0000000140), 0x0, 0x8efc0) syz_open_dev$dri(&(0x7f0000000240), 0x1, 0x0) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = getpid() process_vm_readv(r0, &(0x7f0000008400)=[{&(0x7f0000000300)=""/54, 0x7ffff000}, {&(0x7f0000006180)=""/152, 0x98}], 0x2, &(0x7f0000008640)=[{&(0x7f0000008480)=""/95, 0x7ffff000}], 0x286, 0x0) getpid() syz_genetlink_get_family_id$tipc2(&(0x7f00000002c0), 0xffffffffffffffff) syz_genetlink_get_family_id$ethtool(&(0x7f00000001c0), 0xffffffffffffffff) r1 = openat$nullb(0xffffffffffffff9c, &(0x7f0000000580), 0x66380, 0x0) r2 = dup(r1) r3 = socket$alg(0x26, 0x5, 0x0) bind$alg(r3, &(0x7f0000000280)={0x26, 'skcipher\x00', 0x0, 0x0, 'ecb(cipher_null)\x00'}, 0x58) r4 = accept4(r3, 0x0, 0x0, 0x0) recvfrom(r4, &(0x7f0000000080)=""/175, 0x59000, 0x0, 0x0, 0x10000000000008) r5 = getpid() process_vm_readv(r5, &(0x7f0000008400)=[{&(0x7f0000000300)=""/54, 0x36}, {&(0x7f0000006180)=""/152, 0x98}], 0x2, 0x0, 0x0, 0x0) ioctl$BLKZEROOUT(r1, 0x127f, &(0x7f0000000000)={0x7, 0x8}) sendmsg(0xffffffffffffffff, &(0x7f0000001e00)={&(0x7f0000000840)=@nfc={0x27, 0x0, 0xffffffffffffffff, 0x9c0f093bdf05083c}, 0x80, &(0x7f0000000940)=[{&(0x7f00000008c0)="b0680fb2d2d54fa6b35bc5599955deab64f8f81275c135d8c893ea48cac065a877890231b56ba450367c1c1c47c185d70dc69af50debb79820e2cf8d71c2dd46dd406c880535607754da6f3d1c0ef53cc58c40d05cff930701708bf38e904d067dcc23116ccc9656", 0x68}], 0x1, &(0x7f0000001e40)=ANY=[@ANYBLOB="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"/5255], 0x1480}, 0x4008000) setsockopt$SO_TIMESTAMPING(0xffffffffffffffff, 0x1, 0x25, &(0x7f0000000180), 0x4) ioctl$DRM_IOCTL_RES_CTX(0xffffffffffffffff, 0xc0106426, &(0x7f0000000040)={0x4, &(0x7f0000000000)=[{}, {}, {}, {}]}) r6 = socket$inet_icmp_raw(0x2, 0x3, 0x1) setsockopt$inet_mreq(r6, 0x0, 0x23, &(0x7f00000000c0)={@multicast2, @local}, 0x8) setsockopt$SO_TIMESTAMPING(r6, 0x1, 0x41, &(0x7f0000000200), 0x4) ioctl$DRM_IOCTL_SET_SAREA_CTX(0xffffffffffffffff, 0x4010641c, 0x0) sendfile(r4, r2, 0x0, 0x8a000) [ 509.734068][ T8197] bridge0: port 1(bridge_slave_0) entered blocking state [ 509.767922][ T8197] bridge0: port 1(bridge_slave_0) entered disabled state [ 509.791712][ T8197] bridge_slave_0: entered allmulticast mode [ 509.847394][ T8197] bridge_slave_0: entered promiscuous mode [ 509.858971][ T8221] netlink: 4 bytes leftover after parsing attributes in process `syz-executor.1'. [ 509.918278][ T8197] bridge0: port 2(bridge_slave_1) entered blocking state [ 509.925765][ T8197] bridge0: port 2(bridge_slave_1) entered disabled state [ 509.937490][ T8197] bridge_slave_1: entered allmulticast mode 13:10:20 executing program 1: openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000340)='cgroup.controllers\x00', 0x275a, 0x0) r0 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000000)='net_prio.prioidx\x00', 0x275a, 0x0) write$binfmt_script(r0, &(0x7f00000007c0)=ANY=[], 0x208e24b) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x2, 0x28011, r0, 0x0) r1 = socket$inet6_udplite(0xa, 0x2, 0x88) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f0000000240)={'wlan1\x00', 0x0}) r3 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_FRAME(0xffffffffffffffff, &(0x7f0000001280)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000000040)=ANY=[@ANYBLOB="48040000", @ANYRES16=r3, @ANYBLOB="01e5ff000004000004003b1c210008000300", @ANYRES32=r2, @ANYBLOB="2c0433005000de295b3acba52ee4080211000001505050505050"], 0x448}}, 0x0) preadv(r0, &(0x7f00000015c0)=[{&(0x7f0000000080)=""/124, 0xffffffff000}], 0x5, 0x0, 0x0) sendmsg$NL80211_CMD_FRAME(0xffffffffffffffff, &(0x7f0000000300)={0x0, 0x0, &(0x7f00000002c0)={&(0x7f0000000440)={0x84, 0x0, 0x0, 0x0, 0x0, {{}, {@void, @val={0xc}}}, [@NL80211_ATTR_FRAME={0x61, 0x33, @assoc_resp={@with_ht={{{}, {}, @broadcast, @device_b}}, 0x0, 0x0, @random, @val, @val={0x2d, 0x1a}, [{0xdd, 0x6, "d7af403f5a78"}, {0xdd, 0x13, "3d99bcae612fdb570ad14c1773ec4f7bd011cc"}]}}]}, 0x84}}, 0x0) r4 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000200)='cgroup.controllers\x00', 0xb00000000065808, 0x0) r5 = socket$nl_generic(0x10, 0x3, 0x10) r6 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f00000000c0)='cgroup.controllers\x00', 0x275a, 0x0) write$binfmt_script(r6, &(0x7f0000000240)=ANY=[], 0x3af4701e) sendfile(r5, r4, 0x0, 0x10000a006) [ 509.963662][ T8197] bridge_slave_1: entered promiscuous mode [ 510.138308][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 510.141071][ T8] libceph: connect (1)[c::]:6789 error -101 [ 510.152415][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 510.157879][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 510.171507][ T8] libceph: connect (1)[c::]:6789 error -101 [ 510.181283][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 510.191686][ T8197] bond0: (slave bond_slave_0): Enslaving as an active interface with an up link [ 510.210053][ T8] libceph: connect (1)[c::]:6789 error -101 [ 510.216082][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 510.222767][ T7] libceph: connect (1)[c::]:6789 error -101 [ 510.243495][ T8197] bond0: (slave bond_slave_1): Enslaving as an active interface with an up link [ 510.255028][ T28] audit: type=1804 audit(1706879420.400:67): pid=8226 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=open_writers comm="syz-executor.1" name="/root/syzkaller-testdir1638568600/syzkaller.g5qKH8/216/cgroup.controllers" dev="sda1" ino=1985 res=1 errno=0 [ 510.285773][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 510.310636][ T783] libceph: connect (1)[c::]:6789 error -101 [ 510.330410][ T28] audit: type=1804 audit(1706879420.440:68): pid=8226 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.1" name="/root/syzkaller-testdir1638568600/syzkaller.g5qKH8/216/cgroup.controllers" dev="sda1" ino=1985 res=1 errno=0 [ 510.356131][ C1] vkms_vblank_simulate: vblank timer overrun [ 510.390662][ T783] libceph: mon0 (1)[c::]:6789 connect error 13:10:20 executing program 0: syz_open_dev$dri(&(0x7f0000000140), 0x0, 0x8efc0) syz_open_dev$dri(&(0x7f0000000240), 0x1, 0x0) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = getpid() process_vm_readv(r0, &(0x7f0000008400)=[{&(0x7f0000000300)=""/54, 0x7ffff000}, {&(0x7f0000006180)=""/152, 0x98}], 0x2, &(0x7f0000008640)=[{&(0x7f0000008480)=""/95, 0x7ffff000}], 0x286, 0x0) getpid() syz_genetlink_get_family_id$tipc2(&(0x7f00000002c0), 0xffffffffffffffff) syz_genetlink_get_family_id$ethtool(&(0x7f00000001c0), 0xffffffffffffffff) r1 = openat$nullb(0xffffffffffffff9c, &(0x7f0000000580), 0x66380, 0x0) r2 = dup(r1) r3 = socket$alg(0x26, 0x5, 0x0) bind$alg(r3, &(0x7f0000000280)={0x26, 'skcipher\x00', 0x0, 0x0, 'ecb(cipher_null)\x00'}, 0x58) r4 = accept4(r3, 0x0, 0x0, 0x0) recvfrom(r4, &(0x7f0000000080)=""/175, 0x59000, 0x0, 0x0, 0x10000000000008) r5 = getpid() process_vm_readv(r5, &(0x7f0000008400)=[{&(0x7f0000000300)=""/54, 0x36}, {&(0x7f0000006180)=""/152, 0x98}], 0x2, 0x0, 0x0, 0x0) ioctl$BLKZEROOUT(r1, 0x127f, &(0x7f0000000000)={0x7, 0x8}) sendmsg(0xffffffffffffffff, &(0x7f0000001e00)={&(0x7f0000000840)=@nfc={0x27, 0x0, 0xffffffffffffffff, 0x9c0f093bdf05083c}, 0x80, &(0x7f0000000940)=[{&(0x7f00000008c0)="b0680fb2d2d54fa6b35bc5599955deab64f8f81275c135d8c893ea48cac065a877890231b56ba450367c1c1c47c185d70dc69af50debb79820e2cf8d71c2dd46dd406c880535607754da6f3d1c0ef53cc58c40d05cff930701708bf38e904d067dcc23116ccc9656", 0x68}], 0x1, &(0x7f0000001e40)=ANY=[@ANYBLOB="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"/5255], 0x1480}, 0x4008000) setsockopt$SO_TIMESTAMPING(0xffffffffffffffff, 0x1, 0x25, &(0x7f0000000180), 0x4) ioctl$DRM_IOCTL_RES_CTX(0xffffffffffffffff, 0xc0106426, &(0x7f0000000040)={0x4, &(0x7f0000000000)=[{}, {}, {}, {}]}) r6 = socket$inet_icmp_raw(0x2, 0x3, 0x1) setsockopt$inet_mreq(r6, 0x0, 0x23, &(0x7f00000000c0)={@multicast2, @local}, 0x8) setsockopt$SO_TIMESTAMPING(r6, 0x1, 0x41, &(0x7f0000000200), 0x4) ioctl$DRM_IOCTL_SET_SAREA_CTX(0xffffffffffffffff, 0x4010641c, 0x0) sendfile(r4, r2, 0x0, 0x8a000) [ 510.477370][ T8197] team0: Port device team_slave_0 added [ 510.507076][ T8197] team0: Port device team_slave_1 added [ 510.599513][ T8197] batman_adv: batadv0: Adding interface: batadv_slave_0 [ 510.617627][ T8197] batman_adv: batadv0: The MTU of interface batadv_slave_0 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 510.643520][ C1] vkms_vblank_simulate: vblank timer overrun [ 510.658000][ T783] libceph: connect (1)[c::]:6789 error -101 [ 510.663963][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 510.670327][ T8] libceph: connect (1)[c::]:6789 error -101 [ 510.693314][ T8197] batman_adv: batadv0: Not using interface batadv_slave_0 (retrying later): interface not active [ 510.706048][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 510.726196][ T8197] batman_adv: batadv0: Adding interface: batadv_slave_1 [ 510.739948][ T8197] batman_adv: batadv0: The MTU of interface batadv_slave_1 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 510.803386][ T8197] batman_adv: batadv0: Not using interface batadv_slave_1 (retrying later): interface not active 13:10:21 executing program 4: syz_open_dev$dri(&(0x7f0000000140), 0x0, 0x8efc0) syz_open_dev$dri(&(0x7f0000000240), 0x1, 0x0) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = getpid() process_vm_readv(r0, &(0x7f0000008400)=[{&(0x7f0000000300)=""/54, 0x7ffff000}, {&(0x7f0000006180)=""/152, 0x98}], 0x2, &(0x7f0000008640)=[{&(0x7f0000008480)=""/95, 0x7ffff000}], 0x286, 0x0) getpid() syz_genetlink_get_family_id$tipc2(&(0x7f00000002c0), 0xffffffffffffffff) syz_genetlink_get_family_id$ethtool(&(0x7f00000001c0), 0xffffffffffffffff) r1 = openat$nullb(0xffffffffffffff9c, &(0x7f0000000580), 0x66380, 0x0) r2 = dup(r1) r3 = socket$alg(0x26, 0x5, 0x0) bind$alg(r3, &(0x7f0000000280)={0x26, 'skcipher\x00', 0x0, 0x0, 'ecb(cipher_null)\x00'}, 0x58) r4 = accept4(r3, 0x0, 0x0, 0x0) recvfrom(r4, &(0x7f0000000080)=""/175, 0x59000, 0x0, 0x0, 0x10000000000008) r5 = getpid() process_vm_readv(r5, &(0x7f0000008400)=[{&(0x7f0000000300)=""/54, 0x36}, {&(0x7f0000006180)=""/152, 0x98}], 0x2, 0x0, 0x0, 0x0) ioctl$BLKZEROOUT(r1, 0x127f, &(0x7f0000000000)={0x7, 0x8}) sendmsg(0xffffffffffffffff, &(0x7f0000001e00)={&(0x7f0000000840)=@nfc={0x27, 0x0, 0xffffffffffffffff, 0x9c0f093bdf05083c}, 0x80, &(0x7f0000000940)=[{&(0x7f00000008c0)="b0680fb2d2d54fa6b35bc5599955deab64f8f81275c135d8c893ea48cac065a877890231b56ba450367c1c1c47c185d70dc69af50debb79820e2cf8d71c2dd46dd406c880535607754da6f3d1c0ef53cc58c40d05cff930701708bf38e904d067dcc23116ccc9656", 0x68}], 0x1, &(0x7f0000001e40)=ANY=[@ANYBLOB="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"/5255], 0x1480}, 0x4008000) setsockopt$SO_TIMESTAMPING(0xffffffffffffffff, 0x1, 0x25, &(0x7f0000000180), 0x4) ioctl$DRM_IOCTL_RES_CTX(0xffffffffffffffff, 0xc0106426, &(0x7f0000000040)={0x4, &(0x7f0000000000)=[{}, {}, {}, {}]}) r6 = socket$inet_icmp_raw(0x2, 0x3, 0x1) setsockopt$inet_mreq(r6, 0x0, 0x23, &(0x7f00000000c0)={@multicast2, @local}, 0x8) setsockopt$SO_TIMESTAMPING(r6, 0x1, 0x41, &(0x7f0000000200), 0x4) ioctl$DRM_IOCTL_SET_SAREA_CTX(0xffffffffffffffff, 0x4010641c, 0x0) sendfile(r4, r2, 0x0, 0x8a000) [ 510.908778][ T8197] hsr_slave_0: entered promiscuous mode [ 510.923471][ T8197] hsr_slave_1: entered promiscuous mode [ 510.936405][ T8197] debugfs: Directory 'hsr0' with parent 'hsr' already present! [ 510.952281][ T8197] Cannot create hsr debugfs directory [ 511.087863][ T5096] Bluetooth: hci7: command 0x0409 tx timeout [ 511.095460][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 511.120516][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 511.298121][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 511.311153][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 511.317281][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 511.386986][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 511.398451][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 511.404442][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 511.496415][ T8197] netdevsim netdevsim2 netdevsim3 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 [ 511.622704][ T8197] netdevsim netdevsim2 netdevsim2 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 [ 511.758951][ T8197] netdevsim netdevsim2 netdevsim1 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 [ 511.841839][ T8197] netdevsim netdevsim2 netdevsim0 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 [ 511.928222][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 511.947760][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 512.066435][ T8197] netdevsim netdevsim2 netdevsim0: renamed from eth0 [ 512.081184][ T8197] netdevsim netdevsim2 netdevsim1: renamed from eth1 [ 512.114551][ T8197] netdevsim netdevsim2 netdevsim2: renamed from eth2 [ 512.128452][ T783] libceph: connect (1)[c::]:6789 error -101 [ 512.129063][ T8197] netdevsim netdevsim2 netdevsim3: renamed from eth3 [ 512.134458][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 512.151454][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 512.157468][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 512.165014][ T8] libceph: connect (1)[c::]:6789 error -101 [ 512.171259][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 512.177537][ T8] libceph: connect (1)[c::]:6789 error -101 [ 512.185521][ T7] libceph: connect (1)[c::]:6789 error -101 [ 512.201599][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 512.207960][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 512.215077][ T7] libceph: connect (1)[c::]:6789 error -101 [ 512.239494][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 512.245804][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 512.255678][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 512.260725][ T8197] 8021q: adding VLAN 0 to HW filter on device bond0 [ 512.282505][ T8197] 8021q: adding VLAN 0 to HW filter on device team0 [ 512.296396][ T5069] bridge0: port 1(bridge_slave_0) entered blocking state [ 512.303690][ T5069] bridge0: port 1(bridge_slave_0) entered forwarding state [ 512.342743][ T5069] bridge0: port 2(bridge_slave_1) entered blocking state [ 512.349881][ T5069] bridge0: port 2(bridge_slave_1) entered forwarding state [ 512.478575][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 512.484629][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 512.494411][ T8197] 8021q: adding VLAN 0 to HW filter on device batadv0 [ 512.520873][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 512.526914][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 512.533379][ T8] libceph: connect (1)[c::]:6789 error -101 [ 512.539922][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 512.550000][ T8197] veth0_vlan: entered promiscuous mode [ 512.562637][ T8197] veth1_vlan: entered promiscuous mode [ 512.593220][ T8197] veth0_macvtap: entered promiscuous mode [ 512.605367][ T8197] veth1_macvtap: entered promiscuous mode [ 512.624720][ T8197] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 512.639260][ T8197] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 512.651021][ T8197] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 512.662885][ T8197] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 512.672827][ T8197] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 512.683947][ T8197] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 512.693822][ T8197] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 512.704705][ T8197] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 512.714558][ T8197] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 512.725610][ T8197] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 512.735709][ T8197] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 512.747735][ T8197] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 512.757550][ T8197] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 512.768415][ T8197] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 512.780754][ T8197] batman_adv: batadv0: Interface activated: batadv_slave_0 [ 512.795306][ T8197] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 512.805945][ T8197] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 512.816181][ T8197] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 512.830181][ T8197] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 512.840212][ T8197] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 512.852008][ T8197] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 512.862265][ T8197] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 512.873019][ T8197] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 512.883047][ T8197] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 512.896160][ T8197] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 512.906294][ T8197] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 512.917031][ T8197] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 512.926886][ T8197] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 512.938353][ T8197] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 512.949740][ T8197] batman_adv: batadv0: Interface activated: batadv_slave_1 [ 512.963570][ T8197] netdevsim netdevsim2 netdevsim0: set [1, 0] type 2 family 0 port 6081 - 0 [ 512.972410][ T8197] netdevsim netdevsim2 netdevsim1: set [1, 0] type 2 family 0 port 6081 - 0 [ 512.982582][ T8197] netdevsim netdevsim2 netdevsim2: set [1, 0] type 2 family 0 port 6081 - 0 [ 512.991567][ T8197] netdevsim netdevsim2 netdevsim3: set [1, 0] type 2 family 0 port 6081 - 0 [ 513.003351][ T8] libceph: connect (1)[c::]:6789 error -101 [ 513.013473][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 513.051974][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 513.067795][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 513.074068][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 513.089657][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 513.095853][ T7009] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 513.105486][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 513.106702][ T7009] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 513.117725][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 513.143677][ T7] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 513.153386][ T7] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 513.167991][ T5096] Bluetooth: hci7: command 0x041b tx timeout [ 513.172889][ T783] libceph: connect (1)[c::]:6789 error -101 [ 513.181441][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 513.200888][ T783] libceph: connect (1)[c::]:6789 error -101 [ 513.206841][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 513.218143][ T7] libceph: connect (1)[c::]:6789 error -101 [ 513.224146][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 513.489030][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 513.495095][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 513.501646][ T7] libceph: connect (1)[c::]:6789 error -101 [ 513.507828][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 514.018131][ T7] libceph: connect (1)[c::]:6789 error -101 [ 514.024187][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 514.030552][ T7] libceph: connect (1)[c::]:6789 error -101 [ 514.036524][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 514.129213][ T7] libceph: connect (1)[c::]:6789 error -101 [ 514.129994][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 514.135254][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 514.145606][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 514.153871][ T783] libceph: connect (1)[c::]:6789 error -101 [ 514.160674][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 514.166805][ T783] libceph: connect (1)[c::]:6789 error -101 [ 514.175138][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 514.408799][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 514.414790][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 514.938841][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 514.944898][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 515.171861][ T783] libceph: connect (1)[c::]:6789 error -101 [ 515.178054][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 515.184953][ T783] libceph: connect (1)[c::]:6789 error -101 [ 515.191231][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 515.197528][ T7] libceph: connect (1)[c::]:6789 error -101 [ 515.203640][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 515.210091][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 515.216036][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 515.222419][ T783] libceph: connect (1)[c::]:6789 error -101 [ 515.228620][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 515.257919][ T5096] Bluetooth: hci7: command 0x040f tx timeout [ 515.492900][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 515.499246][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 515.505513][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 515.511835][ T783] libceph: connect (1)[c::]:6789 error -101 [ 515.517862][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 515.523836][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 516.038586][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 516.044575][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 516.051468][ T783] libceph: connect (1)[c::]:6789 error -101 [ 516.057491][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 516.063707][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 516.069780][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 516.129337][ T783] libceph: connect (1)[c::]:6789 error -101 [ 516.129744][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 516.135359][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 516.143090][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 516.147711][ T7] libceph: connect (1)[c::]:6789 error -101 [ 516.159858][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 516.417955][ T7] libceph: connect (1)[c::]:6789 error -101 [ 516.423943][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 516.430383][ T783] libceph: connect (1)[c::]:6789 error -101 [ 516.436385][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 516.950218][ T783] libceph: connect (1)[c::]:6789 error -101 [ 516.956204][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 516.962544][ T7] libceph: connect (1)[c::]:6789 error -101 [ 516.968743][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 517.088292][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 517.094341][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 517.168293][ T7] libceph: connect (1)[c::]:6789 error -101 [ 517.174290][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 517.180781][ T783] libceph: connect (1)[c::]:6789 error -101 [ 517.186750][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 517.192967][ T8] libceph: connect (1)[c::]:6789 error -101 [ 517.199109][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 517.337780][ T5096] Bluetooth: hci7: command 0x0419 tx timeout [ 517.368098][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 517.374163][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 517.890214][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 517.896206][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 518.131138][ T8] libceph: connect (1)[c::]:6789 error -101 [ 518.137152][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 518.143363][ T783] libceph: connect (1)[c::]:6789 error -101 [ 518.149533][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 518.156740][ T783] libceph: connect (1)[c::]:6789 error -101 [ 518.162863][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 518.169104][ T8] libceph: connect (1)[c::]:6789 error -101 [ 518.175047][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 518.181351][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 518.187320][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 518.452736][ T8] libceph: connect (1)[c::]:6789 error -101 [ 518.459895][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 518.466684][ T8] libceph: connect (1)[c::]:6789 error -101 [ 518.473168][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 518.479587][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 518.485550][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 518.999842][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 519.005855][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 519.012629][ T7] libceph: connect (1)[c::]:6789 error -101 [ 519.018788][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 519.024956][ T7] libceph: connect (1)[c::]:6789 error -101 [ 519.031106][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 519.089751][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 519.095737][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 519.168163][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 519.175364][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 519.181948][ T7] libceph: connect (1)[c::]:6789 error -101 [ 519.188047][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 519.452886][ T7] libceph: connect (1)[c::]:6789 error -101 [ 519.458931][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 519.465038][ T7] libceph: connect (1)[c::]:6789 error -101 [ 519.471293][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 519.982608][ T7] libceph: connect (1)[c::]:6789 error -101 [ 519.989117][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 519.996251][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 520.002620][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 520.129434][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 520.135514][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 520.137398][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 520.141865][ T7] libceph: connect (1)[c::]:6789 error -101 [ 520.147578][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 520.154020][ T8] libceph: connect (1)[c::]:6789 error -101 [ 520.165611][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 520.171774][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 520.421736][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 520.428049][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 520.941234][ T57] libceph: connect (1)[c::]:6789 error -101 [ 520.947246][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 521.169323][ T7] libceph: connect (1)[c::]:6789 error -101 [ 521.175376][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 521.181720][ T8] libceph: connect (1)[c::]:6789 error -101 [ 521.187856][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 521.194318][ T8] libceph: connect (1)[c::]:6789 error -101 [ 521.200664][ T7] libceph: connect (1)[c::]:6789 error -101 [ 521.206629][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 521.212720][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 521.219110][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 521.226140][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 521.491346][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 521.497355][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 521.503551][ T783] libceph: connect (1)[c::]:6789 error -101 [ 521.509705][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 521.515974][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 521.522058][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 522.043869][ T7] libceph: connect (1)[c::]:6789 error -101 [ 522.050155][ T783] libceph: connect (1)[c::]:6789 error -101 [ 522.056106][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 522.062489][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 522.068612][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 522.074732][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 522.128351][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 522.128423][ T57] libceph: connect (1)[c::]:6789 error -101 [ 522.134452][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 522.147655][ T783] libceph: connect (1)[c::]:6789 error -101 [ 522.151899][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 522.153629][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 522.419147][ T783] libceph: connect (1)[c::]:6789 error -101 [ 522.425127][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 522.431585][ T7] libceph: connect (1)[c::]:6789 error -101 [ 522.438016][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 522.949965][ T7] libceph: connect (1)[c::]:6789 error -101 [ 522.955946][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 522.962360][ T783] libceph: connect (1)[c::]:6789 error -101 [ 522.969446][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 523.098742][ T57] libceph: connect (1)[c::]:6789 error -101 [ 523.104729][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 523.170638][ T783] libceph: connect (1)[c::]:6789 error -101 [ 523.176695][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 523.183037][ T7] libceph: connect (1)[c::]:6789 error -101 [ 523.189089][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 523.195184][ T7] libceph: connect (1)[c::]:6789 error -101 [ 523.201368][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 523.369035][ T57] libceph: connect (1)[c::]:6789 error -101 [ 523.376391][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 523.900946][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 523.906981][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 524.130636][ T7] libceph: connect (1)[c::]:6789 error -101 [ 524.136647][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 524.143172][ T783] libceph: connect (1)[c::]:6789 error -101 [ 524.149316][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 524.155581][ T7] libceph: connect (1)[c::]:6789 error -101 [ 524.162464][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 524.168814][ T783] libceph: connect (1)[c::]:6789 error -101 [ 524.174816][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 524.181392][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 524.187341][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 524.460526][ T783] libceph: connect (1)[c::]:6789 error -101 [ 524.466527][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 524.472830][ T8] libceph: connect (1)[c::]:6789 error -101 [ 524.479090][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 524.485354][ T8] libceph: connect (1)[c::]:6789 error -101 [ 524.491584][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 525.005242][ T8] libceph: connect (1)[c::]:6789 error -101 [ 525.012383][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 525.018918][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 525.024938][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 525.031303][ T8] libceph: connect (1)[c::]:6789 error -101 [ 525.037321][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 525.091791][ T57] libceph: connect (1)[c::]:6789 error -101 [ 525.097972][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 525.169010][ T8] libceph: connect (1)[c::]:6789 error -101 [ 525.175006][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 525.181355][ T783] libceph: connect (1)[c::]:6789 error -101 [ 525.187342][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 525.448590][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 525.454572][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 525.462368][ T783] libceph: connect (1)[c::]:6789 error -101 [ 525.468513][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 525.979990][ T783] libceph: connect (1)[c::]:6789 error -101 [ 525.985969][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 525.992341][ T8] libceph: connect (1)[c::]:6789 error -101 [ 525.998505][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 526.129370][ T8] libceph: connect (1)[c::]:6789 error -101 [ 526.135361][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 526.139255][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 526.147652][ T783] libceph: connect (1)[c::]:6789 error -101 [ 526.153665][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 526.155397][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 526.165946][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 526.172011][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 526.418150][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 526.424138][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 526.941498][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 526.947519][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 527.169248][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 527.175243][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 527.181783][ T783] libceph: connect (1)[c::]:6789 error -101 [ 527.187946][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 527.194408][ T783] libceph: connect (1)[c::]:6789 error -101 [ 527.200753][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 527.206721][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 527.213059][ T8] libceph: connect (1)[c::]:6789 error -101 [ 527.219234][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 527.225211][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 527.488789][ T8] libceph: connect (1)[c::]:6789 error -101 [ 527.494784][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 527.501265][ T783] libceph: connect (1)[c::]:6789 error -101 [ 527.507272][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 527.513638][ T8] libceph: connect (1)[c::]:6789 error -101 [ 527.519763][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 528.031147][ T8] libceph: connect (1)[c::]:6789 error -101 [ 528.037155][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 528.043463][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 528.049778][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 528.055898][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 528.062026][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 528.128836][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 528.134804][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 528.138070][ T57] libceph: connect (1)[c::]:6789 error -101 [ 528.141286][ T8] libceph: connect (1)[c::]:6789 error -101 [ 528.146947][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 528.160175][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 528.418037][ T8] libceph: connect (1)[c::]:6789 error -101 [ 528.424021][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 528.434795][ T783] libceph: connect (1)[c::]:6789 error -101 [ 528.440828][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 528.950618][ T783] libceph: connect (1)[c::]:6789 error -101 [ 528.956597][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 528.963098][ T8] libceph: connect (1)[c::]:6789 error -101 [ 528.969249][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 529.090885][ T57] libceph: connect (1)[c::]:6789 error -101 [ 529.096870][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 529.168445][ T8] libceph: connect (1)[c::]:6789 error -101 [ 529.174463][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 529.180854][ T783] libceph: connect (1)[c::]:6789 error -101 [ 529.186874][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 529.193049][ T8] libceph: connect (1)[c::]:6789 error -101 [ 529.199215][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 529.364954][ T57] libceph: connect (1)[c::]:6789 error -101 [ 529.371036][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 529.879572][ T57] libceph: connect (1)[c::]:6789 error -101 [ 529.885645][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 530.132532][ T8] libceph: connect (1)[c::]:6789 error -101 [ 530.138756][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 530.144890][ T8] libceph: connect (1)[c::]:6789 error -101 [ 530.151171][ T783] libceph: connect (1)[c::]:6789 error -101 [ 530.157112][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 530.163123][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 530.169572][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 530.175529][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 530.181859][ T7] libceph: connect (1)[c::]:6789 error -101 [ 530.188037][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 530.450257][ T7] libceph: connect (1)[c::]:6789 error -101 [ 530.456267][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 530.462421][ T783] libceph: connect (1)[c::]:6789 error -101 [ 530.468542][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 530.474851][ T783] libceph: connect (1)[c::]:6789 error -101 [ 530.480952][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 530.990134][ T783] libceph: connect (1)[c::]:6789 error -101 [ 530.996125][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 531.002477][ T7] libceph: connect (1)[c::]:6789 error -101 [ 531.008852][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 531.015122][ T7] libceph: connect (1)[c::]:6789 error -101 [ 531.029645][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 531.088076][ T57] libceph: connect (1)[c::]:6789 error -101 [ 531.094037][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 531.179368][ T783] libceph: connect (1)[c::]:6789 error -101 [ 531.185408][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 531.191597][ T7] libceph: connect (1)[c::]:6789 error -101 [ 531.197531][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 531.463549][ T7] libceph: connect (1)[c::]:6789 error -101 [ 531.469785][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 531.476278][ T7] libceph: connect (1)[c::]:6789 error -101 [ 531.483430][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 531.990233][ T7] libceph: connect (1)[c::]:6789 error -101 [ 531.996218][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 532.002774][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 532.008906][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 532.129457][ T57] libceph: connect (1)[c::]:6789 error -101 [ 532.132422][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 532.135486][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 532.141693][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 532.153928][ T7] libceph: connect (1)[c::]:6789 error -101 [ 532.160050][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 532.166157][ T7] libceph: connect (1)[c::]:6789 error -101 [ 532.173186][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 532.399585][ T57] libceph: connect (1)[c::]:6789 error -101 [ 532.405594][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 532.923947][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 532.930099][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 533.171571][ T7] libceph: connect (1)[c::]:6789 error -101 [ 533.177558][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 533.183919][ T783] libceph: connect (1)[c::]:6789 error -101 [ 533.190003][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 533.196264][ T783] libceph: connect (1)[c::]:6789 error -101 [ 533.202390][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 533.210059][ T7] libceph: connect (1)[c::]:6789 error -101 [ 533.216029][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 533.222371][ T783] libceph: connect (1)[c::]:6789 error -101 [ 533.228445][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 533.499255][ T783] libceph: connect (1)[c::]:6789 error -101 [ 533.505248][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 533.512535][ T7] libceph: connect (1)[c::]:6789 error -101 [ 533.518880][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 533.524997][ T7] libceph: connect (1)[c::]:6789 error -101 [ 533.531101][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 534.051379][ T783] libceph: connect (1)[c::]:6789 error -101 [ 534.057402][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 534.063742][ T7] libceph: connect (1)[c::]:6789 error -101 [ 534.069848][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 534.076078][ T7] libceph: connect (1)[c::]:6789 error -101 [ 534.083057][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 534.128216][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 534.134218][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 534.146833][ T7] libceph: connect (1)[c::]:6789 error -101 [ 534.153127][ T783] libceph: connect (1)[c::]:6789 error -101 [ 534.159236][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 534.165274][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 534.428369][ T7] libceph: connect (1)[c::]:6789 error -101 [ 534.435386][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 534.441886][ T783] libceph: connect (1)[c::]:6789 error -101 [ 534.448459][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 534.960632][ T783] libceph: connect (1)[c::]:6789 error -101 [ 534.966643][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 534.973102][ T7] libceph: connect (1)[c::]:6789 error -101 [ 534.979284][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 535.089613][ T57] libceph: connect (1)[c::]:6789 error -101 [ 535.095594][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 535.178033][ T7] libceph: connect (1)[c::]:6789 error -101 [ 535.184041][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 535.190467][ T8] libceph: connect (1)[c::]:6789 error -101 [ 535.196442][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 535.202860][ T7] libceph: connect (1)[c::]:6789 error -101 [ 535.209027][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 535.368876][ T57] libceph: connect (1)[c::]:6789 error -101 [ 535.374870][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 535.901110][ T57] libceph: connect (1)[c::]:6789 error -101 [ 535.907125][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 536.129031][ T7] libceph: connect (1)[c::]:6789 error -101 [ 536.135011][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 536.141323][ T8] libceph: connect (1)[c::]:6789 error -101 [ 536.147293][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 536.154845][ T7] libceph: connect (1)[c::]:6789 error -101 [ 536.160923][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 536.167018][ T7] libceph: connect (1)[c::]:6789 error -101 [ 536.174316][ T783] libceph: connect (1)[c::]:6789 error -101 [ 536.180471][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 536.186476][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 536.438536][ T7] libceph: connect (1)[c::]:6789 error -101 [ 536.444516][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 536.456694][ T783] libceph: connect (1)[c::]:6789 error -101 [ 536.462762][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 536.469234][ T8] libceph: connect (1)[c::]:6789 error -101 [ 536.475251][ T8] libceph: mon0 (1)[c::]:6789 connect error 13:10:46 executing program 2: r0 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r0, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r0, 0x6, 0x0, 0x0, 0x0) r1 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r1, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r1, 0x6, 0x0, 0x0, 0x0) 13:10:46 executing program 1: openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000340)='cgroup.controllers\x00', 0x275a, 0x0) r0 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000000)='net_prio.prioidx\x00', 0x275a, 0x0) write$binfmt_script(r0, &(0x7f00000007c0)=ANY=[], 0x208e24b) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x2, 0x28011, r0, 0x0) r1 = socket$inet6_udplite(0xa, 0x2, 0x88) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f0000000240)={'wlan1\x00', 0x0}) r3 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_FRAME(0xffffffffffffffff, &(0x7f0000001280)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000000040)=ANY=[@ANYBLOB="48040000", @ANYRES16=r3, @ANYBLOB="01e5ff000004000004003b1c210008000300", @ANYRES32=r2, @ANYBLOB="2c0433005000de295b3acba52ee4080211000001505050505050"], 0x448}}, 0x0) preadv(r0, &(0x7f00000015c0)=[{&(0x7f0000000080)=""/124, 0xffffffff000}], 0x5, 0x0, 0x0) sendmsg$NL80211_CMD_FRAME(0xffffffffffffffff, &(0x7f0000000300)={0x0, 0x0, &(0x7f00000002c0)={&(0x7f0000000440)={0x84, 0x0, 0x0, 0x0, 0x0, {{}, {@void, @val={0xc}}}, [@NL80211_ATTR_FRAME={0x61, 0x33, @assoc_resp={@with_ht={{{}, {}, @broadcast, @device_b}}, 0x0, 0x0, @random, @val, @val={0x2d, 0x1a}, [{0xdd, 0x6, "d7af403f5a78"}, {0xdd, 0x13, "3d99bcae612fdb570ad14c1773ec4f7bd011cc"}]}}]}, 0x84}}, 0x0) r4 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000200)='cgroup.controllers\x00', 0xb00000000065808, 0x0) r5 = socket$nl_generic(0x10, 0x3, 0x10) r6 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f00000000c0)='cgroup.controllers\x00', 0x275a, 0x0) write$binfmt_script(r6, &(0x7f0000000240)=ANY=[], 0x3af4701e) sendfile(r5, r4, 0x0, 0x10000a006) 13:10:46 executing program 4: syz_open_dev$dri(&(0x7f0000000140), 0x0, 0x8efc0) syz_open_dev$dri(&(0x7f0000000240), 0x1, 0x0) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = getpid() process_vm_readv(r0, &(0x7f0000008400)=[{&(0x7f0000000300)=""/54, 0x7ffff000}, {&(0x7f0000006180)=""/152, 0x98}], 0x2, &(0x7f0000008640)=[{&(0x7f0000008480)=""/95, 0x7ffff000}], 0x286, 0x0) getpid() syz_genetlink_get_family_id$tipc2(&(0x7f00000002c0), 0xffffffffffffffff) syz_genetlink_get_family_id$ethtool(&(0x7f00000001c0), 0xffffffffffffffff) r1 = openat$nullb(0xffffffffffffff9c, &(0x7f0000000580), 0x66380, 0x0) r2 = dup(r1) r3 = socket$alg(0x26, 0x5, 0x0) bind$alg(r3, &(0x7f0000000280)={0x26, 'skcipher\x00', 0x0, 0x0, 'ecb(cipher_null)\x00'}, 0x58) r4 = accept4(r3, 0x0, 0x0, 0x0) recvfrom(r4, &(0x7f0000000080)=""/175, 0x59000, 0x0, 0x0, 0x10000000000008) r5 = getpid() process_vm_readv(r5, &(0x7f0000008400)=[{&(0x7f0000000300)=""/54, 0x36}, {&(0x7f0000006180)=""/152, 0x98}], 0x2, 0x0, 0x0, 0x0) ioctl$BLKZEROOUT(r1, 0x127f, &(0x7f0000000000)={0x7, 0x8}) sendmsg(0xffffffffffffffff, &(0x7f0000001e00)={&(0x7f0000000840)=@nfc={0x27, 0x0, 0xffffffffffffffff, 0x9c0f093bdf05083c}, 0x80, &(0x7f0000000940)=[{&(0x7f00000008c0)="b0680fb2d2d54fa6b35bc5599955deab64f8f81275c135d8c893ea48cac065a877890231b56ba450367c1c1c47c185d70dc69af50debb79820e2cf8d71c2dd46dd406c880535607754da6f3d1c0ef53cc58c40d05cff930701708bf38e904d067dcc23116ccc9656", 0x68}], 0x1, &(0x7f0000001e40)=ANY=[@ANYBLOB="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"/5255], 0x1480}, 0x4008000) setsockopt$SO_TIMESTAMPING(0xffffffffffffffff, 0x1, 0x25, &(0x7f0000000180), 0x4) ioctl$DRM_IOCTL_RES_CTX(0xffffffffffffffff, 0xc0106426, &(0x7f0000000040)={0x4, &(0x7f0000000000)=[{}, {}, {}, {}]}) r6 = socket$inet_icmp_raw(0x2, 0x3, 0x1) setsockopt$inet_mreq(r6, 0x0, 0x23, &(0x7f00000000c0)={@multicast2, @local}, 0x8) setsockopt$SO_TIMESTAMPING(r6, 0x1, 0x41, &(0x7f0000000200), 0x4) ioctl$DRM_IOCTL_SET_SAREA_CTX(0xffffffffffffffff, 0x4010641c, 0x0) sendfile(r4, r2, 0x0, 0x8a000) 13:10:46 executing program 3: r0 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r0, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r0, 0x6, 0x0, 0x0, 0x0) r1 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r1, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r1, 0x6, 0x0, 0x0, 0x0) 13:10:46 executing program 0: syz_open_dev$dri(&(0x7f0000000140), 0x0, 0x8efc0) syz_open_dev$dri(&(0x7f0000000240), 0x1, 0x0) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = getpid() process_vm_readv(r0, &(0x7f0000008400)=[{&(0x7f0000000300)=""/54, 0x7ffff000}, {&(0x7f0000006180)=""/152, 0x98}], 0x2, &(0x7f0000008640)=[{&(0x7f0000008480)=""/95, 0x7ffff000}], 0x286, 0x0) getpid() syz_genetlink_get_family_id$tipc2(&(0x7f00000002c0), 0xffffffffffffffff) syz_genetlink_get_family_id$ethtool(&(0x7f00000001c0), 0xffffffffffffffff) r1 = openat$nullb(0xffffffffffffff9c, &(0x7f0000000580), 0x66380, 0x0) r2 = dup(r1) r3 = socket$alg(0x26, 0x5, 0x0) bind$alg(r3, &(0x7f0000000280)={0x26, 'skcipher\x00', 0x0, 0x0, 'ecb(cipher_null)\x00'}, 0x58) r4 = accept4(r3, 0x0, 0x0, 0x0) recvfrom(r4, &(0x7f0000000080)=""/175, 0x59000, 0x0, 0x0, 0x10000000000008) r5 = getpid() process_vm_readv(r5, &(0x7f0000008400)=[{&(0x7f0000000300)=""/54, 0x36}, {&(0x7f0000006180)=""/152, 0x98}], 0x2, 0x0, 0x0, 0x0) ioctl$BLKZEROOUT(r1, 0x127f, &(0x7f0000000000)={0x7, 0x8}) sendmsg(0xffffffffffffffff, &(0x7f0000001e00)={&(0x7f0000000840)=@nfc={0x27, 0x0, 0xffffffffffffffff, 0x9c0f093bdf05083c}, 0x80, &(0x7f0000000940)=[{&(0x7f00000008c0)="b0680fb2d2d54fa6b35bc5599955deab64f8f81275c135d8c893ea48cac065a877890231b56ba450367c1c1c47c185d70dc69af50debb79820e2cf8d71c2dd46dd406c880535607754da6f3d1c0ef53cc58c40d05cff930701708bf38e904d067dcc23116ccc9656", 0x68}], 0x1, &(0x7f0000001e40)=ANY=[@ANYBLOB="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"/5255], 0x1480}, 0x4008000) setsockopt$SO_TIMESTAMPING(0xffffffffffffffff, 0x1, 0x25, &(0x7f0000000180), 0x4) ioctl$DRM_IOCTL_RES_CTX(0xffffffffffffffff, 0xc0106426, &(0x7f0000000040)={0x4, &(0x7f0000000000)=[{}, {}, {}, {}]}) r6 = socket$inet_icmp_raw(0x2, 0x3, 0x1) setsockopt$inet_mreq(r6, 0x0, 0x23, &(0x7f00000000c0)={@multicast2, @local}, 0x8) setsockopt$SO_TIMESTAMPING(r6, 0x1, 0x41, &(0x7f0000000200), 0x4) ioctl$DRM_IOCTL_SET_SAREA_CTX(0xffffffffffffffff, 0x4010641c, 0x0) sendfile(r4, r2, 0x0, 0x8a000) [ 536.699310][ T57] libceph: connect (1)[c::]:6789 error -101 [ 536.756576][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 536.770529][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 536.808068][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 536.878867][ T28] audit: type=1804 audit(1706879447.010:69): pid=8250 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=open_writers comm="syz-executor.1" name="/root/syzkaller-testdir1638568600/syzkaller.g5qKH8/217/cgroup.controllers" dev="sda1" ino=1986 res=1 errno=0 [ 536.907351][ T28] audit: type=1804 audit(1706879447.030:70): pid=8250 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.1" name="/root/syzkaller-testdir1638568600/syzkaller.g5qKH8/217/cgroup.controllers" dev="sda1" ino=1986 res=1 errno=0 [ 536.988111][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 537.005926][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 537.012288][ T8] libceph: connect (1)[c::]:6789 error -101 [ 537.027784][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 537.063952][ T8] libceph: connect (1)[c::]:6789 error -101 [ 537.082007][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 537.089538][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 537.110977][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 537.129836][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 537.162574][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 537.169128][ T57] libceph: connect (1)[c::]:6789 error -101 [ 537.169399][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 537.175128][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 537.181436][ T8] libceph: connect (1)[c::]:6789 error -101 [ 537.235478][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 537.246412][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 537.487848][ T8255] ceph: No mds server is up or the cluster is laggy [ 537.527711][ T8248] ceph: No mds server is up or the cluster is laggy [ 537.528209][ T8] libceph: connect (1)[c::]:6789 error -101 [ 537.547247][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 537.565188][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 537.577185][ T8] libceph: mon0 (1)[c::]:6789 connect error 13:10:47 executing program 4: openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000340)='cgroup.controllers\x00', 0x275a, 0x0) r0 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000000)='net_prio.prioidx\x00', 0x275a, 0x0) write$binfmt_script(r0, &(0x7f00000007c0)=ANY=[], 0x208e24b) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x2, 0x28011, r0, 0x0) r1 = socket$inet6_udplite(0xa, 0x2, 0x88) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f0000000240)={'wlan1\x00', 0x0}) r3 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_FRAME(0xffffffffffffffff, &(0x7f0000001280)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000000040)=ANY=[@ANYBLOB="48040000", @ANYRES16=r3, @ANYBLOB="01e5ff000004000004003b1c210008000300", @ANYRES32=r2, @ANYBLOB="2c0433005000de295b3acba52ee4080211000001505050505050"], 0x448}}, 0x0) preadv(r0, &(0x7f00000015c0)=[{&(0x7f0000000080)=""/124, 0xffffffff000}], 0x5, 0x0, 0x0) sendmsg$NL80211_CMD_FRAME(0xffffffffffffffff, &(0x7f0000000300)={0x0, 0x0, &(0x7f00000002c0)={&(0x7f0000000440)={0x84, 0x0, 0x0, 0x0, 0x0, {{}, {@void, @val={0xc}}}, [@NL80211_ATTR_FRAME={0x61, 0x33, @assoc_resp={@with_ht={{{}, {}, @broadcast, @device_b}}, 0x0, 0x0, @random, @val, @val={0x2d, 0x1a}, [{0xdd, 0x6, "d7af403f5a78"}, {0xdd, 0x13, "3d99bcae612fdb570ad14c1773ec4f7bd011cc"}]}}]}, 0x84}}, 0x0) r4 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000200)='cgroup.controllers\x00', 0xb00000000065808, 0x0) r5 = socket$nl_generic(0x10, 0x3, 0x10) r6 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f00000000c0)='cgroup.controllers\x00', 0x275a, 0x0) write$binfmt_script(r6, &(0x7f0000000240)=ANY=[], 0x3af4701e) sendfile(r5, r4, 0x0, 0x10000a006) 13:10:47 executing program 0: r0 = syz_io_uring_setup(0x24f6, &(0x7f0000000080)={0x0, 0x0, 0x10100}, &(0x7f0000000100)=0x0, &(0x7f0000000140)=0x0) syz_io_uring_submit(0x0, 0x0, &(0x7f00000001c0)=@IORING_OP_TEE={0x21, 0x0, 0x0, @fd_index}) r3 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000080)='cpu.stat\x00', 0x275a, 0x0) write$UHID_CREATE2(r3, &(0x7f00000001c0)=ANY=[@ANYBLOB='#'], 0x118) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x5, 0x12, r3, 0x0) syz_io_uring_submit(r1, r2, &(0x7f0000000000)=@IORING_OP_RECVMSG={0xa, 0x0, 0x0, 0xffffffffffffffff, 0x0, 0x0}) io_uring_enter(r0, 0x2d3e, 0x0, 0x0, 0x0, 0x0) [ 537.718032][ T57] libceph: connect (1)[c::]:6789 error -101 [ 537.744481][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 537.751642][ T5146] libceph: connect (1)[c::]:6789 error -101 13:10:47 executing program 1: openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000340)='cgroup.controllers\x00', 0x275a, 0x0) r0 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000000)='net_prio.prioidx\x00', 0x275a, 0x0) write$binfmt_script(r0, &(0x7f00000007c0)=ANY=[], 0x208e24b) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x2, 0x28011, r0, 0x0) r1 = socket$inet6_udplite(0xa, 0x2, 0x88) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f0000000240)={'wlan1\x00', 0x0}) r3 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_FRAME(0xffffffffffffffff, &(0x7f0000001280)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000000040)=ANY=[@ANYBLOB="48040000", @ANYRES16=r3, @ANYBLOB="01e5ff000004000004003b1c210008000300", @ANYRES32=r2, @ANYBLOB="2c0433005000de295b3acba52ee4080211000001505050505050"], 0x448}}, 0x0) preadv(r0, &(0x7f00000015c0)=[{&(0x7f0000000080)=""/124, 0xffffffff000}], 0x5, 0x0, 0x0) sendmsg$NL80211_CMD_FRAME(0xffffffffffffffff, &(0x7f0000000300)={0x0, 0x0, &(0x7f00000002c0)={&(0x7f0000000440)={0x84, 0x0, 0x0, 0x0, 0x0, {{}, {@void, @val={0xc}}}, [@NL80211_ATTR_FRAME={0x61, 0x33, @assoc_resp={@with_ht={{{}, {}, @broadcast, @device_b}}, 0x0, 0x0, @random, @val, @val={0x2d, 0x1a}, [{0xdd, 0x6, "d7af403f5a78"}, {0xdd, 0x13, "3d99bcae612fdb570ad14c1773ec4f7bd011cc"}]}}]}, 0x84}}, 0x0) r4 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000200)='cgroup.controllers\x00', 0xb00000000065808, 0x0) r5 = socket$nl_generic(0x10, 0x3, 0x10) r6 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f00000000c0)='cgroup.controllers\x00', 0x275a, 0x0) write$binfmt_script(r6, &(0x7f0000000240)=ANY=[], 0x3af4701e) sendfile(r5, r4, 0x0, 0x10000a006) [ 537.777970][ T5146] libceph: mon0 (1)[c::]:6789 connect error 13:10:48 executing program 0: seccomp$SECCOMP_SET_MODE_FILTER(0x1, 0x0, &(0x7f0000000040)={0x1, &(0x7f0000000000)=[{0x6, 0x0, 0x0, 0x7fff0000}]}) arch_prctl$ARCH_FORCE_TAGGED_SVA(0x4004) 13:10:48 executing program 0: bpf$MAP_CREATE(0x22, &(0x7f00000000c0), 0x48) 13:10:48 executing program 0: bpf$MAP_UPDATE_CONST_STR(0x2, &(0x7f0000000340)={{}, &(0x7f00000002c0), 0x0}, 0x20) ioctl$DRM_IOCTL_RM_CTX(0xffffffffffffffff, 0xc0086421, &(0x7f0000000580)={0x0, 0x3}) bpf$MAP_UPDATE_CONST_STR(0x2, &(0x7f0000000740)={{0xffffffffffffffff, 0xffffffffffffffff}, &(0x7f00000006c0), &(0x7f0000000700)='%pK \x00'}, 0x20) bpf$PROG_LOAD_XDP(0x5, &(0x7f00000007c0)={0x6, 0x1, &(0x7f00000005c0)=@raw=[@ldst={0x0, 0x0, 0x2, 0x6, 0x0, 0x7f}], &(0x7f0000000600)='GPL\x00', 0x0, 0x3a, &(0x7f0000000640)=""/58, 0x0, 0x1, '\x00', 0x0, 0x25, 0xffffffffffffffff, 0x8, &(0x7f0000000680)={0x2, 0x2}, 0x8, 0x10, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x10, 0x10000}, 0x90) ioctl$IOMMU_DESTROY$device(0xffffffffffffffff, 0x3b80, &(0x7f0000000900)={0x8}) syz_open_dev$dri(&(0x7f0000000940), 0x0, 0x28000) bpf$BPF_MAP_CONST_STR_FREEZE(0x16, 0x0, 0x0) ioctl$ifreq_SIOCGIFINDEX_wireguard(0xffffffffffffffff, 0x8933, &(0x7f0000000c00)={'wg2\x00', 0x0}) pipe(&(0x7f0000000c40)={0xffffffffffffffff}) bpf$BPF_MAP_CONST_STR_FREEZE(0x16, 0x0, 0x0) bpf$PROG_LOAD(0x5, &(0x7f0000000e00)={0x7, 0x0, 0x0, 0x0, 0x8001, 0x0, 0x0, 0x41000, 0x0, '\x00', r1, 0xe, r2, 0x8, &(0x7f0000000c80)={0x2, 0x2}, 0x8, 0x10, &(0x7f0000000cc0)={0x0, 0x6, 0xbd9, 0xfffffff8}, 0x10, 0xffffffffffffffff, 0xffffffffffffffff, 0x4, &(0x7f0000000d40)=[r0, 0xffffffffffffffff, 0xffffffffffffffff], &(0x7f0000000d80)=[{}, {}, {0x5, 0x1, 0x0, 0x7}, {0x0, 0x4}]}, 0x90) r3 = openat$iommufd(0xffffffffffffff9c, &(0x7f0000000f00), 0x0, 0x0) ioctl$IOMMU_IOAS_ALLOC(0xffffffffffffffff, 0x3b81, &(0x7f0000000f40)={0xc}) ioctl$IOMMU_TEST_OP_MOCK_DOMAIN(r3, 0x3ba0, &(0x7f0000000f80)={0x48}) [ 538.023956][ T28] audit: type=1804 audit(1706879448.160:71): pid=8263 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=open_writers comm="syz-executor.4" name="/root/syzkaller-testdir2961811100/syzkaller.WVNptY/212/cgroup.controllers" dev="sda1" ino=1973 res=1 errno=0 [ 538.087431][ T8275] iommufd_mock iommufd_mock1: Adding to iommu group 0 [ 538.095497][ T28] audit: type=1804 audit(1706879448.190:72): pid=8263 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.4" name="/root/syzkaller-testdir2961811100/syzkaller.WVNptY/212/cgroup.controllers" dev="sda1" ino=1973 res=1 errno=0 [ 538.122971][ T7] libceph: connect (1)[c::]:6789 error -101 13:10:48 executing program 0: bpf$MAP_UPDATE_CONST_STR(0x2, &(0x7f0000000340)={{}, &(0x7f00000002c0), 0x0}, 0x20) ioctl$DRM_IOCTL_RM_CTX(0xffffffffffffffff, 0xc0086421, &(0x7f0000000580)={0x0, 0x3}) bpf$MAP_UPDATE_CONST_STR(0x2, &(0x7f0000000740)={{0xffffffffffffffff, 0xffffffffffffffff}, &(0x7f00000006c0), &(0x7f0000000700)='%pK \x00'}, 0x20) bpf$PROG_LOAD_XDP(0x5, &(0x7f00000007c0)={0x6, 0x1, &(0x7f00000005c0)=@raw=[@ldst={0x0, 0x0, 0x2, 0x6, 0x0, 0x7f}], &(0x7f0000000600)='GPL\x00', 0x0, 0x3a, &(0x7f0000000640)=""/58, 0x0, 0x1, '\x00', 0x0, 0x25, 0xffffffffffffffff, 0x8, &(0x7f0000000680)={0x2, 0x2}, 0x8, 0x10, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x10, 0x10000}, 0x90) ioctl$IOMMU_DESTROY$device(0xffffffffffffffff, 0x3b80, &(0x7f0000000900)={0x8}) syz_open_dev$dri(&(0x7f0000000940), 0x0, 0x28000) bpf$BPF_MAP_CONST_STR_FREEZE(0x16, 0x0, 0x0) ioctl$ifreq_SIOCGIFINDEX_wireguard(0xffffffffffffffff, 0x8933, &(0x7f0000000c00)={'wg2\x00', 0x0}) pipe(&(0x7f0000000c40)={0xffffffffffffffff}) bpf$BPF_MAP_CONST_STR_FREEZE(0x16, 0x0, 0x0) bpf$PROG_LOAD(0x5, &(0x7f0000000e00)={0x7, 0x0, 0x0, 0x0, 0x8001, 0x0, 0x0, 0x41000, 0x0, '\x00', r1, 0xe, r2, 0x8, &(0x7f0000000c80)={0x2, 0x2}, 0x8, 0x10, &(0x7f0000000cc0)={0x0, 0x6, 0xbd9, 0xfffffff8}, 0x10, 0xffffffffffffffff, 0xffffffffffffffff, 0x4, &(0x7f0000000d40)=[r0, 0xffffffffffffffff, 0xffffffffffffffff], &(0x7f0000000d80)=[{}, {}, {0x5, 0x1, 0x0, 0x7}, {0x0, 0x4}]}, 0x90) r3 = openat$iommufd(0xffffffffffffff9c, &(0x7f0000000f00), 0x0, 0x0) ioctl$IOMMU_IOAS_ALLOC(0xffffffffffffffff, 0x3b81, &(0x7f0000000f40)={0xc}) ioctl$IOMMU_TEST_OP_MOCK_DOMAIN(r3, 0x3ba0, &(0x7f0000000f80)={0x48}) [ 538.136517][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 538.139574][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 538.150662][ T8] libceph: connect (1)[c::]:6789 error -101 [ 538.156267][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 538.161570][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 538.169739][ T7] libceph: connect (1)[c::]:6789 error -101 [ 538.178241][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 538.202293][ T28] audit: type=1804 audit(1706879448.190:73): pid=8268 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=open_writers comm="syz-executor.1" name="/root/syzkaller-testdir1638568600/syzkaller.g5qKH8/218/cgroup.controllers" dev="sda1" ino=1982 res=1 errno=0 [ 538.202463][ T7] libceph: connect (1)[c::]:6789 error -101 [ 538.228567][ C1] vkms_vblank_simulate: vblank timer overrun [ 538.232103][ T28] audit: type=1804 audit(1706879448.200:74): pid=8268 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.1" name="/root/syzkaller-testdir1638568600/syzkaller.g5qKH8/218/cgroup.controllers" dev="sda1" ino=1982 res=1 errno=0 [ 538.236778][ T8] libceph: connect (1)[c::]:6789 error -101 [ 538.284536][ T8277] iommufd_mock iommufd_mock1: Adding to iommu group 0 13:10:48 executing program 0: bpf$MAP_UPDATE_CONST_STR(0x2, &(0x7f0000000340)={{}, &(0x7f00000002c0), 0x0}, 0x20) ioctl$DRM_IOCTL_RM_CTX(0xffffffffffffffff, 0xc0086421, &(0x7f0000000580)={0x0, 0x3}) bpf$MAP_UPDATE_CONST_STR(0x2, &(0x7f0000000740)={{0xffffffffffffffff, 0xffffffffffffffff}, &(0x7f00000006c0), &(0x7f0000000700)='%pK \x00'}, 0x20) bpf$PROG_LOAD_XDP(0x5, &(0x7f00000007c0)={0x6, 0x1, &(0x7f00000005c0)=@raw=[@ldst={0x0, 0x0, 0x2, 0x6, 0x0, 0x7f}], &(0x7f0000000600)='GPL\x00', 0x0, 0x3a, &(0x7f0000000640)=""/58, 0x0, 0x1, '\x00', 0x0, 0x25, 0xffffffffffffffff, 0x8, &(0x7f0000000680)={0x2, 0x2}, 0x8, 0x10, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x10, 0x10000}, 0x90) ioctl$IOMMU_DESTROY$device(0xffffffffffffffff, 0x3b80, &(0x7f0000000900)={0x8}) syz_open_dev$dri(&(0x7f0000000940), 0x0, 0x28000) bpf$BPF_MAP_CONST_STR_FREEZE(0x16, 0x0, 0x0) ioctl$ifreq_SIOCGIFINDEX_wireguard(0xffffffffffffffff, 0x8933, &(0x7f0000000c00)={'wg2\x00', 0x0}) pipe(&(0x7f0000000c40)={0xffffffffffffffff}) bpf$BPF_MAP_CONST_STR_FREEZE(0x16, 0x0, 0x0) bpf$PROG_LOAD(0x5, &(0x7f0000000e00)={0x7, 0x0, 0x0, 0x0, 0x8001, 0x0, 0x0, 0x41000, 0x0, '\x00', r1, 0xe, r2, 0x8, &(0x7f0000000c80)={0x2, 0x2}, 0x8, 0x10, &(0x7f0000000cc0)={0x0, 0x6, 0xbd9, 0xfffffff8}, 0x10, 0xffffffffffffffff, 0xffffffffffffffff, 0x4, &(0x7f0000000d40)=[r0, 0xffffffffffffffff, 0xffffffffffffffff], &(0x7f0000000d80)=[{}, {}, {0x5, 0x1, 0x0, 0x7}, {0x0, 0x4}]}, 0x90) r3 = openat$iommufd(0xffffffffffffff9c, &(0x7f0000000f00), 0x0, 0x0) ioctl$IOMMU_IOAS_ALLOC(0xffffffffffffffff, 0x3b81, &(0x7f0000000f40)={0xc}) ioctl$IOMMU_TEST_OP_MOCK_DOMAIN(r3, 0x3ba0, &(0x7f0000000f80)={0x48}) [ 538.305276][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 538.319538][ T8] libceph: mon0 (1)[c::]:6789 connect error 13:10:48 executing program 0: bpf$MAP_UPDATE_CONST_STR(0x2, &(0x7f0000000340)={{}, &(0x7f00000002c0), 0x0}, 0x20) ioctl$DRM_IOCTL_RM_CTX(0xffffffffffffffff, 0xc0086421, &(0x7f0000000580)={0x0, 0x3}) bpf$MAP_UPDATE_CONST_STR(0x2, &(0x7f0000000740)={{0xffffffffffffffff, 0xffffffffffffffff}, &(0x7f00000006c0), &(0x7f0000000700)='%pK \x00'}, 0x20) bpf$PROG_LOAD_XDP(0x5, &(0x7f00000007c0)={0x6, 0x1, &(0x7f00000005c0)=@raw=[@ldst={0x0, 0x0, 0x2, 0x6, 0x0, 0x7f}], &(0x7f0000000600)='GPL\x00', 0x0, 0x3a, &(0x7f0000000640)=""/58, 0x0, 0x1, '\x00', 0x0, 0x25, 0xffffffffffffffff, 0x8, &(0x7f0000000680)={0x2, 0x2}, 0x8, 0x10, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x10, 0x10000}, 0x90) ioctl$IOMMU_DESTROY$device(0xffffffffffffffff, 0x3b80, &(0x7f0000000900)={0x8}) syz_open_dev$dri(&(0x7f0000000940), 0x0, 0x28000) bpf$BPF_MAP_CONST_STR_FREEZE(0x16, 0x0, 0x0) ioctl$ifreq_SIOCGIFINDEX_wireguard(0xffffffffffffffff, 0x8933, &(0x7f0000000c00)={'wg2\x00', 0x0}) pipe(&(0x7f0000000c40)={0xffffffffffffffff}) bpf$BPF_MAP_CONST_STR_FREEZE(0x16, 0x0, 0x0) bpf$PROG_LOAD(0x5, &(0x7f0000000e00)={0x7, 0x0, 0x0, 0x0, 0x8001, 0x0, 0x0, 0x41000, 0x0, '\x00', r1, 0xe, r2, 0x8, &(0x7f0000000c80)={0x2, 0x2}, 0x8, 0x10, &(0x7f0000000cc0)={0x0, 0x6, 0xbd9, 0xfffffff8}, 0x10, 0xffffffffffffffff, 0xffffffffffffffff, 0x4, &(0x7f0000000d40)=[r0, 0xffffffffffffffff, 0xffffffffffffffff], &(0x7f0000000d80)=[{}, {}, {0x5, 0x1, 0x0, 0x7}, {0x0, 0x4}]}, 0x90) r3 = openat$iommufd(0xffffffffffffff9c, &(0x7f0000000f00), 0x0, 0x0) ioctl$IOMMU_IOAS_ALLOC(0xffffffffffffffff, 0x3b81, &(0x7f0000000f40)={0xc}) ioctl$IOMMU_TEST_OP_MOCK_DOMAIN(r3, 0x3ba0, &(0x7f0000000f80)={0x48}) [ 538.406537][ T8279] iommufd_mock iommufd_mock1: Adding to iommu group 0 [ 538.438183][ T57] libceph: connect (1)[c::]:6789 error -101 [ 538.444445][ T57] libceph: mon0 (1)[c::]:6789 connect error 13:10:48 executing program 0: syz_mount_image$btrfs(&(0x7f00000055c0), &(0x7f0000005600)='./bus\x00', 0x0, &(0x7f0000000440)=ANY=[@ANYBLOB="646973636172642c0008fd346f1fe0c7b977cf98b0dfc801ecf9a88e1ae482"], 0x1, 0x559d, &(0x7f0000005680)="$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") r0 = open(&(0x7f0000000000)='./bus\x00', 0x4c37e, 0x0) fallocate(r0, 0x0, 0x0, 0x1000f4) sendfile(r0, r0, 0x0, 0x8800000) creat(&(0x7f0000000380)='./bus\x00', 0x0) [ 538.503762][ T8281] iommufd_mock iommufd_mock1: Adding to iommu group 0 13:10:48 executing program 4: openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000340)='cgroup.controllers\x00', 0x275a, 0x0) r0 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000000)='net_prio.prioidx\x00', 0x275a, 0x0) write$binfmt_script(r0, &(0x7f00000007c0)=ANY=[], 0x208e24b) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x2, 0x28011, r0, 0x0) r1 = socket$inet6_udplite(0xa, 0x2, 0x88) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f0000000240)={'wlan1\x00', 0x0}) r3 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_FRAME(0xffffffffffffffff, &(0x7f0000001280)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000000040)=ANY=[@ANYBLOB="48040000", @ANYRES16=r3, @ANYBLOB="01e5ff000004000004003b1c210008000300", @ANYRES32=r2, @ANYBLOB="2c0433005000de295b3acba52ee4080211000001505050505050"], 0x448}}, 0x0) preadv(r0, &(0x7f00000015c0)=[{&(0x7f0000000080)=""/124, 0xffffffff000}], 0x5, 0x0, 0x0) sendmsg$NL80211_CMD_FRAME(0xffffffffffffffff, &(0x7f0000000300)={0x0, 0x0, &(0x7f00000002c0)={&(0x7f0000000440)={0x84, 0x0, 0x0, 0x0, 0x0, {{}, {@void, @val={0xc}}}, [@NL80211_ATTR_FRAME={0x61, 0x33, @assoc_resp={@with_ht={{{}, {}, @broadcast, @device_b}}, 0x0, 0x0, @random, @val, @val={0x2d, 0x1a}, [{0xdd, 0x6, "d7af403f5a78"}, {0xdd, 0x13, "3d99bcae612fdb570ad14c1773ec4f7bd011cc"}]}}]}, 0x84}}, 0x0) r4 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000200)='cgroup.controllers\x00', 0xb00000000065808, 0x0) r5 = socket$nl_generic(0x10, 0x3, 0x10) r6 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f00000000c0)='cgroup.controllers\x00', 0x275a, 0x0) write$binfmt_script(r6, &(0x7f0000000240)=ANY=[], 0x3af4701e) sendfile(r5, r4, 0x0, 0x10000a006) 13:10:49 executing program 1: openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000340)='cgroup.controllers\x00', 0x275a, 0x0) r0 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000000)='net_prio.prioidx\x00', 0x275a, 0x0) write$binfmt_script(r0, &(0x7f00000007c0)=ANY=[], 0x208e24b) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x2, 0x28011, r0, 0x0) r1 = socket$inet6_udplite(0xa, 0x2, 0x88) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f0000000240)={'wlan1\x00', 0x0}) r3 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_FRAME(0xffffffffffffffff, &(0x7f0000001280)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000000040)=ANY=[@ANYBLOB="48040000", @ANYRES16=r3, @ANYBLOB="01e5ff000004000004003b1c210008000300", @ANYRES32=r2, @ANYBLOB="2c0433005000de295b3acba52ee4080211000001505050505050"], 0x448}}, 0x0) preadv(r0, &(0x7f00000015c0)=[{&(0x7f0000000080)=""/124, 0xffffffff000}], 0x5, 0x0, 0x0) sendmsg$NL80211_CMD_FRAME(0xffffffffffffffff, &(0x7f0000000300)={0x0, 0x0, &(0x7f00000002c0)={&(0x7f0000000440)={0x84, 0x0, 0x0, 0x0, 0x0, {{}, {@void, @val={0xc}}}, [@NL80211_ATTR_FRAME={0x61, 0x33, @assoc_resp={@with_ht={{{}, {}, @broadcast, @device_b}}, 0x0, 0x0, @random, @val, @val={0x2d, 0x1a}, [{0xdd, 0x6, "d7af403f5a78"}, {0xdd, 0x13, "3d99bcae612fdb570ad14c1773ec4f7bd011cc"}]}}]}, 0x84}}, 0x0) r4 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000200)='cgroup.controllers\x00', 0xb00000000065808, 0x0) r5 = socket$nl_generic(0x10, 0x3, 0x10) r6 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f00000000c0)='cgroup.controllers\x00', 0x275a, 0x0) write$binfmt_script(r6, &(0x7f0000000240)=ANY=[], 0x3af4701e) sendfile(r5, r4, 0x0, 0x10000a006) [ 538.964098][ T57] libceph: connect (1)[c::]:6789 error -101 [ 538.995100][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 539.089024][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 539.095072][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 539.101261][ T28] audit: type=1804 audit(1706879449.230:75): pid=8286 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=open_writers comm="syz-executor.4" name="/root/syzkaller-testdir2961811100/syzkaller.WVNptY/213/cgroup.controllers" dev="sda1" ino=1974 res=1 errno=0 [ 539.127493][ C1] vkms_vblank_simulate: vblank timer overrun [ 539.134246][ T57] libceph: connect (1)[c::]:6789 error -101 [ 539.147752][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 539.168734][ T8] libceph: connect (1)[c::]:6789 error -101 [ 539.178783][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 539.189209][ T28] audit: type=1804 audit(1706879449.230:76): pid=8286 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.4" name="/root/syzkaller-testdir2961811100/syzkaller.WVNptY/213/cgroup.controllers" dev="sda1" ino=1974 res=1 errno=0 [ 539.189970][ T8] libceph: connect (1)[c::]:6789 error -101 [ 539.237675][ T28] audit: type=1804 audit(1706879449.370:77): pid=8291 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=open_writers comm="syz-executor.1" name="/root/syzkaller-testdir1638568600/syzkaller.g5qKH8/219/cgroup.controllers" dev="sda1" ino=1985 res=1 errno=0 [ 539.238265][ T7] libceph: connect (1)[c::]:6789 error -101 [ 539.263923][ C1] vkms_vblank_simulate: vblank timer overrun [ 539.277541][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 539.308046][ T783] libceph: connect (1)[c::]:6789 error -101 [ 539.314096][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 539.320384][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 539.344474][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 539.358361][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 539.358471][ T28] audit: type=1804 audit(1706879449.370:78): pid=8291 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.1" name="/root/syzkaller-testdir1638568600/syzkaller.g5qKH8/219/cgroup.controllers" dev="sda1" ino=1985 res=1 errno=0 [ 539.390038][ C1] vkms_vblank_simulate: vblank timer overrun [ 539.409231][ T52] Bluetooth: hci8: unexpected cc 0x0c03 length: 249 > 1 [ 539.419524][ T52] Bluetooth: hci8: unexpected cc 0x1003 length: 249 > 9 [ 539.433539][ T52] Bluetooth: hci8: unexpected cc 0x1001 length: 249 > 9 [ 539.441983][ T52] Bluetooth: hci8: unexpected cc 0x0c23 length: 249 > 4 [ 539.453357][ T52] Bluetooth: hci8: unexpected cc 0x0c25 length: 249 > 3 [ 539.461047][ T52] Bluetooth: hci8: unexpected cc 0x0c38 length: 249 > 2 [ 539.598409][ T7] libceph: connect (1)[c::]:6789 error -101 [ 539.604451][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 539.610881][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 539.616899][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 539.632066][ T8] libceph: connect (1)[c::]:6789 error -101 [ 539.643820][ T8] libceph: mon0 (1)[c::]:6789 connect error 13:10:50 executing program 4: openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000340)='cgroup.controllers\x00', 0x275a, 0x0) r0 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000000)='net_prio.prioidx\x00', 0x275a, 0x0) write$binfmt_script(r0, &(0x7f00000007c0)=ANY=[], 0x208e24b) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x2, 0x28011, r0, 0x0) r1 = socket$inet6_udplite(0xa, 0x2, 0x88) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f0000000240)={'wlan1\x00', 0x0}) r3 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_FRAME(0xffffffffffffffff, &(0x7f0000001280)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000000040)=ANY=[@ANYBLOB="48040000", @ANYRES16=r3, @ANYBLOB="01e5ff000004000004003b1c210008000300", @ANYRES32=r2, @ANYBLOB="2c0433005000de295b3acba52ee4080211000001505050505050"], 0x448}}, 0x0) preadv(r0, &(0x7f00000015c0)=[{&(0x7f0000000080)=""/124, 0xffffffff000}], 0x5, 0x0, 0x0) sendmsg$NL80211_CMD_FRAME(0xffffffffffffffff, &(0x7f0000000300)={0x0, 0x0, &(0x7f00000002c0)={&(0x7f0000000440)={0x84, 0x0, 0x0, 0x0, 0x0, {{}, {@void, @val={0xc}}}, [@NL80211_ATTR_FRAME={0x61, 0x33, @assoc_resp={@with_ht={{{}, {}, @broadcast, @device_b}}, 0x0, 0x0, @random, @val, @val={0x2d, 0x1a}, [{0xdd, 0x6, "d7af403f5a78"}, {0xdd, 0x13, "3d99bcae612fdb570ad14c1773ec4f7bd011cc"}]}}]}, 0x84}}, 0x0) r4 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000200)='cgroup.controllers\x00', 0xb00000000065808, 0x0) r5 = socket$nl_generic(0x10, 0x3, 0x10) r6 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f00000000c0)='cgroup.controllers\x00', 0x275a, 0x0) write$binfmt_script(r6, &(0x7f0000000240)=ANY=[], 0x3af4701e) sendfile(r5, r4, 0x0, 0x10000a006) 13:10:50 executing program 0: syz_mount_image$btrfs(&(0x7f00000055c0), &(0x7f0000005600)='./bus\x00', 0x0, &(0x7f0000000440)=ANY=[@ANYBLOB="646973636172642c0008fd346f1fe0c7b977cf98b0dfc801ecf9a88e1ae482"], 0x1, 0x559d, &(0x7f0000005680)="$eJzs3X1sVWcdB/BzeynlJaFlyjLUhfkPThCpmFiEoEVgAoPRgSbDwCgO2BAGhQRhY9OOOZ0jk4Y5xoovDKQCxq6+rJiYIbqIcU4mi8OGEXnJIuICK4yoJNOZ3nufy73n0vYO5zq3z4e05z73d57nPPfk/HG/lz7nRgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABBF0cHlC/627gfLv/nQdSenbLz/zAMnap57fNP4u+fsHnX4gVVXtp1uaip99fmzNyy67+GqoSf2zD8URYlUv0Sm/7xPTZ65aNa86X3CgLU3prcVFZ0dMt31WLrRO+/Jjn75P/OjKCqNDZDMbCf1z2kn4geIVhYO2KXtVWNWDdw4cdrmssmDFibrGgtfOh369PQEekrmunrx4rVUnfpdEtsj28659BJ5l2i6f/yCe1NeBADwulTWpDbZt6OZt7jZdn28HmtXx9oNsXZ4h9CQ27gc6XF7dzbPa+L1HppndToqlHU6z1g9c/6z7Zp4/1g7FjVexzzzd81Emj6dzbMuVu+peQIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC8ldx9/JanSzb96H1L149MHh887BdfbdryvUnt5VO+tm9D2+9bn3tPU1Ppq8+fvWHRfQ9XDT2xZ/6hKKpI9Uukuyfm7mjd8LMVU1b/fM6jzefee8euZGbcsO2Vs3PUFh58vDyKPp9TeTEMe2pAFNXkF1LN6NHCwuLUgymhAAAAwNvJ4NTvkmw7HQdL89qJVJpMpP4F6bC4vWrMqoEbJ07bXDZ50MJkXePlj1fTyXjVlxwv2664+JPICcYh/sbHu1gPu64sGKdr8RHjeX7U0AuHj3x9+Ya1jf1P7u87MDnpV1+uHXzFnNGvXDt2zG1/fWRHQf6v6Dr/hzMn/wMAAPDfkP/j43Stu/w/7Mj9Z+469dN1tZ/ZNvf4+G/UDnhX5Zo/NX/4c+uHTZ3Y69iVWwry/zV5hyzI/2HGIf+XRJeX/wEAAOCt7H+d/6sLxulad/l/2ZoRf592YdbEJ8Zd+OGZO4f88uCRaG/9iC+03P6B/bP7DWj4SUH+rywu//fKnXZ48pkw4SXlUVRZ/EkFAAAA8oT/d7/40ULI6+lPDuJ5fc75g5NuLn3w7EdmXzt029Ehu9rP/2PJ8k0XRjfPGF716acrNhTk/+ri8n/pm/NyAQAAgCI8tfgTN+2Mpk/6UPU9h/cv2P5I/bK1K5c2liWm/ntl2/X/au5dkP9risv/ZT3zcgAAAIBLOPSlbbtfm7msdXhz2fmtf3jtz49fPXz1gabKoyt/O7B0RWvt4oL8X1tc/u+X2WZWPqQ77Q9/hfBQeRT16XhQly78Jmr4ZLYAAAAAvEFCTv/nsbaRO68r+/VT339586zvfHvQ3m/NONj43Qn9b5n44IEZB56sLcj/dV3f/z/c6SCs/8+7/1/B+v+cQvquf2PdGAAAAIB3osL1/OH2+OlvLujs+/eLXf9/4xdbXzp++/yvtL97yE3LXr7tils/Nv7UH6ffmdw57q6SqVNfOl2Q/+uLy//J3O0b+f1/AAAAcBn+377/b3bBOF3r7v7/Mx+752j7X14YN2Jm49pFJ8dv/PG8Lc88trvq6nMLbu77wWeX7i3I/w3F5f+w7Z/78vaF83NveRRd1fEgczfBXWG6S2KFltKcQvrEx3rMCj0yhZaynEJKXazHqPIoen/Hg/pYYWAoNMQK7QMyha2xwrOhkLkesoXmWGFfuNI2DchMN17YEwqZBRYtYQVF/+ySiFiPVzrr0VG4ZI8XsgcHAAB4RwnhOZNlS/ObUTzKtiS626FfdzuUdLdDsrsdesV2iO/Y2fNRbX4hPH9+zRO/q/xoyWcP3XrHhOEjF667t2HsgeTcCdc/uaPvuRWnR68uyP9bi8v/4VT0Tm86W/8fhfX/me81zK7/rw2FilihJRRq4ncMqAnHSIfd9eEYFTWZHu1XZQsAAADwthY+F0j28DwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgP+zde5xU1Z0g8NNNP2homhbjK2JsdW1Fh6ZBUT/BB2omGmBNo+zMuPhohEaRVhBhIq5RULObxMEoKlFnRmEVRlZx8AVkNQE1ooloNI5mRh1DMGrcjR/FiH6yxrif7lunqLrVZRcCSjvf7x9dp+p3nrceXefeW+cCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD/Max/9MYj/umiJ0eP2jBvwPJXDv/vH9YfumzRO//rT89ed9ve8763ftWSJZV/en7jSZO/c8PhjRtWTnghhJbOcmVJ8bLTF6/4waoLvvGtB0+76e5395y9tCpTbyYe+nb8Kc/cuTK2+tt+IawsC6EiHRhcmwQqM/drY30Da0PYKWwOZEu09UlKpBsOj9WEsDBsDmSr+lFNCLU5gXG/fGT1vI7E9TUh7B9CqE638e/VSRs16UBjVRLokw5Mq0gCH3ycyAZWlScB2GrxzZB90S9vyc9Q33W5Iq+/ym3Wsc9Xeni9YqK+eL63jt3OncpRlX6gZauetoLq2C4K3h5rvNt6wLutYDtf42nL/SKV+Yby8eZQdSif1DZ5wqz2mfGR8tDU1KtYTdvpeX5x4yUTtyTdY16HsQP12+R1+Pj5A+9c0Dhm15sf3jD59apnF2xtN4tt3u2tOmRecz3meYxG+jzpAW+/gm9JDb50hRBuP37T2799aez//dWDTw9+75tDzxrywitD627+7rR+f332/6m8ZerGgvl//SfP/+PLOd6W5+WOrX5Yl8zN4yO1MfF2XTI3BwAAgB6jJ+w1fWPEQW/Xrmt4eN+vr5h83qJ5r51+7p+rftp3wkEnnjL0+3fcOPW0gvl/Q2nH/+Mh/9rc0a4JYWRn4or+Ieze+XgSWBq7c1b/EPbpTLXkB45NBdaEsEdnYlC2qlSJ3rFEQyrwRl0mMDIVWBsDLanA4hi4JhW4MgaWpwITY2BNKnBcDIQp+eM4qC4zjpIDNTHQmmzE5fEshD/UxdZS2+qlbFUAAADbSGZ2WJl/N+dch63NEKeXy2u6yxDPwC6aoTpVQ3oGm51WFa2horsayrurITvuOZ88/IKay7qrueA0jLL8DO8deN/c1Q/8249vnHDYUwd92HrGy+tXPTp6U6+/e2fMjy8dN3/Y+IL5f/Mnz/+ru+hIWcHx/xDGdv6NucszkfZsvLUlLwMAAACwFSpeW3ryL+Z+VLbkZ+ds3P8vTxt3de8V++47YO3B9/2/hjcHHL9q/4L5/8jSzv+P+0R65WQO6+JuiKn9Q2jODyTVjigMJEe9+2YCAAAA0BNkj8dnj4VPydwmp2in59OF+Vu2MH888D+yy/yDx129rnn17adMGXHomjWbztj15WUbntpl/3deOPDk00+4f2rDPQXz/5bSzv/vk3+bdGJt7MV1/UPonRN4PPayI9CpIQbWH5MfyIx/bdwAV8WqMicmZKu6KpZojYHmVGBhsRLPZEvsnh/IPFnZxq/IjmNKpkROAAAAAD5zcXdAPC4fz/9/+tx+j/7jslsueXDJutD37OW/uOzo4QPnD+791rRnDnnkb989eWrB/L91y87/75wHF5ze3943hCEVIfRK/zBgXZ9kYcAYqC3LJH7cJ6mrV7qqy/uEMKJjYOmqNmTW/69IrzH4XE1SVQzsvu8dGxs7ErfXhDAkN/DC+EXDOxKzUoFs439VE8LeHaNNN76id9J4ZbrxG3uH8JWcQLaqib1D6GisKl3Vo9WZ6xikq1peHcLOOYFsVYdXhzA7ANBTxf+lk3IfvHD2xVMntLe3zdiOibgTvyZMntLe1jRxWvuk6iJ9mpTqc946RnMLx1TqpW9ezqxRdNeopv6lpLM/FGzObSuzI7/gzMHM/fhlqLJznMMq8+4ekh7ygfsVNhFyvkoVG3L5dh5yn9xKNj+JBfXH/FWhb+g968K2GU0XTZg5c8bQ5G+p2Yclf+NxpmRbDU1vqz5d9a2El0fR5bJSPu22asytZMjM86YPuXD2xYOnnDfh7Laz284/bPhhRxwx7NBDhw/pGFRz8rebkTZ2VXNqpB8vKnFY23CkX67IqeSz+NCQkJDoaYlVv9vj5aN3Wfq9FbcsnvHz9qPafv71nXces6Tqmy9suvSy/Z/+Hx8UzP+nf/L8P37qxA/+zPoMxY7/18fD/Mnjmw/zt8bAwlKP/9cXO5qfPTGgIRWYEwNzHOYHAADgiyHujox7M+NO6Ufm7/Yvd467b8z89Qc/ue65svV9Dv77D39fXnnZuP9yzAMNt333bwrm/3NK+/3/Nlr/P7t0/ahiy/wPiiWai63/n17mP7v+/5xi6/+nl/nPrv+/8HNY/39WNpDaJH+w/j8AAPBF8Nmt/9/t8v7pCwQUZOh2ef/0BQIKMnS7jH+pFwjY4vX/H2k4aORPVn/nN43LLpj2zn8bct/oAXs2/O6Rva6cNHXk6NEjBv9Lwfz/mtLm/xbuBwAAgB3HAROPfWrjpL2Pvfp/3rbTHj9p/fauh+3y/WVHts3ftH7i39z27jl/XTD/X1ja/P+zX/8vFDv/v6FYoKXYwoDW/wMAAKCHKrb+39Abv3X5q4uPu/+ey6eNam0dP/uKq/dbfUD1qeGl0fMb/mLGvR8VzP+Xlzb/j6ddlOfljr35sC5Z0y6k17R7uy77kwEAAADoGcpDU1NliXnzFkY99tO3+WJmKdBPSud68sH9nn/gqyNOnL+46urXynYb9vFT1888+Piv/fDVjXtdcse55+1XMP9fU9r8P+93GY+fP/DOBY1jdv3w5oc3TH696tkFm4//AwAAANtPqfslAAAAAAAAAAAAAACAz98JD//k6rcnLvnanIW/3PWnvcY+u3zDrDlNs2uvf/WH1/7qiDsfHlfw+/8wtrNcsd//x+v+xd8XfCkvd2y1+/X/MvfHjb57dueShevqQtgvNzD18qk7hcy1+Q/IDaw+fdBuHYnL0yUeeuW41zoSZ6YDJw4e8H5H4shUoDUukrhHOhCvqvh+v1QgLq/4XDoQt8fydKAqE/hev2QcZelt9WZtsq3K0tvqxdoQ+ucEsttqZW3SRll6gNenAtkBXpAOxAGelAmUp3t1d9+kVzFQG4ve2jfpFQAAO6z4LbAyTJ7S3tYcv8LH2y9X5N9GeUuWzS2stqzE5l/OLE1216im/qWke6W/i26+1nhlqO4YwtCCr6u5Wco6R7ltaulm032pyJC7W+2tvEi5tC3ddFXFR1STjKhp4rT2SZXdDvyQ7rMMq+g2y9CCyU5ulvLOTVpCLSX0pYQRlbhtSuhyvF8empp6pXJ9NQbrQ57uXhGl/l4/d52/Yq+C3DxPvtn+1BP//PzKfR7/89Nnf/BXk7596byzznj3yHOq/+E/lz39XwfuXDD/ry9t/l+dO673MxcDmBOvrDeifwitJY4IAAAAvvjOOf+V+d999No31rc0vjZtyLWr/3X2jRdX1C298i9ffOhvN42/+sytjb/58zv2eXjyhGe+dO4hy054fZ+DL2s88637/mLeuAev6nvLD+ff8YOC+X9DafP/uAcrcyg42duxJl7//4r+IXReWr8+CSyNwz2rfwj7dKZaYonkgvqjYonmJLA07jAZFEu0tuRX1TsGlqcCb9RlAmtSgbUxkNlLcUfI7Mq5ti6E4Z2psfklpscS9anAN2OgIRVoioHmVKBfDIxMBX7fLxNoSQWejIEwJX9b3dcvs60AAAC2RGaeVZl/N6TnecsrustQ1l2GPt1lKO8uQ3V3GYqNIt6/N2aoTJ28UpaTqTJda02qloIM8WL4W9yvggzhmfyc6YIFTcfzD7LnG5TlZ3jg5K/ec9WCyYPKf/XR2qWt790/ccWts49eec5Df/fEpH0X3XX93gXz/+bS5v998m+T1tfG+f/m6/8lgcdj966Lp443xMD6Y/IDmR0Da+Nk96psVS2ZEplJ+1WxxMgYaEgFpsfAyFSgdWwmsHC3/EBmpp1t/Ips41MyJXICAAAA8JmLOwjibpo4///jsmePeqxi0V3/+ur4u+6d89Y99/70nntuvXf07Zu+/twVF7970UcF8/+Rpc3/Y3t9cxu7Mvbmt/1CWFm2uTfZwODaJBD3Y9TGn8cPrA1hp5wdHNkSbX2SElWphsNjNckv1KvSVf2oJlljIN4f98tHVs/rSFxfE8L+OXtfsm38e3XSRk060FiVBPqkA9MqkkDc85MNrCpPArDVsnsF4wsqc6pLVn3X5Yq8/r4o1wRND69gH2gX+br6zdX2Up1+ILNPNWvLnraC6tguCt4ea7zbeuK7rd67LfeLVOYbysebQ9WhfFLb5Amz2mfGR3J/yVpgOz3Pub9SLSW9DV6Hcz59b7tXne5Ac+rjo7nrcl2/DstidY+fP/DOBY1jdr354Q2TX696dkHJ3Sgi/lD4maoB9bmbd3urDpnXXI/7PGnxedIT/w00eNpCCBsuPeG6kVXTr1g5+pAj93rttFOqZ7437+/vf+mBd/f9xxUTh31tQMH8v6W0+X9F6rbTH+PGvLB/CAfmbNx1cfMf3z/5HMwJJJ+SOxcGkkPur9YV/eQEAACAbS27uyO7v2BK5jY5ITw9Ty7M37KF+eP+ipFd5i+13z8adMpe9+9297hrTz3qpn/+zdh+G8e/uOSYFa1HNS49+mf/6cyaeQXz/9ZPnv/3TnXT8X/H/9lOHP/v0o6+K7p3+oE5W7UruqA6tgvH/7u0o7/bHP/vkuP/jv93xfH/bjj+36Ud/Wkr+JY03ZeuEMKwMWcMrr1r8BPvD1z96yeemvJvc1sn3PONq27Z8+Nv1y9eUL9r34L5//TS5v/W/+t60b7s+n+txdb/m15s/b851v8DAAC2qyILzaXneQWr9xVkSK/eV5Ch2wUCu11i0Pp/W7z+32NHHTl++ejFv16z95gDLus7d+6puzx504stM9+vue2D93f7xYGjCub/c0qb/8eXQ9/c1nvK+n8NY4tUdU0MTLcwIAAAADuiYjsIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA+HztfsN1449prj7pN8dfWjP6+w+tO6D6mldOvXTZhFsnfeX28wfNWrFkSeWfnt940uTv3HB444aVE14IYUpnubKkeNnpi1f8YNUF3/jWg6fddPe7e85eWp2ptzJzu2de7tjqh3UhLMx5pDYm3q7ruLM5MG703bMrOhLr6kLYLzcw9fKpO3UkFteFcEBuYPXpg3brSFyeLvHQK8e91pE4Mx04cfCA9zsSR2YCZenu/kO/pLtl6e7O6xdC/5xAtrvn9suvKtvGCZlAebqNf6pN2oiB2lj0xtqkjRhojyWm9A5hSEUIvdJV/aw6qapXuqr/XZ1U1Std1WXVIYwIIVSkq/p1VVJVRXrkz1QlVcXA7vvesbGxI7GoKoQhuYEXxi8a3pGYkQpkGz+lKoS9O14y6cbvq0war0w3fkNlCF8JIVSlS2yqSEpUpUtsqAhh55zA5o1YEcLswBdD/PSZlPvghbMvnjqhvb1txnZMVGXaqgmTp7S3NU2c1j6pOtWnYspy0h/P/fRjf3njJRM7bu8a1dS/lHRFplxlZ5eHVebdPWRH733sV5/cSjY/HwX1x/xVoW/oPevCthlNF02YOXPG0ORvqdmHJX97ZaLJthraU7ZVY24lQ2aeN33IhbMvHjzlvAlnt53ddv5hww874ohhhx46fEjHoJqTv9tipIs++5F+uSKnks/i/S8hIdHTEuV5n27NO/rneMEX/c0drQzVnR/QBdOK3CxlnaPcFoM+9lOO+NN8Tel2REMLJg4FWYZ1n+WQgsnE5iw1SZbOr3UFk8Pcmso7N2m8Xx6amnoV2w71+XdzN+9bW7F5X8xsulLTAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMD/ZwcOBAAAAACA/F8boaqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqgo7cCAAAAAAAOT/2ghVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV2IFjAQAAAABh/tZh9GwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAFwKAAD//y85Ijg=") r0 = open(&(0x7f0000000000)='./bus\x00', 0x4c37e, 0x0) fallocate(r0, 0x0, 0x0, 0x1000f4) sendfile(r0, r0, 0x0, 0x8800000) creat(&(0x7f0000000380)='./bus\x00', 0x0) [ 539.931274][ T8292] chnl_net:caif_netlink_parms(): no params data found 13:10:50 executing program 1: r0 = add_key$keyring(&(0x7f00000005c0), &(0x7f0000000600)={'syz', 0x2}, 0x0, 0x0, 0xffffffffffffffff) add_key$keyring(&(0x7f0000000700), &(0x7f0000000740)={'syz', 0x2}, 0x0, 0x0, 0xfffffffffffffffe) keyctl$unlink(0x3, r0, 0x0) request_key(&(0x7f0000000000)='keyring\x00', &(0x7f0000000040)={'syz', 0x2}, &(0x7f0000000080)='\x83i\xbc', 0xfffffffffffffffc) [ 540.129523][ T7] libceph: connect (1)[c::]:6789 error -101 [ 540.135586][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 540.139396][ T57] libceph: connect (1)[c::]:6789 error -101 [ 540.141951][ T8] libceph: connect (1)[c::]:6789 error -101 [ 540.147455][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 540.158067][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 540.159783][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 540.165165][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 540.175523][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 540.178591][ T783] libceph: connect (1)[c::]:6789 error -101 [ 540.190151][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 540.196236][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 540.202572][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 540.209048][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 540.217291][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 540.217867][ T5069] libceph: mon0 (1)[c::]:6789 connect error 13:10:50 executing program 1: r0 = socket(0x2, 0x3, 0x9) sendmmsg$inet(r0, &(0x7f00000045c0)=[{{&(0x7f0000000000), 0x10, 0x0}}, {{&(0x7f0000000040), 0x10, 0x0}}], 0x2, 0x0) r1 = socket$nl_route(0x10, 0x3, 0x0) r2 = open(&(0x7f00000005c0)='./bus\x00', 0x64842, 0x0) mmap(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000000, 0x4010, r2, 0x5467a000) pwritev2(r2, &(0x7f0000000240)=[{&(0x7f0000000000)="85", 0x307e00}], 0x1, 0x1400, 0x0, 0x3) ioctl$ifreq_SIOCGIFINDEX_wireguard(r1, 0x8933, &(0x7f0000000400)={'wg0\x00', 0x0}) sendmsg$nl_route_sched(r2, &(0x7f0000000540)={&(0x7f00000003c0)={0x10, 0x0, 0x0, 0x10000000}, 0xc, &(0x7f00000004c0)={&(0x7f0000000440)=@gettfilter={0x6c, 0x2e, 0x1, 0x70bd27, 0x25dfdbff, {0x0, 0x0, 0x0, r3, {0xb, 0xa}, {0x3, 0xf}, {0x10, 0x7}}, [{0x8, 0xb, 0x8}, {0x8, 0xb, 0xc2d0}, {0x8, 0xb, 0x4}, {0x8, 0xb, 0x7}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0xac}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0x5}, {0x8, 0xb, 0x400}]}, 0x6c}, 0x1, 0x0, 0x0, 0x8000}, 0x0) r4 = socket$inet(0x2, 0x4000000000000001, 0x0) setsockopt$inet_tcp_TCP_CONGESTION(r4, 0x6, 0xd, &(0x7f00000001c0)='reno\x00', 0x5) bind$inet(r4, &(0x7f0000000380)={0x2, 0x200000000004e23, @local}, 0x10) sendto$inet(r4, 0x0, 0x0, 0x200007fd, &(0x7f00000008c0)={0x2, 0x4e23, @local}, 0x10) bpf$BPF_GET_MAP_INFO(0xf, &(0x7f0000000700)={r2, 0x58, &(0x7f0000000840)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, ""/16, 0x0}}, 0x10) bpf$PROG_LOAD(0x5, &(0x7f0000000ac0)={0x1c, 0x13, &(0x7f0000000780)=@ringbuf={{0x18, 0x0, 0x0, 0x0, 0xb792, 0x0, 0x0, 0x0, 0xdef4}, {{0x18, 0x1, 0x1, 0x0, r2}}, {}, [@kfunc={0x85, 0x0, 0x2, 0x0, 0x5}, @ldst={0x1, 0x2, 0x1, 0x6, 0xb, 0x1, 0x8}, @initr0={0x18, 0x0, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x199c}], {{}, {0x7, 0x0, 0xb, 0x2, 0x0, 0x0, 0x1}, {0x85, 0x0, 0x0, 0x85}}}, &(0x7f00000002c0)='GPL\x00', 0x1, 0x0, 0x0, 0x100, 0x2, '\x00', r5, 0x22, 0xffffffffffffffff, 0x8, &(0x7f0000000900)={0x2, 0x1}, 0x8, 0x10, &(0x7f0000000940)={0x4, 0xd, 0x10001, 0x4a}, 0x10, 0x0, r2, 0x1, &(0x7f0000000980)=[r2, r2, r2, r2], &(0x7f00000009c0)=[{0x4, 0x2}], 0x10, 0x100}, 0x90) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(0xffffffffffffffff, 0x8933, &(0x7f0000007140)={'batadv_slave_1\x00', 0x0}) recvmsg(r4, &(0x7f0000000240)={&(0x7f0000000040)=@nfc={0x27, 0x0}, 0xf012, &(0x7f0000000180)=[{&(0x7f0000003ac0)=""/4096, 0x200116c0}], 0x1, &(0x7f0000000200)=""/20, 0x14}, 0x100) setsockopt$inet_tcp_int(r4, 0x6, 0x12, &(0x7f0000000300)=0x4, 0x4) write$binfmt_elf64(r4, &(0x7f0000000a00)=ANY=[@ANYRES32, @ANYBLOB="4392c9d2eb9b977d238e51b11fd0c0a6baef72102b9a03b005e93789f83bacc0b5f3fd1ae105134de45d4caeda3b2fd383c501a61fd830ec66dadb4e11f4b40a344868fcea5d536ede849a69b6b0aa5b35904efbf220a4241d79595c06c2e2c8e70871b41294a3b5dd15080100ec3fe7d0cbfd1774516d043f783cb6a2090400641095cb734d3c53305bf8fe8a17524570ce1b62efa4bbf999b6af5c4ab8209ed5d0131ba372", @ANYRES8=r7, @ANYRES64=r6], 0x100000530) r8 = syz_open_dev$mouse(&(0x7f0000000280), 0x9, 0x40000) getsockname$packet(r8, &(0x7f0000000580)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000340)=0x14) bind$packet(r2, &(0x7f00000001c0)={0x11, 0x11, r6, 0x1, 0x36, 0x6, @link_local={0x1, 0x80, 0xc2, 0x0, 0x0, 0x1}}, 0x14) r9 = bpf$MAP_CREATE(0x0, &(0x7f0000000440)=@base={0x1, 0x40, 0x6, 0x8, 0x0, r8}, 0x48) r10 = bpf$MAP_CREATE(0x0, &(0x7f0000000040)=@base={0xc, 0x4, 0x4, 0x9, 0x0, r9}, 0x48) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000580)=@bpf_lsm={0xe, 0x5, &(0x7f00000000c0)=@framed={{0x7d, 0xa, 0xa, 0x0, 0x0, 0x79, 0x10, 0x98}, [@map_fd={0x18, 0x0, 0x1, 0x0, r10}]}, &(0x7f0000000000)='GPL\x00'}, 0x80) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000e80)=@bpf_ext={0x1c, 0x18, &(0x7f0000000b80)=ANY=[@ANYBLOB="1800000017d00000000000000500000018110000", @ANYRES32=r8, @ANYBLOB="0000000000000000b702000014000000b7030000000000008500000083000000bf090000000000005509010000000000950000000000000018110000", @ANYRES32=r10, @ANYBLOB="0000000000000000b7080000000000007b8af8ff00000000bfa200000000000007020000f8ffffffb703000008000000b7040000000000008500000082000000bf91000000000000b702000002000000850000fc83000000b7000000000000009500000000000000"], &(0x7f0000000c40)='GPL\x00', 0x1, 0xd7, &(0x7f0000000c80)=""/215, 0x41000, 0x20, '\x00', 0x0, 0x0, r8, 0x8, &(0x7f0000000d80)={0x4, 0x3}, 0x8, 0x10, &(0x7f0000000dc0)={0x3, 0xd, 0x6, 0x9fa}, 0x10, 0x1c9a9, r2, 0x7, 0x0, &(0x7f0000000e00)=[{0x3, 0x1, 0x3, 0x4}, {0x4, 0x2, 0x4, 0x9}, {0x5, 0x4, 0x1, 0x2}, {0x0, 0x2, 0x6}, {0x3, 0x1, 0xa, 0xc}, {0x3, 0x2, 0xe, 0x9}, {0x5, 0x2, 0xd, 0x2}], 0x10, 0x200}, 0x90) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000080)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_SIOCGIFINDEX(r11, 0x8933, &(0x7f0000000000)={'lo\x00', 0x0}) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(r8, 0x89f0, &(0x7f0000000fc0)={'syztnl2\x00', &(0x7f0000000f40)={'ip6_vti0\x00', r12, 0x2f, 0xff, 0xf4, 0x6, 0x8, @empty, @initdev={0xfe, 0x88, '\x00', 0x1, 0x0}, 0x40, 0x10, 0x6, 0x2}}) sendmsg$nl_route(r1, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000500)={&(0x7f0000000180)=@newlink={0x2c, 0x10, 0x439, 0x0, 0x25dfdbfd, {0x0, 0x0, 0x0, r12, 0x8020}, [@IFLA_VFINFO_LIST={0xc, 0x16, 0x0, 0x1, [{0x8, 0x1, 0x0, 0x1, [@IFLA_VF_VLAN_LIST={0x4}]}]}]}, 0x2c}, 0x1, 0x0, 0x0, 0x20000000}, 0x0) sendmsg$nl_route_sched_retired(r0, &(0x7f0000000200)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x8}, 0xc, &(0x7f00000001c0)={&(0x7f00000000c0)=@newqdisc={0xf8, 0x24, 0x400, 0x70bd29, 0x25dfdbfe, {0x0, 0x0, 0x0, r12, {0xb}, {0xc, 0x7}, {0x10, 0x9}}, [@q_dsmark={{0xb}, {0xc, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6}]}}, @q_dsmark={{0xb}, {0x8, 0x2, [@TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0xffff}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x100}, @TCA_DSMARK_SET_TC_INDEX={0x4}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x37}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x28}, @TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0xa}, @TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6, 0x1, 0x1}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x33}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x1}]}}]}, 0xf8}, 0x1, 0x0, 0x0, 0x1}, 0x8000) [ 540.309278][ T8292] bridge0: port 1(bridge_slave_0) entered blocking state [ 540.338395][ T8292] bridge0: port 1(bridge_slave_0) entered disabled state [ 540.345636][ T8292] bridge_slave_0: entered allmulticast mode [ 540.389420][ T8292] bridge_slave_0: entered promiscuous mode [ 540.417115][ T8292] bridge0: port 2(bridge_slave_1) entered blocking state [ 540.437746][ T8292] bridge0: port 2(bridge_slave_1) entered disabled state [ 540.445010][ T8292] bridge_slave_1: entered allmulticast mode [ 540.452622][ T8292] bridge_slave_1: entered promiscuous mode [ 540.488030][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 540.490409][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 540.494055][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 540.506235][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 540.525318][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 540.528080][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 540.557386][ T8292] bond0: (slave bond_slave_0): Enslaving as an active interface with an up link [ 540.569337][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 540.571121][ T8292] bond0: (slave bond_slave_1): Enslaving as an active interface with an up link [ 540.575333][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 540.749031][ T8292] team0: Port device team_slave_0 added [ 540.757400][ T8292] team0: Port device team_slave_1 added [ 540.839797][ T8292] batman_adv: batadv0: Adding interface: batadv_slave_0 [ 540.846764][ T8292] batman_adv: batadv0: The MTU of interface batadv_slave_0 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 540.887277][ T8292] batman_adv: batadv0: Not using interface batadv_slave_0 (retrying later): interface not active [ 540.908107][ T8292] batman_adv: batadv0: Adding interface: batadv_slave_1 [ 540.915191][ T8292] batman_adv: batadv0: The MTU of interface batadv_slave_1 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 540.942709][ T8292] batman_adv: batadv0: Not using interface batadv_slave_1 (retrying later): interface not active 13:10:51 executing program 4: mkdir(&(0x7f0000000040)='./file1\x00', 0x0) mount$tmpfs(0x20000000, &(0x7f0000000000)='./file1\x00', &(0x7f00000000c0), 0x0, 0x0) lsetxattr$system_posix_acl(&(0x7f0000000080)='./file1\x00', &(0x7f0000000100)='system.posix_acl_default\x00', &(0x7f00000044c0), 0x24, 0x0) listxattr(&(0x7f0000000180)='./file1\x00', 0x0, 0x2) [ 541.028195][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 541.034239][ T5069] libceph: mon0 (1)[c::]:6789 connect error 13:10:51 executing program 1: r0 = socket(0x2, 0x3, 0x9) sendmmsg$inet(r0, &(0x7f00000045c0)=[{{&(0x7f0000000000), 0x10, 0x0}}, {{&(0x7f0000000040), 0x10, 0x0}}], 0x2, 0x0) r1 = socket$nl_route(0x10, 0x3, 0x0) r2 = open(&(0x7f00000005c0)='./bus\x00', 0x64842, 0x0) mmap(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000000, 0x4010, r2, 0x5467a000) pwritev2(r2, &(0x7f0000000240)=[{&(0x7f0000000000)="85", 0x307e00}], 0x1, 0x1400, 0x0, 0x3) ioctl$ifreq_SIOCGIFINDEX_wireguard(r1, 0x8933, &(0x7f0000000400)={'wg0\x00', 0x0}) sendmsg$nl_route_sched(r2, &(0x7f0000000540)={&(0x7f00000003c0)={0x10, 0x0, 0x0, 0x10000000}, 0xc, &(0x7f00000004c0)={&(0x7f0000000440)=@gettfilter={0x6c, 0x2e, 0x1, 0x70bd27, 0x25dfdbff, {0x0, 0x0, 0x0, r3, {0xb, 0xa}, {0x3, 0xf}, {0x10, 0x7}}, [{0x8, 0xb, 0x8}, {0x8, 0xb, 0xc2d0}, {0x8, 0xb, 0x4}, {0x8, 0xb, 0x7}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0xac}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0x5}, {0x8, 0xb, 0x400}]}, 0x6c}, 0x1, 0x0, 0x0, 0x8000}, 0x0) r4 = socket$inet(0x2, 0x4000000000000001, 0x0) setsockopt$inet_tcp_TCP_CONGESTION(r4, 0x6, 0xd, &(0x7f00000001c0)='reno\x00', 0x5) bind$inet(r4, &(0x7f0000000380)={0x2, 0x200000000004e23, @local}, 0x10) sendto$inet(r4, 0x0, 0x0, 0x200007fd, &(0x7f00000008c0)={0x2, 0x4e23, @local}, 0x10) bpf$BPF_GET_MAP_INFO(0xf, &(0x7f0000000700)={r2, 0x58, &(0x7f0000000840)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, ""/16, 0x0}}, 0x10) bpf$PROG_LOAD(0x5, &(0x7f0000000ac0)={0x1c, 0x13, &(0x7f0000000780)=@ringbuf={{0x18, 0x0, 0x0, 0x0, 0xb792, 0x0, 0x0, 0x0, 0xdef4}, {{0x18, 0x1, 0x1, 0x0, r2}}, {}, [@kfunc={0x85, 0x0, 0x2, 0x0, 0x5}, @ldst={0x1, 0x2, 0x1, 0x6, 0xb, 0x1, 0x8}, @initr0={0x18, 0x0, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x199c}], {{}, {0x7, 0x0, 0xb, 0x2, 0x0, 0x0, 0x1}, {0x85, 0x0, 0x0, 0x85}}}, &(0x7f00000002c0)='GPL\x00', 0x1, 0x0, 0x0, 0x100, 0x2, '\x00', r5, 0x22, 0xffffffffffffffff, 0x8, &(0x7f0000000900)={0x2, 0x1}, 0x8, 0x10, &(0x7f0000000940)={0x4, 0xd, 0x10001, 0x4a}, 0x10, 0x0, r2, 0x1, &(0x7f0000000980)=[r2, r2, r2, r2], &(0x7f00000009c0)=[{0x4, 0x2}], 0x10, 0x100}, 0x90) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(0xffffffffffffffff, 0x8933, &(0x7f0000007140)={'batadv_slave_1\x00', 0x0}) recvmsg(r4, &(0x7f0000000240)={&(0x7f0000000040)=@nfc={0x27, 0x0}, 0xf012, &(0x7f0000000180)=[{&(0x7f0000003ac0)=""/4096, 0x200116c0}], 0x1, &(0x7f0000000200)=""/20, 0x14}, 0x100) setsockopt$inet_tcp_int(r4, 0x6, 0x12, &(0x7f0000000300)=0x4, 0x4) write$binfmt_elf64(r4, &(0x7f0000000a00)=ANY=[@ANYRES32, @ANYBLOB="4392c9d2eb9b977d238e51b11fd0c0a6baef72102b9a03b005e93789f83bacc0b5f3fd1ae105134de45d4caeda3b2fd383c501a61fd830ec66dadb4e11f4b40a344868fcea5d536ede849a69b6b0aa5b35904efbf220a4241d79595c06c2e2c8e70871b41294a3b5dd15080100ec3fe7d0cbfd1774516d043f783cb6a2090400641095cb734d3c53305bf8fe8a17524570ce1b62efa4bbf999b6af5c4ab8209ed5d0131ba372", @ANYRES8=r7, @ANYRES64=r6], 0x100000530) r8 = syz_open_dev$mouse(&(0x7f0000000280), 0x9, 0x40000) getsockname$packet(r8, &(0x7f0000000580)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000340)=0x14) bind$packet(r2, &(0x7f00000001c0)={0x11, 0x11, r6, 0x1, 0x36, 0x6, @link_local={0x1, 0x80, 0xc2, 0x0, 0x0, 0x1}}, 0x14) r9 = bpf$MAP_CREATE(0x0, &(0x7f0000000440)=@base={0x1, 0x40, 0x6, 0x8, 0x0, r8}, 0x48) r10 = bpf$MAP_CREATE(0x0, &(0x7f0000000040)=@base={0xc, 0x4, 0x4, 0x9, 0x0, r9}, 0x48) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000580)=@bpf_lsm={0xe, 0x5, &(0x7f00000000c0)=@framed={{0x7d, 0xa, 0xa, 0x0, 0x0, 0x79, 0x10, 0x98}, [@map_fd={0x18, 0x0, 0x1, 0x0, r10}]}, &(0x7f0000000000)='GPL\x00'}, 0x80) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000e80)=@bpf_ext={0x1c, 0x18, &(0x7f0000000b80)=ANY=[@ANYBLOB="1800000017d00000000000000500000018110000", @ANYRES32=r8, @ANYBLOB="0000000000000000b702000014000000b7030000000000008500000083000000bf090000000000005509010000000000950000000000000018110000", @ANYRES32=r10, @ANYBLOB="0000000000000000b7080000000000007b8af8ff00000000bfa200000000000007020000f8ffffffb703000008000000b7040000000000008500000082000000bf91000000000000b702000002000000850000fc83000000b7000000000000009500000000000000"], &(0x7f0000000c40)='GPL\x00', 0x1, 0xd7, &(0x7f0000000c80)=""/215, 0x41000, 0x20, '\x00', 0x0, 0x0, r8, 0x8, &(0x7f0000000d80)={0x4, 0x3}, 0x8, 0x10, &(0x7f0000000dc0)={0x3, 0xd, 0x6, 0x9fa}, 0x10, 0x1c9a9, r2, 0x7, 0x0, &(0x7f0000000e00)=[{0x3, 0x1, 0x3, 0x4}, {0x4, 0x2, 0x4, 0x9}, {0x5, 0x4, 0x1, 0x2}, {0x0, 0x2, 0x6}, {0x3, 0x1, 0xa, 0xc}, {0x3, 0x2, 0xe, 0x9}, {0x5, 0x2, 0xd, 0x2}], 0x10, 0x200}, 0x90) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000080)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_SIOCGIFINDEX(r11, 0x8933, &(0x7f0000000000)={'lo\x00', 0x0}) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(r8, 0x89f0, &(0x7f0000000fc0)={'syztnl2\x00', &(0x7f0000000f40)={'ip6_vti0\x00', r12, 0x2f, 0xff, 0xf4, 0x6, 0x8, @empty, @initdev={0xfe, 0x88, '\x00', 0x1, 0x0}, 0x40, 0x10, 0x6, 0x2}}) sendmsg$nl_route(r1, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000500)={&(0x7f0000000180)=@newlink={0x2c, 0x10, 0x439, 0x0, 0x25dfdbfd, {0x0, 0x0, 0x0, r12, 0x8020}, [@IFLA_VFINFO_LIST={0xc, 0x16, 0x0, 0x1, [{0x8, 0x1, 0x0, 0x1, [@IFLA_VF_VLAN_LIST={0x4}]}]}]}, 0x2c}, 0x1, 0x0, 0x0, 0x20000000}, 0x0) sendmsg$nl_route_sched_retired(r0, &(0x7f0000000200)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x8}, 0xc, &(0x7f00000001c0)={&(0x7f00000000c0)=@newqdisc={0xf8, 0x24, 0x400, 0x70bd29, 0x25dfdbfe, {0x0, 0x0, 0x0, r12, {0xb}, {0xc, 0x7}, {0x10, 0x9}}, [@q_dsmark={{0xb}, {0xc, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6}]}}, @q_dsmark={{0xb}, {0x8, 0x2, [@TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0xffff}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x100}, @TCA_DSMARK_SET_TC_INDEX={0x4}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x37}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x28}, @TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0xa}, @TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6, 0x1, 0x1}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x33}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x1}]}}]}, 0xf8}, 0x1, 0x0, 0x0, 0x1}, 0x8000) [ 541.071103][ T5145] libceph: connect (1)[c::]:6789 error -101 [ 541.077155][ T5145] libceph: mon0 (1)[c::]:6789 connect error [ 541.089963][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 541.095991][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 541.098207][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 541.149642][ T8292] hsr_slave_0: entered promiscuous mode [ 541.158476][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 541.167154][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 541.177830][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 541.183860][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 541.193509][ T783] libceph: connect (1)[c::]:6789 error -101 13:10:51 executing program 4: r0 = socket(0x2, 0x3, 0x9) sendmmsg$inet(r0, &(0x7f00000045c0)=[{{&(0x7f0000000000), 0x10, 0x0}}, {{&(0x7f0000000040), 0x10, 0x0}}], 0x2, 0x0) r1 = socket$nl_route(0x10, 0x3, 0x0) r2 = open(&(0x7f00000005c0)='./bus\x00', 0x64842, 0x0) mmap(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000000, 0x4010, r2, 0x5467a000) pwritev2(r2, &(0x7f0000000240)=[{&(0x7f0000000000)="85", 0x307e00}], 0x1, 0x1400, 0x0, 0x3) ioctl$ifreq_SIOCGIFINDEX_wireguard(r1, 0x8933, &(0x7f0000000400)={'wg0\x00', 0x0}) sendmsg$nl_route_sched(r2, &(0x7f0000000540)={&(0x7f00000003c0)={0x10, 0x0, 0x0, 0x10000000}, 0xc, &(0x7f00000004c0)={&(0x7f0000000440)=@gettfilter={0x6c, 0x2e, 0x1, 0x70bd27, 0x25dfdbff, {0x0, 0x0, 0x0, r3, {0xb, 0xa}, {0x3, 0xf}, {0x10, 0x7}}, [{0x8, 0xb, 0x8}, {0x8, 0xb, 0xc2d0}, {0x8, 0xb, 0x4}, {0x8, 0xb, 0x7}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0xac}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0x5}, {0x8, 0xb, 0x400}]}, 0x6c}, 0x1, 0x0, 0x0, 0x8000}, 0x0) r4 = socket$inet(0x2, 0x4000000000000001, 0x0) setsockopt$inet_tcp_TCP_CONGESTION(r4, 0x6, 0xd, &(0x7f00000001c0)='reno\x00', 0x5) bind$inet(r4, &(0x7f0000000380)={0x2, 0x200000000004e23, @local}, 0x10) sendto$inet(r4, 0x0, 0x0, 0x200007fd, &(0x7f00000008c0)={0x2, 0x4e23, @local}, 0x10) bpf$BPF_GET_MAP_INFO(0xf, &(0x7f0000000700)={r2, 0x58, &(0x7f0000000840)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, ""/16, 0x0}}, 0x10) bpf$PROG_LOAD(0x5, &(0x7f0000000ac0)={0x1c, 0x13, &(0x7f0000000780)=@ringbuf={{0x18, 0x0, 0x0, 0x0, 0xb792, 0x0, 0x0, 0x0, 0xdef4}, {{0x18, 0x1, 0x1, 0x0, r2}}, {}, [@kfunc={0x85, 0x0, 0x2, 0x0, 0x5}, @ldst={0x1, 0x2, 0x1, 0x6, 0xb, 0x1, 0x8}, @initr0={0x18, 0x0, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x199c}], {{}, {0x7, 0x0, 0xb, 0x2, 0x0, 0x0, 0x1}, {0x85, 0x0, 0x0, 0x85}}}, &(0x7f00000002c0)='GPL\x00', 0x1, 0x0, 0x0, 0x100, 0x2, '\x00', r5, 0x22, 0xffffffffffffffff, 0x8, &(0x7f0000000900)={0x2, 0x1}, 0x8, 0x10, &(0x7f0000000940)={0x4, 0xd, 0x10001, 0x4a}, 0x10, 0x0, r2, 0x1, &(0x7f0000000980)=[r2, r2, r2, r2], &(0x7f00000009c0)=[{0x4, 0x2}], 0x10, 0x100}, 0x90) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(0xffffffffffffffff, 0x8933, &(0x7f0000007140)={'batadv_slave_1\x00', 0x0}) recvmsg(r4, &(0x7f0000000240)={&(0x7f0000000040)=@nfc={0x27, 0x0}, 0xf012, &(0x7f0000000180)=[{&(0x7f0000003ac0)=""/4096, 0x200116c0}], 0x1, &(0x7f0000000200)=""/20, 0x14}, 0x100) setsockopt$inet_tcp_int(r4, 0x6, 0x12, &(0x7f0000000300)=0x4, 0x4) write$binfmt_elf64(r4, &(0x7f0000000a00)=ANY=[@ANYRES32, @ANYBLOB="4392c9d2eb9b977d238e51b11fd0c0a6baef72102b9a03b005e93789f83bacc0b5f3fd1ae105134de45d4caeda3b2fd383c501a61fd830ec66dadb4e11f4b40a344868fcea5d536ede849a69b6b0aa5b35904efbf220a4241d79595c06c2e2c8e70871b41294a3b5dd15080100ec3fe7d0cbfd1774516d043f783cb6a2090400641095cb734d3c53305bf8fe8a17524570ce1b62efa4bbf999b6af5c4ab8209ed5d0131ba372", @ANYRES8=r7, @ANYRES64=r6], 0x100000530) r8 = syz_open_dev$mouse(&(0x7f0000000280), 0x9, 0x40000) getsockname$packet(r8, &(0x7f0000000580)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000340)=0x14) bind$packet(r2, &(0x7f00000001c0)={0x11, 0x11, r6, 0x1, 0x36, 0x6, @link_local={0x1, 0x80, 0xc2, 0x0, 0x0, 0x1}}, 0x14) r9 = bpf$MAP_CREATE(0x0, &(0x7f0000000440)=@base={0x1, 0x40, 0x6, 0x8, 0x0, r8}, 0x48) r10 = bpf$MAP_CREATE(0x0, &(0x7f0000000040)=@base={0xc, 0x4, 0x4, 0x9, 0x0, r9}, 0x48) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000580)=@bpf_lsm={0xe, 0x5, &(0x7f00000000c0)=@framed={{0x7d, 0xa, 0xa, 0x0, 0x0, 0x79, 0x10, 0x98}, [@map_fd={0x18, 0x0, 0x1, 0x0, r10}]}, &(0x7f0000000000)='GPL\x00'}, 0x80) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000e80)=@bpf_ext={0x1c, 0x18, &(0x7f0000000b80)=ANY=[@ANYBLOB="1800000017d00000000000000500000018110000", @ANYRES32=r8, @ANYBLOB="0000000000000000b702000014000000b7030000000000008500000083000000bf090000000000005509010000000000950000000000000018110000", @ANYRES32=r10, @ANYBLOB="0000000000000000b7080000000000007b8af8ff00000000bfa200000000000007020000f8ffffffb703000008000000b7040000000000008500000082000000bf91000000000000b702000002000000850000fc83000000b7000000000000009500000000000000"], &(0x7f0000000c40)='GPL\x00', 0x1, 0xd7, &(0x7f0000000c80)=""/215, 0x41000, 0x20, '\x00', 0x0, 0x0, r8, 0x8, &(0x7f0000000d80)={0x4, 0x3}, 0x8, 0x10, &(0x7f0000000dc0)={0x3, 0xd, 0x6, 0x9fa}, 0x10, 0x1c9a9, r2, 0x7, 0x0, &(0x7f0000000e00)=[{0x3, 0x1, 0x3, 0x4}, {0x4, 0x2, 0x4, 0x9}, {0x5, 0x4, 0x1, 0x2}, {0x0, 0x2, 0x6}, {0x3, 0x1, 0xa, 0xc}, {0x3, 0x2, 0xe, 0x9}, {0x5, 0x2, 0xd, 0x2}], 0x10, 0x200}, 0x90) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000080)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_SIOCGIFINDEX(r11, 0x8933, &(0x7f0000000000)={'lo\x00', 0x0}) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(r8, 0x89f0, &(0x7f0000000fc0)={'syztnl2\x00', &(0x7f0000000f40)={'ip6_vti0\x00', r12, 0x2f, 0xff, 0xf4, 0x6, 0x8, @empty, @initdev={0xfe, 0x88, '\x00', 0x1, 0x0}, 0x40, 0x10, 0x6, 0x2}}) sendmsg$nl_route(r1, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000500)={&(0x7f0000000180)=@newlink={0x2c, 0x10, 0x439, 0x0, 0x25dfdbfd, {0x0, 0x0, 0x0, r12, 0x8020}, [@IFLA_VFINFO_LIST={0xc, 0x16, 0x0, 0x1, [{0x8, 0x1, 0x0, 0x1, [@IFLA_VF_VLAN_LIST={0x4}]}]}]}, 0x2c}, 0x1, 0x0, 0x0, 0x20000000}, 0x0) sendmsg$nl_route_sched_retired(r0, &(0x7f0000000200)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x8}, 0xc, &(0x7f00000001c0)={&(0x7f00000000c0)=@newqdisc={0xf8, 0x24, 0x400, 0x70bd29, 0x25dfdbfe, {0x0, 0x0, 0x0, r12, {0xb}, {0xc, 0x7}, {0x10, 0x9}}, [@q_dsmark={{0xb}, {0xc, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6}]}}, @q_dsmark={{0xb}, {0x8, 0x2, [@TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0xffff}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x100}, @TCA_DSMARK_SET_TC_INDEX={0x4}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x37}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x28}, @TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0xa}, @TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6, 0x1, 0x1}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x33}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x1}]}}]}, 0xf8}, 0x1, 0x0, 0x0, 0x1}, 0x8000) [ 541.200032][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 541.207045][ T8292] hsr_slave_1: entered promiscuous mode [ 541.216459][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 541.228359][ T783] libceph: connect (1)[c::]:6789 error -101 [ 541.234380][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 541.258526][ T8292] debugfs: Directory 'hsr0' with parent 'hsr' already present! [ 541.266105][ T8292] Cannot create hsr debugfs directory 13:10:51 executing program 4: r0 = socket(0x2, 0x3, 0x9) sendmmsg$inet(r0, &(0x7f00000045c0)=[{{&(0x7f0000000000), 0x10, 0x0}}, {{&(0x7f0000000040), 0x10, 0x0}}], 0x2, 0x0) r1 = socket$nl_route(0x10, 0x3, 0x0) r2 = open(&(0x7f00000005c0)='./bus\x00', 0x64842, 0x0) mmap(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000000, 0x4010, r2, 0x5467a000) pwritev2(r2, &(0x7f0000000240)=[{&(0x7f0000000000)="85", 0x307e00}], 0x1, 0x1400, 0x0, 0x3) ioctl$ifreq_SIOCGIFINDEX_wireguard(r1, 0x8933, &(0x7f0000000400)={'wg0\x00', 0x0}) sendmsg$nl_route_sched(r2, &(0x7f0000000540)={&(0x7f00000003c0)={0x10, 0x0, 0x0, 0x10000000}, 0xc, &(0x7f00000004c0)={&(0x7f0000000440)=@gettfilter={0x6c, 0x2e, 0x1, 0x70bd27, 0x25dfdbff, {0x0, 0x0, 0x0, r3, {0xb, 0xa}, {0x3, 0xf}, {0x10, 0x7}}, [{0x8, 0xb, 0x8}, {0x8, 0xb, 0xc2d0}, {0x8, 0xb, 0x4}, {0x8, 0xb, 0x7}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0xac}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0x5}, {0x8, 0xb, 0x400}]}, 0x6c}, 0x1, 0x0, 0x0, 0x8000}, 0x0) r4 = socket$inet(0x2, 0x4000000000000001, 0x0) setsockopt$inet_tcp_TCP_CONGESTION(r4, 0x6, 0xd, &(0x7f00000001c0)='reno\x00', 0x5) bind$inet(r4, &(0x7f0000000380)={0x2, 0x200000000004e23, @local}, 0x10) sendto$inet(r4, 0x0, 0x0, 0x200007fd, &(0x7f00000008c0)={0x2, 0x4e23, @local}, 0x10) bpf$BPF_GET_MAP_INFO(0xf, &(0x7f0000000700)={r2, 0x58, &(0x7f0000000840)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, ""/16, 0x0}}, 0x10) bpf$PROG_LOAD(0x5, &(0x7f0000000ac0)={0x1c, 0x13, &(0x7f0000000780)=@ringbuf={{0x18, 0x0, 0x0, 0x0, 0xb792, 0x0, 0x0, 0x0, 0xdef4}, {{0x18, 0x1, 0x1, 0x0, r2}}, {}, [@kfunc={0x85, 0x0, 0x2, 0x0, 0x5}, @ldst={0x1, 0x2, 0x1, 0x6, 0xb, 0x1, 0x8}, @initr0={0x18, 0x0, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x199c}], {{}, {0x7, 0x0, 0xb, 0x2, 0x0, 0x0, 0x1}, {0x85, 0x0, 0x0, 0x85}}}, &(0x7f00000002c0)='GPL\x00', 0x1, 0x0, 0x0, 0x100, 0x2, '\x00', r5, 0x22, 0xffffffffffffffff, 0x8, &(0x7f0000000900)={0x2, 0x1}, 0x8, 0x10, &(0x7f0000000940)={0x4, 0xd, 0x10001, 0x4a}, 0x10, 0x0, r2, 0x1, &(0x7f0000000980)=[r2, r2, r2, r2], &(0x7f00000009c0)=[{0x4, 0x2}], 0x10, 0x100}, 0x90) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(0xffffffffffffffff, 0x8933, &(0x7f0000007140)={'batadv_slave_1\x00', 0x0}) recvmsg(r4, &(0x7f0000000240)={&(0x7f0000000040)=@nfc={0x27, 0x0}, 0xf012, &(0x7f0000000180)=[{&(0x7f0000003ac0)=""/4096, 0x200116c0}], 0x1, &(0x7f0000000200)=""/20, 0x14}, 0x100) setsockopt$inet_tcp_int(r4, 0x6, 0x12, &(0x7f0000000300)=0x4, 0x4) write$binfmt_elf64(r4, &(0x7f0000000a00)=ANY=[@ANYRES32, @ANYBLOB="4392c9d2eb9b977d238e51b11fd0c0a6baef72102b9a03b005e93789f83bacc0b5f3fd1ae105134de45d4caeda3b2fd383c501a61fd830ec66dadb4e11f4b40a344868fcea5d536ede849a69b6b0aa5b35904efbf220a4241d79595c06c2e2c8e70871b41294a3b5dd15080100ec3fe7d0cbfd1774516d043f783cb6a2090400641095cb734d3c53305bf8fe8a17524570ce1b62efa4bbf999b6af5c4ab8209ed5d0131ba372", @ANYRES8=r7, @ANYRES64=r6], 0x100000530) r8 = syz_open_dev$mouse(&(0x7f0000000280), 0x9, 0x40000) getsockname$packet(r8, &(0x7f0000000580)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000340)=0x14) bind$packet(r2, &(0x7f00000001c0)={0x11, 0x11, r6, 0x1, 0x36, 0x6, @link_local={0x1, 0x80, 0xc2, 0x0, 0x0, 0x1}}, 0x14) r9 = bpf$MAP_CREATE(0x0, &(0x7f0000000440)=@base={0x1, 0x40, 0x6, 0x8, 0x0, r8}, 0x48) r10 = bpf$MAP_CREATE(0x0, &(0x7f0000000040)=@base={0xc, 0x4, 0x4, 0x9, 0x0, r9}, 0x48) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000580)=@bpf_lsm={0xe, 0x5, &(0x7f00000000c0)=@framed={{0x7d, 0xa, 0xa, 0x0, 0x0, 0x79, 0x10, 0x98}, [@map_fd={0x18, 0x0, 0x1, 0x0, r10}]}, &(0x7f0000000000)='GPL\x00'}, 0x80) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000e80)=@bpf_ext={0x1c, 0x18, &(0x7f0000000b80)=ANY=[@ANYBLOB="1800000017d00000000000000500000018110000", @ANYRES32=r8, @ANYBLOB="0000000000000000b702000014000000b7030000000000008500000083000000bf090000000000005509010000000000950000000000000018110000", @ANYRES32=r10, @ANYBLOB="0000000000000000b7080000000000007b8af8ff00000000bfa200000000000007020000f8ffffffb703000008000000b7040000000000008500000082000000bf91000000000000b702000002000000850000fc83000000b7000000000000009500000000000000"], &(0x7f0000000c40)='GPL\x00', 0x1, 0xd7, &(0x7f0000000c80)=""/215, 0x41000, 0x20, '\x00', 0x0, 0x0, r8, 0x8, &(0x7f0000000d80)={0x4, 0x3}, 0x8, 0x10, &(0x7f0000000dc0)={0x3, 0xd, 0x6, 0x9fa}, 0x10, 0x1c9a9, r2, 0x7, 0x0, &(0x7f0000000e00)=[{0x3, 0x1, 0x3, 0x4}, {0x4, 0x2, 0x4, 0x9}, {0x5, 0x4, 0x1, 0x2}, {0x0, 0x2, 0x6}, {0x3, 0x1, 0xa, 0xc}, {0x3, 0x2, 0xe, 0x9}, {0x5, 0x2, 0xd, 0x2}], 0x10, 0x200}, 0x90) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000080)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_SIOCGIFINDEX(r11, 0x8933, &(0x7f0000000000)={'lo\x00', 0x0}) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(r8, 0x89f0, &(0x7f0000000fc0)={'syztnl2\x00', &(0x7f0000000f40)={'ip6_vti0\x00', r12, 0x2f, 0xff, 0xf4, 0x6, 0x8, @empty, @initdev={0xfe, 0x88, '\x00', 0x1, 0x0}, 0x40, 0x10, 0x6, 0x2}}) sendmsg$nl_route(r1, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000500)={&(0x7f0000000180)=@newlink={0x2c, 0x10, 0x439, 0x0, 0x25dfdbfd, {0x0, 0x0, 0x0, r12, 0x8020}, [@IFLA_VFINFO_LIST={0xc, 0x16, 0x0, 0x1, [{0x8, 0x1, 0x0, 0x1, [@IFLA_VF_VLAN_LIST={0x4}]}]}]}, 0x2c}, 0x1, 0x0, 0x0, 0x20000000}, 0x0) sendmsg$nl_route_sched_retired(r0, &(0x7f0000000200)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x8}, 0xc, &(0x7f00000001c0)={&(0x7f00000000c0)=@newqdisc={0xf8, 0x24, 0x400, 0x70bd29, 0x25dfdbfe, {0x0, 0x0, 0x0, r12, {0xb}, {0xc, 0x7}, {0x10, 0x9}}, [@q_dsmark={{0xb}, {0xc, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6}]}}, @q_dsmark={{0xb}, {0x8, 0x2, [@TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0xffff}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x100}, @TCA_DSMARK_SET_TC_INDEX={0x4}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x37}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x28}, @TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0xa}, @TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6, 0x1, 0x1}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x33}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x1}]}}]}, 0xf8}, 0x1, 0x0, 0x0, 0x1}, 0x8000) [ 541.368129][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 541.374213][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 541.487877][ T5096] Bluetooth: hci8: command 0x0409 tx timeout 13:10:51 executing program 0: syz_mount_image$btrfs(&(0x7f00000055c0), &(0x7f0000005600)='./bus\x00', 0x0, &(0x7f0000000440)=ANY=[@ANYBLOB="646973636172642c0008fd346f1fe0c7b977cf98b0dfc801ecf9a88e1ae482"], 0x1, 0x559d, &(0x7f0000005680)="$eJzs3X1sVWcdB/BzeynlJaFlyjLUhfkPThCpmFiEoEVgAoPRgSbDwCgO2BAGhQRhY9OOOZ0jk4Y5xoovDKQCxq6+rJiYIbqIcU4mi8OGEXnJIuICK4yoJNOZ3nufy73n0vYO5zq3z4e05z73d57nPPfk/HG/lz7nRgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABBF0cHlC/627gfLv/nQdSenbLz/zAMnap57fNP4u+fsHnX4gVVXtp1uaip99fmzNyy67+GqoSf2zD8URYlUv0Sm/7xPTZ65aNa86X3CgLU3prcVFZ0dMt31WLrRO+/Jjn75P/OjKCqNDZDMbCf1z2kn4geIVhYO2KXtVWNWDdw4cdrmssmDFibrGgtfOh369PQEekrmunrx4rVUnfpdEtsj28659BJ5l2i6f/yCe1NeBADwulTWpDbZt6OZt7jZdn28HmtXx9oNsXZ4h9CQ27gc6XF7dzbPa+L1HppndToqlHU6z1g9c/6z7Zp4/1g7FjVexzzzd81Emj6dzbMuVu+peQIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAC8ldx9/JanSzb96H1L149MHh887BdfbdryvUnt5VO+tm9D2+9bn3tPU1Ppq8+fvWHRfQ9XDT2xZ/6hKKpI9Uukuyfm7mjd8LMVU1b/fM6jzefee8euZGbcsO2Vs3PUFh58vDyKPp9TeTEMe2pAFNXkF1LN6NHCwuLUgymhAAAAwNvJ4NTvkmw7HQdL89qJVJpMpP4F6bC4vWrMqoEbJ07bXDZ50MJkXePlj1fTyXjVlxwv2664+JPICcYh/sbHu1gPu64sGKdr8RHjeX7U0AuHj3x9+Ya1jf1P7u87MDnpV1+uHXzFnNGvXDt2zG1/fWRHQf6v6Dr/hzMn/wMAAPDfkP/j43Stu/w/7Mj9Z+469dN1tZ/ZNvf4+G/UDnhX5Zo/NX/4c+uHTZ3Y69iVWwry/zV5hyzI/2HGIf+XRJeX/wEAAOCt7H+d/6sLxulad/l/2ZoRf592YdbEJ8Zd+OGZO4f88uCRaG/9iC+03P6B/bP7DWj4SUH+rywu//fKnXZ48pkw4SXlUVRZ/EkFAAAA8oT/d7/40ULI6+lPDuJ5fc75g5NuLn3w7EdmXzt029Ehu9rP/2PJ8k0XRjfPGF716acrNhTk/+ri8n/pm/NyAQAAgCI8tfgTN+2Mpk/6UPU9h/cv2P5I/bK1K5c2liWm/ntl2/X/au5dkP9risv/ZT3zcgAAAIBLOPSlbbtfm7msdXhz2fmtf3jtz49fPXz1gabKoyt/O7B0RWvt4oL8X1tc/u+X2WZWPqQ77Q9/hfBQeRT16XhQly78Jmr4ZLYAAAAAvEFCTv/nsbaRO68r+/VT339586zvfHvQ3m/NONj43Qn9b5n44IEZB56sLcj/dV3f/z/c6SCs/8+7/1/B+v+cQvquf2PdGAAAAIB3osL1/OH2+OlvLujs+/eLXf9/4xdbXzp++/yvtL97yE3LXr7tils/Nv7UH6ffmdw57q6SqVNfOl2Q/+uLy//J3O0b+f1/AAAAcBn+377/b3bBOF3r7v7/Mx+752j7X14YN2Jm49pFJ8dv/PG8Lc88trvq6nMLbu77wWeX7i3I/w3F5f+w7Z/78vaF83NveRRd1fEgczfBXWG6S2KFltKcQvrEx3rMCj0yhZaynEJKXazHqPIoen/Hg/pYYWAoNMQK7QMyha2xwrOhkLkesoXmWGFfuNI2DchMN17YEwqZBRYtYQVF/+ySiFiPVzrr0VG4ZI8XsgcHAAB4RwnhOZNlS/ObUTzKtiS626FfdzuUdLdDsrsdesV2iO/Y2fNRbX4hPH9+zRO/q/xoyWcP3XrHhOEjF667t2HsgeTcCdc/uaPvuRWnR68uyP9bi8v/4VT0Tm86W/8fhfX/me81zK7/rw2FilihJRRq4ncMqAnHSIfd9eEYFTWZHu1XZQsAAADwthY+F0j28DwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADgP+zde5xU1Z0g8NNNP2homhbjK2JsdW1Fh6ZBUT/BB2omGmBNo+zMuPhohEaRVhBhIq5RULObxMEoKlFnRmEVRlZx8AVkNQE1ooloNI5mRh1DMGrcjR/FiH6yxrif7lunqLrVZRcCSjvf7x9dp+p3nrceXefeW+cCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD/Max/9MYj/umiJ0eP2jBvwPJXDv/vH9YfumzRO//rT89ed9ve8763ftWSJZV/en7jSZO/c8PhjRtWTnghhJbOcmVJ8bLTF6/4waoLvvGtB0+76e5395y9tCpTbyYe+nb8Kc/cuTK2+tt+IawsC6EiHRhcmwQqM/drY30Da0PYKWwOZEu09UlKpBsOj9WEsDBsDmSr+lFNCLU5gXG/fGT1vI7E9TUh7B9CqE638e/VSRs16UBjVRLokw5Mq0gCH3ycyAZWlScB2GrxzZB90S9vyc9Q33W5Iq+/ym3Wsc9Xeni9YqK+eL63jt3OncpRlX6gZauetoLq2C4K3h5rvNt6wLutYDtf42nL/SKV+Yby8eZQdSif1DZ5wqz2mfGR8tDU1KtYTdvpeX5x4yUTtyTdY16HsQP12+R1+Pj5A+9c0Dhm15sf3jD59apnF2xtN4tt3u2tOmRecz3meYxG+jzpAW+/gm9JDb50hRBuP37T2799aez//dWDTw9+75tDzxrywitD627+7rR+f332/6m8ZerGgvl//SfP/+PLOd6W5+WOrX5Yl8zN4yO1MfF2XTI3BwAAgB6jJ+w1fWPEQW/Xrmt4eN+vr5h83qJ5r51+7p+rftp3wkEnnjL0+3fcOPW0gvl/Q2nH/+Mh/9rc0a4JYWRn4or+Ieze+XgSWBq7c1b/EPbpTLXkB45NBdaEsEdnYlC2qlSJ3rFEQyrwRl0mMDIVWBsDLanA4hi4JhW4MgaWpwITY2BNKnBcDIQp+eM4qC4zjpIDNTHQmmzE5fEshD/UxdZS2+qlbFUAAADbSGZ2WJl/N+dch63NEKeXy2u6yxDPwC6aoTpVQ3oGm51WFa2horsayrurITvuOZ88/IKay7qrueA0jLL8DO8deN/c1Q/8249vnHDYUwd92HrGy+tXPTp6U6+/e2fMjy8dN3/Y+IL5f/Mnz/+ru+hIWcHx/xDGdv6NucszkfZsvLUlLwMAAACwFSpeW3ryL+Z+VLbkZ+ds3P8vTxt3de8V++47YO3B9/2/hjcHHL9q/4L5/8jSzv+P+0R65WQO6+JuiKn9Q2jODyTVjigMJEe9+2YCAAAA0BNkj8dnj4VPydwmp2in59OF+Vu2MH888D+yy/yDx129rnn17adMGXHomjWbztj15WUbntpl/3deOPDk00+4f2rDPQXz/5bSzv/vk3+bdGJt7MV1/UPonRN4PPayI9CpIQbWH5MfyIx/bdwAV8WqMicmZKu6KpZojYHmVGBhsRLPZEvsnh/IPFnZxq/IjmNKpkROAAAAAD5zcXdAPC4fz/9/+tx+j/7jslsueXDJutD37OW/uOzo4QPnD+791rRnDnnkb989eWrB/L91y87/75wHF5ze3943hCEVIfRK/zBgXZ9kYcAYqC3LJH7cJ6mrV7qqy/uEMKJjYOmqNmTW/69IrzH4XE1SVQzsvu8dGxs7ErfXhDAkN/DC+EXDOxKzUoFs439VE8LeHaNNN76id9J4ZbrxG3uH8JWcQLaqib1D6GisKl3Vo9WZ6xikq1peHcLOOYFsVYdXhzA7ANBTxf+lk3IfvHD2xVMntLe3zdiOibgTvyZMntLe1jRxWvuk6iJ9mpTqc946RnMLx1TqpW9ezqxRdNeopv6lpLM/FGzObSuzI7/gzMHM/fhlqLJznMMq8+4ekh7ygfsVNhFyvkoVG3L5dh5yn9xKNj+JBfXH/FWhb+g968K2GU0XTZg5c8bQ5G+p2Yclf+NxpmRbDU1vqz5d9a2El0fR5bJSPu22asytZMjM86YPuXD2xYOnnDfh7Laz284/bPhhRxwx7NBDhw/pGFRz8rebkTZ2VXNqpB8vKnFY23CkX67IqeSz+NCQkJDoaYlVv9vj5aN3Wfq9FbcsnvHz9qPafv71nXces6Tqmy9suvSy/Z/+Hx8UzP+nf/L8P37qxA/+zPoMxY7/18fD/Mnjmw/zt8bAwlKP/9cXO5qfPTGgIRWYEwNzHOYHAADgiyHujox7M+NO6Ufm7/Yvd467b8z89Qc/ue65svV9Dv77D39fXnnZuP9yzAMNt333bwrm/3NK+/3/Nlr/P7t0/ahiy/wPiiWai63/n17mP7v+/5xi6/+nl/nPrv+/8HNY/39WNpDaJH+w/j8AAPBF8Nmt/9/t8v7pCwQUZOh2ef/0BQIKMnS7jH+pFwjY4vX/H2k4aORPVn/nN43LLpj2zn8bct/oAXs2/O6Rva6cNHXk6NEjBv9Lwfz/mtLm/xbuBwAAgB3HAROPfWrjpL2Pvfp/3rbTHj9p/fauh+3y/WVHts3ftH7i39z27jl/XTD/X1ja/P+zX/8vFDv/v6FYoKXYwoDW/wMAAKCHKrb+39Abv3X5q4uPu/+ey6eNam0dP/uKq/dbfUD1qeGl0fMb/mLGvR8VzP+Xlzb/j6ddlOfljr35sC5Z0y6k17R7uy77kwEAAADoGcpDU1NliXnzFkY99tO3+WJmKdBPSud68sH9nn/gqyNOnL+46urXynYb9vFT1888+Piv/fDVjXtdcse55+1XMP9fU9r8P+93GY+fP/DOBY1jdv3w5oc3TH696tkFm4//AwAAANtPqfslAAAAAAAAAAAAAACAz98JD//k6rcnLvnanIW/3PWnvcY+u3zDrDlNs2uvf/WH1/7qiDsfHlfw+/8wtrNcsd//x+v+xd8XfCkvd2y1+/X/MvfHjb57dueShevqQtgvNzD18qk7hcy1+Q/IDaw+fdBuHYnL0yUeeuW41zoSZ6YDJw4e8H5H4shUoDUukrhHOhCvqvh+v1QgLq/4XDoQt8fydKAqE/hev2QcZelt9WZtsq3K0tvqxdoQ+ucEsttqZW3SRll6gNenAtkBXpAOxAGelAmUp3t1d9+kVzFQG4ve2jfpFQAAO6z4LbAyTJ7S3tYcv8LH2y9X5N9GeUuWzS2stqzE5l/OLE1216im/qWke6W/i26+1nhlqO4YwtCCr6u5Wco6R7ltaulm032pyJC7W+2tvEi5tC3ddFXFR1STjKhp4rT2SZXdDvyQ7rMMq+g2y9CCyU5ulvLOTVpCLSX0pYQRlbhtSuhyvF8empp6pXJ9NQbrQ57uXhGl/l4/d52/Yq+C3DxPvtn+1BP//PzKfR7/89Nnf/BXk7596byzznj3yHOq/+E/lz39XwfuXDD/ry9t/l+dO673MxcDmBOvrDeifwitJY4IAAAAvvjOOf+V+d999No31rc0vjZtyLWr/3X2jRdX1C298i9ffOhvN42/+sytjb/58zv2eXjyhGe+dO4hy054fZ+DL2s88637/mLeuAev6nvLD+ff8YOC+X9DafP/uAcrcyg42duxJl7//4r+IXReWr8+CSyNwz2rfwj7dKZaYonkgvqjYonmJLA07jAZFEu0tuRX1TsGlqcCb9RlAmtSgbUxkNlLcUfI7Mq5ti6E4Z2psfklpscS9anAN2OgIRVoioHmVKBfDIxMBX7fLxNoSQWejIEwJX9b3dcvs60AAAC2RGaeVZl/N6TnecsrustQ1l2GPt1lKO8uQ3V3GYqNIt6/N2aoTJ28UpaTqTJda02qloIM8WL4W9yvggzhmfyc6YIFTcfzD7LnG5TlZ3jg5K/ec9WCyYPKf/XR2qWt790/ccWts49eec5Df/fEpH0X3XX93gXz/+bS5v998m+T1tfG+f/m6/8lgcdj966Lp443xMD6Y/IDmR0Da+Nk96psVS2ZEplJ+1WxxMgYaEgFpsfAyFSgdWwmsHC3/EBmpp1t/Ips41MyJXICAAAA8JmLOwjibpo4///jsmePeqxi0V3/+ur4u+6d89Y99/70nntuvXf07Zu+/twVF7970UcF8/+Rpc3/Y3t9cxu7Mvbmt/1CWFm2uTfZwODaJBD3Y9TGn8cPrA1hp5wdHNkSbX2SElWphsNjNckv1KvSVf2oJlljIN4f98tHVs/rSFxfE8L+OXtfsm38e3XSRk060FiVBPqkA9MqkkDc85MNrCpPArDVsnsF4wsqc6pLVn3X5Yq8/r4o1wRND69gH2gX+br6zdX2Up1+ILNPNWvLnraC6tguCt4ea7zbeuK7rd67LfeLVOYbysebQ9WhfFLb5Amz2mfGR3J/yVpgOz3Pub9SLSW9DV6Hcz59b7tXne5Ac+rjo7nrcl2/DstidY+fP/DOBY1jdr354Q2TX696dkHJ3Sgi/lD4maoB9bmbd3urDpnXXI/7PGnxedIT/w00eNpCCBsuPeG6kVXTr1g5+pAj93rttFOqZ7437+/vf+mBd/f9xxUTh31tQMH8v6W0+X9F6rbTH+PGvLB/CAfmbNx1cfMf3z/5HMwJJJ+SOxcGkkPur9YV/eQEAACAbS27uyO7v2BK5jY5ITw9Ty7M37KF+eP+ipFd5i+13z8adMpe9+9297hrTz3qpn/+zdh+G8e/uOSYFa1HNS49+mf/6cyaeQXz/9ZPnv/3TnXT8X/H/9lOHP/v0o6+K7p3+oE5W7UruqA6tgvH/7u0o7/bHP/vkuP/jv93xfH/bjj+36Ud/Wkr+JY03ZeuEMKwMWcMrr1r8BPvD1z96yeemvJvc1sn3PONq27Z8+Nv1y9eUL9r34L5//TS5v/W/+t60b7s+n+txdb/m15s/b851v8DAAC2qyILzaXneQWr9xVkSK/eV5Ch2wUCu11i0Pp/W7z+32NHHTl++ejFv16z95gDLus7d+6puzx504stM9+vue2D93f7xYGjCub/c0qb/8eXQ9/c1nvK+n8NY4tUdU0MTLcwIAAAADuiYjsIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA+HztfsN1449prj7pN8dfWjP6+w+tO6D6mldOvXTZhFsnfeX28wfNWrFkSeWfnt940uTv3HB444aVE14IYUpnubKkeNnpi1f8YNUF3/jWg6fddPe7e85eWp2ptzJzu2de7tjqh3UhLMx5pDYm3q7ruLM5MG703bMrOhLr6kLYLzcw9fKpO3UkFteFcEBuYPXpg3brSFyeLvHQK8e91pE4Mx04cfCA9zsSR2YCZenu/kO/pLtl6e7O6xdC/5xAtrvn9suvKtvGCZlAebqNf6pN2oiB2lj0xtqkjRhojyWm9A5hSEUIvdJV/aw6qapXuqr/XZ1U1Std1WXVIYwIIVSkq/p1VVJVRXrkz1QlVcXA7vvesbGxI7GoKoQhuYEXxi8a3pGYkQpkGz+lKoS9O14y6cbvq0war0w3fkNlCF8JIVSlS2yqSEpUpUtsqAhh55zA5o1YEcLswBdD/PSZlPvghbMvnjqhvb1txnZMVGXaqgmTp7S3NU2c1j6pOtWnYspy0h/P/fRjf3njJRM7bu8a1dS/lHRFplxlZ5eHVebdPWRH733sV5/cSjY/HwX1x/xVoW/oPevCthlNF02YOXPG0ORvqdmHJX97ZaLJthraU7ZVY24lQ2aeN33IhbMvHjzlvAlnt53ddv5hww874ohhhx46fEjHoJqTv9tipIs++5F+uSKnks/i/S8hIdHTEuV5n27NO/rneMEX/c0drQzVnR/QBdOK3CxlnaPcFoM+9lOO+NN8Tel2REMLJg4FWYZ1n+WQgsnE5iw1SZbOr3UFk8Pcmso7N2m8Xx6amnoV2w71+XdzN+9bW7F5X8xsulLTAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAMD/ZwcOBAAAAACA/F8boaqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqgo7cCAAAAAAAOT/2ghVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV2IFjAQAAAABh/tZh9GwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAFwKAAD//y85Ijg=") r0 = open(&(0x7f0000000000)='./bus\x00', 0x4c37e, 0x0) fallocate(r0, 0x0, 0x0, 0x1000f4) sendfile(r0, r0, 0x0, 0x8800000) creat(&(0x7f0000000380)='./bus\x00', 0x0) 13:10:51 executing program 4: r0 = socket(0x2, 0x3, 0x9) sendmmsg$inet(r0, &(0x7f00000045c0)=[{{&(0x7f0000000000), 0x10, 0x0}}, {{&(0x7f0000000040), 0x10, 0x0}}], 0x2, 0x0) r1 = socket$nl_route(0x10, 0x3, 0x0) r2 = open(&(0x7f00000005c0)='./bus\x00', 0x64842, 0x0) mmap(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000000, 0x4010, r2, 0x5467a000) pwritev2(r2, &(0x7f0000000240)=[{&(0x7f0000000000)="85", 0x307e00}], 0x1, 0x1400, 0x0, 0x3) ioctl$ifreq_SIOCGIFINDEX_wireguard(r1, 0x8933, &(0x7f0000000400)={'wg0\x00', 0x0}) sendmsg$nl_route_sched(r2, &(0x7f0000000540)={&(0x7f00000003c0)={0x10, 0x0, 0x0, 0x10000000}, 0xc, &(0x7f00000004c0)={&(0x7f0000000440)=@gettfilter={0x6c, 0x2e, 0x1, 0x70bd27, 0x25dfdbff, {0x0, 0x0, 0x0, r3, {0xb, 0xa}, {0x3, 0xf}, {0x10, 0x7}}, [{0x8, 0xb, 0x8}, {0x8, 0xb, 0xc2d0}, {0x8, 0xb, 0x4}, {0x8, 0xb, 0x7}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0xac}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0x5}, {0x8, 0xb, 0x400}]}, 0x6c}, 0x1, 0x0, 0x0, 0x8000}, 0x0) r4 = socket$inet(0x2, 0x4000000000000001, 0x0) setsockopt$inet_tcp_TCP_CONGESTION(r4, 0x6, 0xd, &(0x7f00000001c0)='reno\x00', 0x5) bind$inet(r4, &(0x7f0000000380)={0x2, 0x200000000004e23, @local}, 0x10) sendto$inet(r4, 0x0, 0x0, 0x200007fd, &(0x7f00000008c0)={0x2, 0x4e23, @local}, 0x10) bpf$BPF_GET_MAP_INFO(0xf, &(0x7f0000000700)={r2, 0x58, &(0x7f0000000840)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, ""/16, 0x0}}, 0x10) bpf$PROG_LOAD(0x5, &(0x7f0000000ac0)={0x1c, 0x13, &(0x7f0000000780)=@ringbuf={{0x18, 0x0, 0x0, 0x0, 0xb792, 0x0, 0x0, 0x0, 0xdef4}, {{0x18, 0x1, 0x1, 0x0, r2}}, {}, [@kfunc={0x85, 0x0, 0x2, 0x0, 0x5}, @ldst={0x1, 0x2, 0x1, 0x6, 0xb, 0x1, 0x8}, @initr0={0x18, 0x0, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x199c}], {{}, {0x7, 0x0, 0xb, 0x2, 0x0, 0x0, 0x1}, {0x85, 0x0, 0x0, 0x85}}}, &(0x7f00000002c0)='GPL\x00', 0x1, 0x0, 0x0, 0x100, 0x2, '\x00', r5, 0x22, 0xffffffffffffffff, 0x8, &(0x7f0000000900)={0x2, 0x1}, 0x8, 0x10, &(0x7f0000000940)={0x4, 0xd, 0x10001, 0x4a}, 0x10, 0x0, r2, 0x1, &(0x7f0000000980)=[r2, r2, r2, r2], &(0x7f00000009c0)=[{0x4, 0x2}], 0x10, 0x100}, 0x90) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(0xffffffffffffffff, 0x8933, &(0x7f0000007140)={'batadv_slave_1\x00', 0x0}) recvmsg(r4, &(0x7f0000000240)={&(0x7f0000000040)=@nfc={0x27, 0x0}, 0xf012, &(0x7f0000000180)=[{&(0x7f0000003ac0)=""/4096, 0x200116c0}], 0x1, &(0x7f0000000200)=""/20, 0x14}, 0x100) setsockopt$inet_tcp_int(r4, 0x6, 0x12, &(0x7f0000000300)=0x4, 0x4) write$binfmt_elf64(r4, &(0x7f0000000a00)=ANY=[@ANYRES32, @ANYBLOB="4392c9d2eb9b977d238e51b11fd0c0a6baef72102b9a03b005e93789f83bacc0b5f3fd1ae105134de45d4caeda3b2fd383c501a61fd830ec66dadb4e11f4b40a344868fcea5d536ede849a69b6b0aa5b35904efbf220a4241d79595c06c2e2c8e70871b41294a3b5dd15080100ec3fe7d0cbfd1774516d043f783cb6a2090400641095cb734d3c53305bf8fe8a17524570ce1b62efa4bbf999b6af5c4ab8209ed5d0131ba372", @ANYRES8=r7, @ANYRES64=r6], 0x100000530) r8 = syz_open_dev$mouse(&(0x7f0000000280), 0x9, 0x40000) getsockname$packet(r8, &(0x7f0000000580)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000340)=0x14) bind$packet(r2, &(0x7f00000001c0)={0x11, 0x11, r6, 0x1, 0x36, 0x6, @link_local={0x1, 0x80, 0xc2, 0x0, 0x0, 0x1}}, 0x14) r9 = bpf$MAP_CREATE(0x0, &(0x7f0000000440)=@base={0x1, 0x40, 0x6, 0x8, 0x0, r8}, 0x48) r10 = bpf$MAP_CREATE(0x0, &(0x7f0000000040)=@base={0xc, 0x4, 0x4, 0x9, 0x0, r9}, 0x48) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000580)=@bpf_lsm={0xe, 0x5, &(0x7f00000000c0)=@framed={{0x7d, 0xa, 0xa, 0x0, 0x0, 0x79, 0x10, 0x98}, [@map_fd={0x18, 0x0, 0x1, 0x0, r10}]}, &(0x7f0000000000)='GPL\x00'}, 0x80) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000e80)=@bpf_ext={0x1c, 0x18, &(0x7f0000000b80)=ANY=[@ANYBLOB="1800000017d00000000000000500000018110000", @ANYRES32=r8, @ANYBLOB="0000000000000000b702000014000000b7030000000000008500000083000000bf090000000000005509010000000000950000000000000018110000", @ANYRES32=r10, @ANYBLOB="0000000000000000b7080000000000007b8af8ff00000000bfa200000000000007020000f8ffffffb703000008000000b7040000000000008500000082000000bf91000000000000b702000002000000850000fc83000000b7000000000000009500000000000000"], &(0x7f0000000c40)='GPL\x00', 0x1, 0xd7, &(0x7f0000000c80)=""/215, 0x41000, 0x20, '\x00', 0x0, 0x0, r8, 0x8, &(0x7f0000000d80)={0x4, 0x3}, 0x8, 0x10, &(0x7f0000000dc0)={0x3, 0xd, 0x6, 0x9fa}, 0x10, 0x1c9a9, r2, 0x7, 0x0, &(0x7f0000000e00)=[{0x3, 0x1, 0x3, 0x4}, {0x4, 0x2, 0x4, 0x9}, {0x5, 0x4, 0x1, 0x2}, {0x0, 0x2, 0x6}, {0x3, 0x1, 0xa, 0xc}, {0x3, 0x2, 0xe, 0x9}, {0x5, 0x2, 0xd, 0x2}], 0x10, 0x200}, 0x90) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000080)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_SIOCGIFINDEX(r11, 0x8933, &(0x7f0000000000)={'lo\x00', 0x0}) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(r8, 0x89f0, &(0x7f0000000fc0)={'syztnl2\x00', &(0x7f0000000f40)={'ip6_vti0\x00', r12, 0x2f, 0xff, 0xf4, 0x6, 0x8, @empty, @initdev={0xfe, 0x88, '\x00', 0x1, 0x0}, 0x40, 0x10, 0x6, 0x2}}) sendmsg$nl_route(r1, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000500)={&(0x7f0000000180)=@newlink={0x2c, 0x10, 0x439, 0x0, 0x25dfdbfd, {0x0, 0x0, 0x0, r12, 0x8020}, [@IFLA_VFINFO_LIST={0xc, 0x16, 0x0, 0x1, [{0x8, 0x1, 0x0, 0x1, [@IFLA_VF_VLAN_LIST={0x4}]}]}]}, 0x2c}, 0x1, 0x0, 0x0, 0x20000000}, 0x0) sendmsg$nl_route_sched_retired(r0, &(0x7f0000000200)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x8}, 0xc, &(0x7f00000001c0)={&(0x7f00000000c0)=@newqdisc={0xf8, 0x24, 0x400, 0x70bd29, 0x25dfdbfe, {0x0, 0x0, 0x0, r12, {0xb}, {0xc, 0x7}, {0x10, 0x9}}, [@q_dsmark={{0xb}, {0xc, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6}]}}, @q_dsmark={{0xb}, {0x8, 0x2, [@TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0xffff}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x100}, @TCA_DSMARK_SET_TC_INDEX={0x4}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x37}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x28}, @TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0xa}, @TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6, 0x1, 0x1}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x33}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x1}]}}]}, 0xf8}, 0x1, 0x0, 0x0, 0x1}, 0x8000) [ 541.611383][ T8292] netdevsim netdevsim3 netdevsim3 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 [ 541.764485][ T8292] netdevsim netdevsim3 netdevsim2 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 13:10:52 executing program 4: r0 = socket(0x2, 0x3, 0x9) sendmmsg$inet(r0, &(0x7f00000045c0)=[{{&(0x7f0000000000), 0x10, 0x0}}, {{&(0x7f0000000040), 0x10, 0x0}}], 0x2, 0x0) r1 = socket$nl_route(0x10, 0x3, 0x0) r2 = open(&(0x7f00000005c0)='./bus\x00', 0x64842, 0x0) mmap(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000000, 0x4010, r2, 0x5467a000) pwritev2(r2, &(0x7f0000000240)=[{&(0x7f0000000000)="85", 0x307e00}], 0x1, 0x1400, 0x0, 0x3) ioctl$ifreq_SIOCGIFINDEX_wireguard(r1, 0x8933, &(0x7f0000000400)={'wg0\x00', 0x0}) sendmsg$nl_route_sched(r2, &(0x7f0000000540)={&(0x7f00000003c0)={0x10, 0x0, 0x0, 0x10000000}, 0xc, &(0x7f00000004c0)={&(0x7f0000000440)=@gettfilter={0x6c, 0x2e, 0x1, 0x70bd27, 0x25dfdbff, {0x0, 0x0, 0x0, r3, {0xb, 0xa}, {0x3, 0xf}, {0x10, 0x7}}, [{0x8, 0xb, 0x8}, {0x8, 0xb, 0xc2d0}, {0x8, 0xb, 0x4}, {0x8, 0xb, 0x7}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0xac}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0x5}, {0x8, 0xb, 0x400}]}, 0x6c}, 0x1, 0x0, 0x0, 0x8000}, 0x0) r4 = socket$inet(0x2, 0x4000000000000001, 0x0) setsockopt$inet_tcp_TCP_CONGESTION(r4, 0x6, 0xd, &(0x7f00000001c0)='reno\x00', 0x5) bind$inet(r4, &(0x7f0000000380)={0x2, 0x200000000004e23, @local}, 0x10) sendto$inet(r4, 0x0, 0x0, 0x200007fd, &(0x7f00000008c0)={0x2, 0x4e23, @local}, 0x10) bpf$BPF_GET_MAP_INFO(0xf, &(0x7f0000000700)={r2, 0x58, &(0x7f0000000840)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, ""/16, 0x0}}, 0x10) bpf$PROG_LOAD(0x5, &(0x7f0000000ac0)={0x1c, 0x13, &(0x7f0000000780)=@ringbuf={{0x18, 0x0, 0x0, 0x0, 0xb792, 0x0, 0x0, 0x0, 0xdef4}, {{0x18, 0x1, 0x1, 0x0, r2}}, {}, [@kfunc={0x85, 0x0, 0x2, 0x0, 0x5}, @ldst={0x1, 0x2, 0x1, 0x6, 0xb, 0x1, 0x8}, @initr0={0x18, 0x0, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x199c}], {{}, {0x7, 0x0, 0xb, 0x2, 0x0, 0x0, 0x1}, {0x85, 0x0, 0x0, 0x85}}}, &(0x7f00000002c0)='GPL\x00', 0x1, 0x0, 0x0, 0x100, 0x2, '\x00', r5, 0x22, 0xffffffffffffffff, 0x8, &(0x7f0000000900)={0x2, 0x1}, 0x8, 0x10, &(0x7f0000000940)={0x4, 0xd, 0x10001, 0x4a}, 0x10, 0x0, r2, 0x1, &(0x7f0000000980)=[r2, r2, r2, r2], &(0x7f00000009c0)=[{0x4, 0x2}], 0x10, 0x100}, 0x90) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(0xffffffffffffffff, 0x8933, &(0x7f0000007140)={'batadv_slave_1\x00', 0x0}) recvmsg(r4, &(0x7f0000000240)={&(0x7f0000000040)=@nfc={0x27, 0x0}, 0xf012, &(0x7f0000000180)=[{&(0x7f0000003ac0)=""/4096, 0x200116c0}], 0x1, &(0x7f0000000200)=""/20, 0x14}, 0x100) setsockopt$inet_tcp_int(r4, 0x6, 0x12, &(0x7f0000000300)=0x4, 0x4) write$binfmt_elf64(r4, &(0x7f0000000a00)=ANY=[@ANYRES32, @ANYBLOB="4392c9d2eb9b977d238e51b11fd0c0a6baef72102b9a03b005e93789f83bacc0b5f3fd1ae105134de45d4caeda3b2fd383c501a61fd830ec66dadb4e11f4b40a344868fcea5d536ede849a69b6b0aa5b35904efbf220a4241d79595c06c2e2c8e70871b41294a3b5dd15080100ec3fe7d0cbfd1774516d043f783cb6a2090400641095cb734d3c53305bf8fe8a17524570ce1b62efa4bbf999b6af5c4ab8209ed5d0131ba372", @ANYRES8=r7, @ANYRES64=r6], 0x100000530) r8 = syz_open_dev$mouse(&(0x7f0000000280), 0x9, 0x40000) getsockname$packet(r8, &(0x7f0000000580)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000340)=0x14) bind$packet(r2, &(0x7f00000001c0)={0x11, 0x11, r6, 0x1, 0x36, 0x6, @link_local={0x1, 0x80, 0xc2, 0x0, 0x0, 0x1}}, 0x14) r9 = bpf$MAP_CREATE(0x0, &(0x7f0000000440)=@base={0x1, 0x40, 0x6, 0x8, 0x0, r8}, 0x48) r10 = bpf$MAP_CREATE(0x0, &(0x7f0000000040)=@base={0xc, 0x4, 0x4, 0x9, 0x0, r9}, 0x48) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000580)=@bpf_lsm={0xe, 0x5, &(0x7f00000000c0)=@framed={{0x7d, 0xa, 0xa, 0x0, 0x0, 0x79, 0x10, 0x98}, [@map_fd={0x18, 0x0, 0x1, 0x0, r10}]}, &(0x7f0000000000)='GPL\x00'}, 0x80) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000e80)=@bpf_ext={0x1c, 0x18, &(0x7f0000000b80)=ANY=[@ANYBLOB="1800000017d00000000000000500000018110000", @ANYRES32=r8, @ANYBLOB="0000000000000000b702000014000000b7030000000000008500000083000000bf090000000000005509010000000000950000000000000018110000", @ANYRES32=r10, @ANYBLOB="0000000000000000b7080000000000007b8af8ff00000000bfa200000000000007020000f8ffffffb703000008000000b7040000000000008500000082000000bf91000000000000b702000002000000850000fc83000000b7000000000000009500000000000000"], &(0x7f0000000c40)='GPL\x00', 0x1, 0xd7, &(0x7f0000000c80)=""/215, 0x41000, 0x20, '\x00', 0x0, 0x0, r8, 0x8, &(0x7f0000000d80)={0x4, 0x3}, 0x8, 0x10, &(0x7f0000000dc0)={0x3, 0xd, 0x6, 0x9fa}, 0x10, 0x1c9a9, r2, 0x7, 0x0, &(0x7f0000000e00)=[{0x3, 0x1, 0x3, 0x4}, {0x4, 0x2, 0x4, 0x9}, {0x5, 0x4, 0x1, 0x2}, {0x0, 0x2, 0x6}, {0x3, 0x1, 0xa, 0xc}, {0x3, 0x2, 0xe, 0x9}, {0x5, 0x2, 0xd, 0x2}], 0x10, 0x200}, 0x90) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000080)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_SIOCGIFINDEX(r11, 0x8933, &(0x7f0000000000)={'lo\x00', 0x0}) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(r8, 0x89f0, &(0x7f0000000fc0)={'syztnl2\x00', &(0x7f0000000f40)={'ip6_vti0\x00', r12, 0x2f, 0xff, 0xf4, 0x6, 0x8, @empty, @initdev={0xfe, 0x88, '\x00', 0x1, 0x0}, 0x40, 0x10, 0x6, 0x2}}) sendmsg$nl_route(r1, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000500)={&(0x7f0000000180)=@newlink={0x2c, 0x10, 0x439, 0x0, 0x25dfdbfd, {0x0, 0x0, 0x0, r12, 0x8020}, [@IFLA_VFINFO_LIST={0xc, 0x16, 0x0, 0x1, [{0x8, 0x1, 0x0, 0x1, [@IFLA_VF_VLAN_LIST={0x4}]}]}]}, 0x2c}, 0x1, 0x0, 0x0, 0x20000000}, 0x0) sendmsg$nl_route_sched_retired(r0, &(0x7f0000000200)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x8}, 0xc, &(0x7f00000001c0)={&(0x7f00000000c0)=@newqdisc={0xf8, 0x24, 0x400, 0x70bd29, 0x25dfdbfe, {0x0, 0x0, 0x0, r12, {0xb}, {0xc, 0x7}, {0x10, 0x9}}, [@q_dsmark={{0xb}, {0xc, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6}]}}, @q_dsmark={{0xb}, {0x8, 0x2, [@TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0xffff}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x100}, @TCA_DSMARK_SET_TC_INDEX={0x4}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x37}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x28}, @TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0xa}, @TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6, 0x1, 0x1}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x33}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x1}]}}]}, 0xf8}, 0x1, 0x0, 0x0, 0x1}, 0x8000) [ 541.861858][ T8292] netdevsim netdevsim3 netdevsim1 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 [ 541.920767][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 541.927315][ T28] kauditd_printk_skb: 9 callbacks suppressed [ 541.927327][ T28] audit: type=1800 audit(1706879452.060:88): pid=8331 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.4" name="bus" dev="sda1" ino=1982 res=0 errno=0 [ 541.940118][ T5146] libceph: mon0 (1)[c::]:6789 connect error 13:10:52 executing program 1: r0 = socket(0x2, 0x3, 0x9) sendmmsg$inet(r0, &(0x7f00000045c0)=[{{&(0x7f0000000000), 0x10, 0x0}}, {{&(0x7f0000000040), 0x10, 0x0}}], 0x2, 0x0) r1 = socket$nl_route(0x10, 0x3, 0x0) r2 = open(&(0x7f00000005c0)='./bus\x00', 0x64842, 0x0) mmap(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000000, 0x4010, r2, 0x5467a000) pwritev2(r2, &(0x7f0000000240)=[{&(0x7f0000000000)="85", 0x307e00}], 0x1, 0x1400, 0x0, 0x3) ioctl$ifreq_SIOCGIFINDEX_wireguard(r1, 0x8933, &(0x7f0000000400)={'wg0\x00', 0x0}) sendmsg$nl_route_sched(r2, &(0x7f0000000540)={&(0x7f00000003c0)={0x10, 0x0, 0x0, 0x10000000}, 0xc, &(0x7f00000004c0)={&(0x7f0000000440)=@gettfilter={0x6c, 0x2e, 0x1, 0x70bd27, 0x25dfdbff, {0x0, 0x0, 0x0, r3, {0xb, 0xa}, {0x3, 0xf}, {0x10, 0x7}}, [{0x8, 0xb, 0x8}, {0x8, 0xb, 0xc2d0}, {0x8, 0xb, 0x4}, {0x8, 0xb, 0x7}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0xac}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0x5}, {0x8, 0xb, 0x400}]}, 0x6c}, 0x1, 0x0, 0x0, 0x8000}, 0x0) r4 = socket$inet(0x2, 0x4000000000000001, 0x0) setsockopt$inet_tcp_TCP_CONGESTION(r4, 0x6, 0xd, &(0x7f00000001c0)='reno\x00', 0x5) bind$inet(r4, &(0x7f0000000380)={0x2, 0x200000000004e23, @local}, 0x10) sendto$inet(r4, 0x0, 0x0, 0x200007fd, &(0x7f00000008c0)={0x2, 0x4e23, @local}, 0x10) bpf$BPF_GET_MAP_INFO(0xf, &(0x7f0000000700)={r2, 0x58, &(0x7f0000000840)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, ""/16, 0x0}}, 0x10) bpf$PROG_LOAD(0x5, &(0x7f0000000ac0)={0x1c, 0x13, &(0x7f0000000780)=@ringbuf={{0x18, 0x0, 0x0, 0x0, 0xb792, 0x0, 0x0, 0x0, 0xdef4}, {{0x18, 0x1, 0x1, 0x0, r2}}, {}, [@kfunc={0x85, 0x0, 0x2, 0x0, 0x5}, @ldst={0x1, 0x2, 0x1, 0x6, 0xb, 0x1, 0x8}, @initr0={0x18, 0x0, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x199c}], {{}, {0x7, 0x0, 0xb, 0x2, 0x0, 0x0, 0x1}, {0x85, 0x0, 0x0, 0x85}}}, &(0x7f00000002c0)='GPL\x00', 0x1, 0x0, 0x0, 0x100, 0x2, '\x00', r5, 0x22, 0xffffffffffffffff, 0x8, &(0x7f0000000900)={0x2, 0x1}, 0x8, 0x10, &(0x7f0000000940)={0x4, 0xd, 0x10001, 0x4a}, 0x10, 0x0, r2, 0x1, &(0x7f0000000980)=[r2, r2, r2, r2], &(0x7f00000009c0)=[{0x4, 0x2}], 0x10, 0x100}, 0x90) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(0xffffffffffffffff, 0x8933, &(0x7f0000007140)={'batadv_slave_1\x00', 0x0}) recvmsg(r4, &(0x7f0000000240)={&(0x7f0000000040)=@nfc={0x27, 0x0}, 0xf012, &(0x7f0000000180)=[{&(0x7f0000003ac0)=""/4096, 0x200116c0}], 0x1, &(0x7f0000000200)=""/20, 0x14}, 0x100) setsockopt$inet_tcp_int(r4, 0x6, 0x12, &(0x7f0000000300)=0x4, 0x4) write$binfmt_elf64(r4, &(0x7f0000000a00)=ANY=[@ANYRES32, @ANYBLOB="4392c9d2eb9b977d238e51b11fd0c0a6baef72102b9a03b005e93789f83bacc0b5f3fd1ae105134de45d4caeda3b2fd383c501a61fd830ec66dadb4e11f4b40a344868fcea5d536ede849a69b6b0aa5b35904efbf220a4241d79595c06c2e2c8e70871b41294a3b5dd15080100ec3fe7d0cbfd1774516d043f783cb6a2090400641095cb734d3c53305bf8fe8a17524570ce1b62efa4bbf999b6af5c4ab8209ed5d0131ba372", @ANYRES8=r7, @ANYRES64=r6], 0x100000530) r8 = syz_open_dev$mouse(&(0x7f0000000280), 0x9, 0x40000) getsockname$packet(r8, &(0x7f0000000580)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000340)=0x14) bind$packet(r2, &(0x7f00000001c0)={0x11, 0x11, r6, 0x1, 0x36, 0x6, @link_local={0x1, 0x80, 0xc2, 0x0, 0x0, 0x1}}, 0x14) r9 = bpf$MAP_CREATE(0x0, &(0x7f0000000440)=@base={0x1, 0x40, 0x6, 0x8, 0x0, r8}, 0x48) r10 = bpf$MAP_CREATE(0x0, &(0x7f0000000040)=@base={0xc, 0x4, 0x4, 0x9, 0x0, r9}, 0x48) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000580)=@bpf_lsm={0xe, 0x5, &(0x7f00000000c0)=@framed={{0x7d, 0xa, 0xa, 0x0, 0x0, 0x79, 0x10, 0x98}, [@map_fd={0x18, 0x0, 0x1, 0x0, r10}]}, &(0x7f0000000000)='GPL\x00'}, 0x80) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000e80)=@bpf_ext={0x1c, 0x18, &(0x7f0000000b80)=ANY=[@ANYBLOB="1800000017d00000000000000500000018110000", @ANYRES32=r8, @ANYBLOB="0000000000000000b702000014000000b7030000000000008500000083000000bf090000000000005509010000000000950000000000000018110000", @ANYRES32=r10, @ANYBLOB="0000000000000000b7080000000000007b8af8ff00000000bfa200000000000007020000f8ffffffb703000008000000b7040000000000008500000082000000bf91000000000000b702000002000000850000fc83000000b7000000000000009500000000000000"], &(0x7f0000000c40)='GPL\x00', 0x1, 0xd7, &(0x7f0000000c80)=""/215, 0x41000, 0x20, '\x00', 0x0, 0x0, r8, 0x8, &(0x7f0000000d80)={0x4, 0x3}, 0x8, 0x10, &(0x7f0000000dc0)={0x3, 0xd, 0x6, 0x9fa}, 0x10, 0x1c9a9, r2, 0x7, 0x0, &(0x7f0000000e00)=[{0x3, 0x1, 0x3, 0x4}, {0x4, 0x2, 0x4, 0x9}, {0x5, 0x4, 0x1, 0x2}, {0x0, 0x2, 0x6}, {0x3, 0x1, 0xa, 0xc}, {0x3, 0x2, 0xe, 0x9}, {0x5, 0x2, 0xd, 0x2}], 0x10, 0x200}, 0x90) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000080)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_SIOCGIFINDEX(r11, 0x8933, &(0x7f0000000000)={'lo\x00', 0x0}) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(r8, 0x89f0, &(0x7f0000000fc0)={'syztnl2\x00', &(0x7f0000000f40)={'ip6_vti0\x00', r12, 0x2f, 0xff, 0xf4, 0x6, 0x8, @empty, @initdev={0xfe, 0x88, '\x00', 0x1, 0x0}, 0x40, 0x10, 0x6, 0x2}}) sendmsg$nl_route(r1, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000500)={&(0x7f0000000180)=@newlink={0x2c, 0x10, 0x439, 0x0, 0x25dfdbfd, {0x0, 0x0, 0x0, r12, 0x8020}, [@IFLA_VFINFO_LIST={0xc, 0x16, 0x0, 0x1, [{0x8, 0x1, 0x0, 0x1, [@IFLA_VF_VLAN_LIST={0x4}]}]}]}, 0x2c}, 0x1, 0x0, 0x0, 0x20000000}, 0x0) sendmsg$nl_route_sched_retired(r0, &(0x7f0000000200)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x8}, 0xc, &(0x7f00000001c0)={&(0x7f00000000c0)=@newqdisc={0xf8, 0x24, 0x400, 0x70bd29, 0x25dfdbfe, {0x0, 0x0, 0x0, r12, {0xb}, {0xc, 0x7}, {0x10, 0x9}}, [@q_dsmark={{0xb}, {0xc, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6}]}}, @q_dsmark={{0xb}, {0x8, 0x2, [@TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0xffff}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x100}, @TCA_DSMARK_SET_TC_INDEX={0x4}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x37}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x28}, @TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0xa}, @TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6, 0x1, 0x1}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x33}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x1}]}}]}, 0xf8}, 0x1, 0x0, 0x0, 0x1}, 0x8000) 13:10:52 executing program 4: r0 = socket(0x2, 0x3, 0x9) sendmmsg$inet(r0, &(0x7f00000045c0)=[{{&(0x7f0000000000), 0x10, 0x0}}, {{&(0x7f0000000040), 0x10, 0x0}}], 0x2, 0x0) r1 = socket$nl_route(0x10, 0x3, 0x0) r2 = open(&(0x7f00000005c0)='./bus\x00', 0x64842, 0x0) mmap(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000000, 0x4010, r2, 0x5467a000) pwritev2(r2, &(0x7f0000000240)=[{&(0x7f0000000000)="85", 0x307e00}], 0x1, 0x1400, 0x0, 0x3) ioctl$ifreq_SIOCGIFINDEX_wireguard(r1, 0x8933, &(0x7f0000000400)={'wg0\x00', 0x0}) sendmsg$nl_route_sched(r2, &(0x7f0000000540)={&(0x7f00000003c0)={0x10, 0x0, 0x0, 0x10000000}, 0xc, &(0x7f00000004c0)={&(0x7f0000000440)=@gettfilter={0x6c, 0x2e, 0x1, 0x70bd27, 0x25dfdbff, {0x0, 0x0, 0x0, r3, {0xb, 0xa}, {0x3, 0xf}, {0x10, 0x7}}, [{0x8, 0xb, 0x8}, {0x8, 0xb, 0xc2d0}, {0x8, 0xb, 0x4}, {0x8, 0xb, 0x7}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0xac}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0x5}, {0x8, 0xb, 0x400}]}, 0x6c}, 0x1, 0x0, 0x0, 0x8000}, 0x0) r4 = socket$inet(0x2, 0x4000000000000001, 0x0) setsockopt$inet_tcp_TCP_CONGESTION(r4, 0x6, 0xd, &(0x7f00000001c0)='reno\x00', 0x5) bind$inet(r4, &(0x7f0000000380)={0x2, 0x200000000004e23, @local}, 0x10) sendto$inet(r4, 0x0, 0x0, 0x200007fd, &(0x7f00000008c0)={0x2, 0x4e23, @local}, 0x10) bpf$BPF_GET_MAP_INFO(0xf, &(0x7f0000000700)={r2, 0x58, &(0x7f0000000840)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, ""/16, 0x0}}, 0x10) bpf$PROG_LOAD(0x5, &(0x7f0000000ac0)={0x1c, 0x13, &(0x7f0000000780)=@ringbuf={{0x18, 0x0, 0x0, 0x0, 0xb792, 0x0, 0x0, 0x0, 0xdef4}, {{0x18, 0x1, 0x1, 0x0, r2}}, {}, [@kfunc={0x85, 0x0, 0x2, 0x0, 0x5}, @ldst={0x1, 0x2, 0x1, 0x6, 0xb, 0x1, 0x8}, @initr0={0x18, 0x0, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x199c}], {{}, {0x7, 0x0, 0xb, 0x2, 0x0, 0x0, 0x1}, {0x85, 0x0, 0x0, 0x85}}}, &(0x7f00000002c0)='GPL\x00', 0x1, 0x0, 0x0, 0x100, 0x2, '\x00', r5, 0x22, 0xffffffffffffffff, 0x8, &(0x7f0000000900)={0x2, 0x1}, 0x8, 0x10, &(0x7f0000000940)={0x4, 0xd, 0x10001, 0x4a}, 0x10, 0x0, r2, 0x1, &(0x7f0000000980)=[r2, r2, r2, r2], &(0x7f00000009c0)=[{0x4, 0x2}], 0x10, 0x100}, 0x90) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(0xffffffffffffffff, 0x8933, &(0x7f0000007140)={'batadv_slave_1\x00', 0x0}) recvmsg(r4, &(0x7f0000000240)={&(0x7f0000000040)=@nfc={0x27, 0x0}, 0xf012, &(0x7f0000000180)=[{&(0x7f0000003ac0)=""/4096, 0x200116c0}], 0x1, &(0x7f0000000200)=""/20, 0x14}, 0x100) setsockopt$inet_tcp_int(r4, 0x6, 0x12, &(0x7f0000000300)=0x4, 0x4) write$binfmt_elf64(r4, &(0x7f0000000a00)=ANY=[@ANYRES32, @ANYBLOB="4392c9d2eb9b977d238e51b11fd0c0a6baef72102b9a03b005e93789f83bacc0b5f3fd1ae105134de45d4caeda3b2fd383c501a61fd830ec66dadb4e11f4b40a344868fcea5d536ede849a69b6b0aa5b35904efbf220a4241d79595c06c2e2c8e70871b41294a3b5dd15080100ec3fe7d0cbfd1774516d043f783cb6a2090400641095cb734d3c53305bf8fe8a17524570ce1b62efa4bbf999b6af5c4ab8209ed5d0131ba372", @ANYRES8=r7, @ANYRES64=r6], 0x100000530) r8 = syz_open_dev$mouse(&(0x7f0000000280), 0x9, 0x40000) getsockname$packet(r8, &(0x7f0000000580)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000340)=0x14) bind$packet(r2, &(0x7f00000001c0)={0x11, 0x11, r6, 0x1, 0x36, 0x6, @link_local={0x1, 0x80, 0xc2, 0x0, 0x0, 0x1}}, 0x14) r9 = bpf$MAP_CREATE(0x0, &(0x7f0000000440)=@base={0x1, 0x40, 0x6, 0x8, 0x0, r8}, 0x48) r10 = bpf$MAP_CREATE(0x0, &(0x7f0000000040)=@base={0xc, 0x4, 0x4, 0x9, 0x0, r9}, 0x48) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000580)=@bpf_lsm={0xe, 0x5, &(0x7f00000000c0)=@framed={{0x7d, 0xa, 0xa, 0x0, 0x0, 0x79, 0x10, 0x98}, [@map_fd={0x18, 0x0, 0x1, 0x0, r10}]}, &(0x7f0000000000)='GPL\x00'}, 0x80) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000e80)=@bpf_ext={0x1c, 0x18, &(0x7f0000000b80)=ANY=[@ANYBLOB="1800000017d00000000000000500000018110000", @ANYRES32=r8, @ANYBLOB="0000000000000000b702000014000000b7030000000000008500000083000000bf090000000000005509010000000000950000000000000018110000", @ANYRES32=r10, @ANYBLOB="0000000000000000b7080000000000007b8af8ff00000000bfa200000000000007020000f8ffffffb703000008000000b7040000000000008500000082000000bf91000000000000b702000002000000850000fc83000000b7000000000000009500000000000000"], &(0x7f0000000c40)='GPL\x00', 0x1, 0xd7, &(0x7f0000000c80)=""/215, 0x41000, 0x20, '\x00', 0x0, 0x0, r8, 0x8, &(0x7f0000000d80)={0x4, 0x3}, 0x8, 0x10, &(0x7f0000000dc0)={0x3, 0xd, 0x6, 0x9fa}, 0x10, 0x1c9a9, r2, 0x7, 0x0, &(0x7f0000000e00)=[{0x3, 0x1, 0x3, 0x4}, {0x4, 0x2, 0x4, 0x9}, {0x5, 0x4, 0x1, 0x2}, {0x0, 0x2, 0x6}, {0x3, 0x1, 0xa, 0xc}, {0x3, 0x2, 0xe, 0x9}, {0x5, 0x2, 0xd, 0x2}], 0x10, 0x200}, 0x90) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000080)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_SIOCGIFINDEX(r11, 0x8933, &(0x7f0000000000)={'lo\x00', 0x0}) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(r8, 0x89f0, &(0x7f0000000fc0)={'syztnl2\x00', &(0x7f0000000f40)={'ip6_vti0\x00', r12, 0x2f, 0xff, 0xf4, 0x6, 0x8, @empty, @initdev={0xfe, 0x88, '\x00', 0x1, 0x0}, 0x40, 0x10, 0x6, 0x2}}) sendmsg$nl_route(r1, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000500)={&(0x7f0000000180)=@newlink={0x2c, 0x10, 0x439, 0x0, 0x25dfdbfd, {0x0, 0x0, 0x0, r12, 0x8020}, [@IFLA_VFINFO_LIST={0xc, 0x16, 0x0, 0x1, [{0x8, 0x1, 0x0, 0x1, [@IFLA_VF_VLAN_LIST={0x4}]}]}]}, 0x2c}, 0x1, 0x0, 0x0, 0x20000000}, 0x0) sendmsg$nl_route_sched_retired(r0, &(0x7f0000000200)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x8}, 0xc, &(0x7f00000001c0)={&(0x7f00000000c0)=@newqdisc={0xf8, 0x24, 0x400, 0x70bd29, 0x25dfdbfe, {0x0, 0x0, 0x0, r12, {0xb}, {0xc, 0x7}, {0x10, 0x9}}, [@q_dsmark={{0xb}, {0xc, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6}]}}, @q_dsmark={{0xb}, {0x8, 0x2, [@TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0xffff}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x100}, @TCA_DSMARK_SET_TC_INDEX={0x4}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x37}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x28}, @TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0xa}, @TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6, 0x1, 0x1}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x33}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x1}]}}]}, 0xf8}, 0x1, 0x0, 0x0, 0x1}, 0x8000) [ 542.050006][ T8292] netdevsim netdevsim3 netdevsim0 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 [ 542.138908][ T783] libceph: connect (1)[c::]:6789 error -101 [ 542.140669][ T5146] libceph: connect (1)[c::]:6789 error -101 [ 542.144930][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 542.156941][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 542.157126][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 542.167811][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 542.174874][ T28] audit: type=1800 audit(1706879452.310:89): pid=8334 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.1" name="bus" dev="sda1" ino=1986 res=0 errno=0 [ 542.178283][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 542.200255][ T5146] libceph: mon0 (1)[c::]:6789 connect error [ 542.208340][ T8] libceph: connect (1)[c::]:6789 error -101 [ 542.228157][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 542.236837][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 542.244387][ T7] libceph: connect (1)[c::]:6789 error -101 [ 542.256078][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 542.265038][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 542.271888][ T28] audit: type=1800 audit(1706879452.370:90): pid=8336 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.4" name="bus" dev="sda1" ino=1987 res=0 errno=0 13:10:52 executing program 4: r0 = socket(0x2, 0x3, 0x9) sendmmsg$inet(r0, &(0x7f00000045c0)=[{{&(0x7f0000000000), 0x10, 0x0}}, {{&(0x7f0000000040), 0x10, 0x0}}], 0x2, 0x0) r1 = socket$nl_route(0x10, 0x3, 0x0) r2 = open(&(0x7f00000005c0)='./bus\x00', 0x64842, 0x0) mmap(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000000, 0x4010, r2, 0x5467a000) pwritev2(r2, &(0x7f0000000240)=[{&(0x7f0000000000)="85", 0x307e00}], 0x1, 0x1400, 0x0, 0x3) ioctl$ifreq_SIOCGIFINDEX_wireguard(r1, 0x8933, &(0x7f0000000400)={'wg0\x00', 0x0}) sendmsg$nl_route_sched(r2, &(0x7f0000000540)={&(0x7f00000003c0)={0x10, 0x0, 0x0, 0x10000000}, 0xc, &(0x7f00000004c0)={&(0x7f0000000440)=@gettfilter={0x6c, 0x2e, 0x1, 0x70bd27, 0x25dfdbff, {0x0, 0x0, 0x0, r3, {0xb, 0xa}, {0x3, 0xf}, {0x10, 0x7}}, [{0x8, 0xb, 0x8}, {0x8, 0xb, 0xc2d0}, {0x8, 0xb, 0x4}, {0x8, 0xb, 0x7}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0xac}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0x5}, {0x8, 0xb, 0x400}]}, 0x6c}, 0x1, 0x0, 0x0, 0x8000}, 0x0) r4 = socket$inet(0x2, 0x4000000000000001, 0x0) setsockopt$inet_tcp_TCP_CONGESTION(r4, 0x6, 0xd, &(0x7f00000001c0)='reno\x00', 0x5) bind$inet(r4, &(0x7f0000000380)={0x2, 0x200000000004e23, @local}, 0x10) sendto$inet(r4, 0x0, 0x0, 0x200007fd, &(0x7f00000008c0)={0x2, 0x4e23, @local}, 0x10) bpf$BPF_GET_MAP_INFO(0xf, &(0x7f0000000700)={r2, 0x58, &(0x7f0000000840)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, ""/16, 0x0}}, 0x10) bpf$PROG_LOAD(0x5, &(0x7f0000000ac0)={0x1c, 0x13, &(0x7f0000000780)=@ringbuf={{0x18, 0x0, 0x0, 0x0, 0xb792, 0x0, 0x0, 0x0, 0xdef4}, {{0x18, 0x1, 0x1, 0x0, r2}}, {}, [@kfunc={0x85, 0x0, 0x2, 0x0, 0x5}, @ldst={0x1, 0x2, 0x1, 0x6, 0xb, 0x1, 0x8}, @initr0={0x18, 0x0, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x199c}], {{}, {0x7, 0x0, 0xb, 0x2, 0x0, 0x0, 0x1}, {0x85, 0x0, 0x0, 0x85}}}, &(0x7f00000002c0)='GPL\x00', 0x1, 0x0, 0x0, 0x100, 0x2, '\x00', r5, 0x22, 0xffffffffffffffff, 0x8, &(0x7f0000000900)={0x2, 0x1}, 0x8, 0x10, &(0x7f0000000940)={0x4, 0xd, 0x10001, 0x4a}, 0x10, 0x0, r2, 0x1, &(0x7f0000000980)=[r2, r2, r2, r2], &(0x7f00000009c0)=[{0x4, 0x2}], 0x10, 0x100}, 0x90) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(0xffffffffffffffff, 0x8933, &(0x7f0000007140)={'batadv_slave_1\x00', 0x0}) recvmsg(r4, &(0x7f0000000240)={&(0x7f0000000040)=@nfc={0x27, 0x0}, 0xf012, &(0x7f0000000180)=[{&(0x7f0000003ac0)=""/4096, 0x200116c0}], 0x1, &(0x7f0000000200)=""/20, 0x14}, 0x100) setsockopt$inet_tcp_int(r4, 0x6, 0x12, &(0x7f0000000300)=0x4, 0x4) write$binfmt_elf64(r4, &(0x7f0000000a00)=ANY=[@ANYRES32, @ANYBLOB="4392c9d2eb9b977d238e51b11fd0c0a6baef72102b9a03b005e93789f83bacc0b5f3fd1ae105134de45d4caeda3b2fd383c501a61fd830ec66dadb4e11f4b40a344868fcea5d536ede849a69b6b0aa5b35904efbf220a4241d79595c06c2e2c8e70871b41294a3b5dd15080100ec3fe7d0cbfd1774516d043f783cb6a2090400641095cb734d3c53305bf8fe8a17524570ce1b62efa4bbf999b6af5c4ab8209ed5d0131ba372", @ANYRES8=r7, @ANYRES64=r6], 0x100000530) r8 = syz_open_dev$mouse(&(0x7f0000000280), 0x9, 0x40000) getsockname$packet(r8, &(0x7f0000000580)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000340)=0x14) bind$packet(r2, &(0x7f00000001c0)={0x11, 0x11, r6, 0x1, 0x36, 0x6, @link_local={0x1, 0x80, 0xc2, 0x0, 0x0, 0x1}}, 0x14) r9 = bpf$MAP_CREATE(0x0, &(0x7f0000000440)=@base={0x1, 0x40, 0x6, 0x8, 0x0, r8}, 0x48) r10 = bpf$MAP_CREATE(0x0, &(0x7f0000000040)=@base={0xc, 0x4, 0x4, 0x9, 0x0, r9}, 0x48) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000580)=@bpf_lsm={0xe, 0x5, &(0x7f00000000c0)=@framed={{0x7d, 0xa, 0xa, 0x0, 0x0, 0x79, 0x10, 0x98}, [@map_fd={0x18, 0x0, 0x1, 0x0, r10}]}, &(0x7f0000000000)='GPL\x00'}, 0x80) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000e80)=@bpf_ext={0x1c, 0x18, &(0x7f0000000b80)=ANY=[@ANYBLOB="1800000017d00000000000000500000018110000", @ANYRES32=r8, @ANYBLOB="0000000000000000b702000014000000b7030000000000008500000083000000bf090000000000005509010000000000950000000000000018110000", @ANYRES32=r10, @ANYBLOB="0000000000000000b7080000000000007b8af8ff00000000bfa200000000000007020000f8ffffffb703000008000000b7040000000000008500000082000000bf91000000000000b702000002000000850000fc83000000b7000000000000009500000000000000"], &(0x7f0000000c40)='GPL\x00', 0x1, 0xd7, &(0x7f0000000c80)=""/215, 0x41000, 0x20, '\x00', 0x0, 0x0, r8, 0x8, &(0x7f0000000d80)={0x4, 0x3}, 0x8, 0x10, &(0x7f0000000dc0)={0x3, 0xd, 0x6, 0x9fa}, 0x10, 0x1c9a9, r2, 0x7, 0x0, &(0x7f0000000e00)=[{0x3, 0x1, 0x3, 0x4}, {0x4, 0x2, 0x4, 0x9}, {0x5, 0x4, 0x1, 0x2}, {0x0, 0x2, 0x6}, {0x3, 0x1, 0xa, 0xc}, {0x3, 0x2, 0xe, 0x9}, {0x5, 0x2, 0xd, 0x2}], 0x10, 0x200}, 0x90) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000080)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_SIOCGIFINDEX(r11, 0x8933, &(0x7f0000000000)={'lo\x00', 0x0}) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(r8, 0x89f0, &(0x7f0000000fc0)={'syztnl2\x00', &(0x7f0000000f40)={'ip6_vti0\x00', r12, 0x2f, 0xff, 0xf4, 0x6, 0x8, @empty, @initdev={0xfe, 0x88, '\x00', 0x1, 0x0}, 0x40, 0x10, 0x6, 0x2}}) sendmsg$nl_route(r1, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000500)={&(0x7f0000000180)=@newlink={0x2c, 0x10, 0x439, 0x0, 0x25dfdbfd, {0x0, 0x0, 0x0, r12, 0x8020}, [@IFLA_VFINFO_LIST={0xc, 0x16, 0x0, 0x1, [{0x8, 0x1, 0x0, 0x1, [@IFLA_VF_VLAN_LIST={0x4}]}]}]}, 0x2c}, 0x1, 0x0, 0x0, 0x20000000}, 0x0) sendmsg$nl_route_sched_retired(r0, &(0x7f0000000200)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x8}, 0xc, &(0x7f00000001c0)={&(0x7f00000000c0)=@newqdisc={0xf8, 0x24, 0x400, 0x70bd29, 0x25dfdbfe, {0x0, 0x0, 0x0, r12, {0xb}, {0xc, 0x7}, {0x10, 0x9}}, [@q_dsmark={{0xb}, {0xc, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6}]}}, @q_dsmark={{0xb}, {0x8, 0x2, [@TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0xffff}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x100}, @TCA_DSMARK_SET_TC_INDEX={0x4}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x37}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x28}, @TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0xa}, @TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6, 0x1, 0x1}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x33}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x1}]}}]}, 0xf8}, 0x1, 0x0, 0x0, 0x1}, 0x8000) [ 542.458376][ T8292] netdevsim netdevsim3 netdevsim0: renamed from eth0 [ 542.476428][ T8292] netdevsim netdevsim3 netdevsim1: renamed from eth1 [ 542.488675][ T8292] netdevsim netdevsim3 netdevsim2: renamed from eth2 [ 542.501521][ T8292] netdevsim netdevsim3 netdevsim3: renamed from eth3 [ 542.512461][ T28] audit: type=1800 audit(1706879452.650:91): pid=8342 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.4" name="bus" dev="sda1" ino=1987 res=0 errno=0 [ 542.550328][ T7] libceph: connect (1)[c::]:6789 error -101 13:10:52 executing program 4: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) sched_setaffinity(0x0, 0x8, &(0x7f00000002c0)=0x800) r0 = getpid() sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x5) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) r3 = getpid() ioprio_get$pid(0x0, r0) sched_setscheduler(r3, 0x1, &(0x7f0000001700)=0x4) ioctl$TIOCGSID(0xffffffffffffffff, 0x5429, &(0x7f0000000000)) wait4(r0, &(0x7f00000000c0), 0x8, &(0x7f0000000640)) prlimit64(0x0, 0xe, &(0x7f0000000400)={0x80, 0x1}, &(0x7f0000000440)) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) mmap(&(0x7f0000000000/0xfbe000)=nil, 0xfbe000, 0x2, 0x31, 0xffffffffffffffff, 0xe7701000) r4 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) fcntl$getflags(r2, 0xb) ptrace(0x10, r4) remap_file_pages(&(0x7f00002ec000/0x200000)=nil, 0x200000, 0x0, 0x0, 0x0) madvise(&(0x7f0000000000/0x600000)=nil, 0x600003, 0x15) [ 542.563798][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 542.570218][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 542.576239][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 542.582554][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 542.596792][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 542.698301][ T8292] 8021q: adding VLAN 0 to HW filter on device bond0 [ 542.769024][ T8292] 8021q: adding VLAN 0 to HW filter on device team0 [ 542.814382][ T8347] mmap: syz-executor.4 (8347) uses deprecated remap_file_pages() syscall. See Documentation/mm/remap_file_pages.rst. [ 543.032317][ T5148] bridge0: port 1(bridge_slave_0) entered blocking state [ 543.039557][ T5148] bridge0: port 1(bridge_slave_0) entered forwarding state [ 543.129508][ T7] libceph: connect (1)[c::]:6789 error -101 [ 543.176704][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 543.184354][ T783] libceph: connect (1)[c::]:6789 error -101 [ 543.222015][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 543.248921][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 543.280387][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 543.418868][ T5148] bridge0: port 2(bridge_slave_1) entered blocking state [ 543.426051][ T5148] bridge0: port 2(bridge_slave_1) entered forwarding state 13:10:53 executing program 1: r0 = socket(0x2, 0x3, 0x9) sendmmsg$inet(r0, &(0x7f00000045c0)=[{{&(0x7f0000000000), 0x10, 0x0}}, {{&(0x7f0000000040), 0x10, 0x0}}], 0x2, 0x0) r1 = socket$nl_route(0x10, 0x3, 0x0) r2 = open(&(0x7f00000005c0)='./bus\x00', 0x64842, 0x0) mmap(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x1000000, 0x4010, r2, 0x5467a000) pwritev2(r2, &(0x7f0000000240)=[{&(0x7f0000000000)="85", 0x307e00}], 0x1, 0x1400, 0x0, 0x3) ioctl$ifreq_SIOCGIFINDEX_wireguard(r1, 0x8933, &(0x7f0000000400)={'wg0\x00', 0x0}) sendmsg$nl_route_sched(r2, &(0x7f0000000540)={&(0x7f00000003c0)={0x10, 0x0, 0x0, 0x10000000}, 0xc, &(0x7f00000004c0)={&(0x7f0000000440)=@gettfilter={0x6c, 0x2e, 0x1, 0x70bd27, 0x25dfdbff, {0x0, 0x0, 0x0, r3, {0xb, 0xa}, {0x3, 0xf}, {0x10, 0x7}}, [{0x8, 0xb, 0x8}, {0x8, 0xb, 0xc2d0}, {0x8, 0xb, 0x4}, {0x8, 0xb, 0x7}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0xac}, {0x8, 0xb, 0x3}, {0x8, 0xb, 0x5}, {0x8, 0xb, 0x400}]}, 0x6c}, 0x1, 0x0, 0x0, 0x8000}, 0x0) r4 = socket$inet(0x2, 0x4000000000000001, 0x0) setsockopt$inet_tcp_TCP_CONGESTION(r4, 0x6, 0xd, &(0x7f00000001c0)='reno\x00', 0x5) bind$inet(r4, &(0x7f0000000380)={0x2, 0x200000000004e23, @local}, 0x10) sendto$inet(r4, 0x0, 0x0, 0x200007fd, &(0x7f00000008c0)={0x2, 0x4e23, @local}, 0x10) bpf$BPF_GET_MAP_INFO(0xf, &(0x7f0000000700)={r2, 0x58, &(0x7f0000000840)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, ""/16, 0x0}}, 0x10) bpf$PROG_LOAD(0x5, &(0x7f0000000ac0)={0x1c, 0x13, &(0x7f0000000780)=@ringbuf={{0x18, 0x0, 0x0, 0x0, 0xb792, 0x0, 0x0, 0x0, 0xdef4}, {{0x18, 0x1, 0x1, 0x0, r2}}, {}, [@kfunc={0x85, 0x0, 0x2, 0x0, 0x5}, @ldst={0x1, 0x2, 0x1, 0x6, 0xb, 0x1, 0x8}, @initr0={0x18, 0x0, 0x0, 0x0, 0x9, 0x0, 0x0, 0x0, 0x199c}], {{}, {0x7, 0x0, 0xb, 0x2, 0x0, 0x0, 0x1}, {0x85, 0x0, 0x0, 0x85}}}, &(0x7f00000002c0)='GPL\x00', 0x1, 0x0, 0x0, 0x100, 0x2, '\x00', r5, 0x22, 0xffffffffffffffff, 0x8, &(0x7f0000000900)={0x2, 0x1}, 0x8, 0x10, &(0x7f0000000940)={0x4, 0xd, 0x10001, 0x4a}, 0x10, 0x0, r2, 0x1, &(0x7f0000000980)=[r2, r2, r2, r2], &(0x7f00000009c0)=[{0x4, 0x2}], 0x10, 0x100}, 0x90) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(0xffffffffffffffff, 0x8933, &(0x7f0000007140)={'batadv_slave_1\x00', 0x0}) recvmsg(r4, &(0x7f0000000240)={&(0x7f0000000040)=@nfc={0x27, 0x0}, 0xf012, &(0x7f0000000180)=[{&(0x7f0000003ac0)=""/4096, 0x200116c0}], 0x1, &(0x7f0000000200)=""/20, 0x14}, 0x100) setsockopt$inet_tcp_int(r4, 0x6, 0x12, &(0x7f0000000300)=0x4, 0x4) write$binfmt_elf64(r4, &(0x7f0000000a00)=ANY=[@ANYRES32, @ANYBLOB="4392c9d2eb9b977d238e51b11fd0c0a6baef72102b9a03b005e93789f83bacc0b5f3fd1ae105134de45d4caeda3b2fd383c501a61fd830ec66dadb4e11f4b40a344868fcea5d536ede849a69b6b0aa5b35904efbf220a4241d79595c06c2e2c8e70871b41294a3b5dd15080100ec3fe7d0cbfd1774516d043f783cb6a2090400641095cb734d3c53305bf8fe8a17524570ce1b62efa4bbf999b6af5c4ab8209ed5d0131ba372", @ANYRES8=r7, @ANYRES64=r6], 0x100000530) r8 = syz_open_dev$mouse(&(0x7f0000000280), 0x9, 0x40000) getsockname$packet(r8, &(0x7f0000000580)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000340)=0x14) bind$packet(r2, &(0x7f00000001c0)={0x11, 0x11, r6, 0x1, 0x36, 0x6, @link_local={0x1, 0x80, 0xc2, 0x0, 0x0, 0x1}}, 0x14) r9 = bpf$MAP_CREATE(0x0, &(0x7f0000000440)=@base={0x1, 0x40, 0x6, 0x8, 0x0, r8}, 0x48) r10 = bpf$MAP_CREATE(0x0, &(0x7f0000000040)=@base={0xc, 0x4, 0x4, 0x9, 0x0, r9}, 0x48) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000580)=@bpf_lsm={0xe, 0x5, &(0x7f00000000c0)=@framed={{0x7d, 0xa, 0xa, 0x0, 0x0, 0x79, 0x10, 0x98}, [@map_fd={0x18, 0x0, 0x1, 0x0, r10}]}, &(0x7f0000000000)='GPL\x00'}, 0x80) bpf$BPF_PROG_WITH_BTFID_LOAD(0x5, &(0x7f0000000e80)=@bpf_ext={0x1c, 0x18, &(0x7f0000000b80)=ANY=[@ANYBLOB="1800000017d00000000000000500000018110000", @ANYRES32=r8, @ANYBLOB="0000000000000000b702000014000000b7030000000000008500000083000000bf090000000000005509010000000000950000000000000018110000", @ANYRES32=r10, @ANYBLOB="0000000000000000b7080000000000007b8af8ff00000000bfa200000000000007020000f8ffffffb703000008000000b7040000000000008500000082000000bf91000000000000b702000002000000850000fc83000000b7000000000000009500000000000000"], &(0x7f0000000c40)='GPL\x00', 0x1, 0xd7, &(0x7f0000000c80)=""/215, 0x41000, 0x20, '\x00', 0x0, 0x0, r8, 0x8, &(0x7f0000000d80)={0x4, 0x3}, 0x8, 0x10, &(0x7f0000000dc0)={0x3, 0xd, 0x6, 0x9fa}, 0x10, 0x1c9a9, r2, 0x7, 0x0, &(0x7f0000000e00)=[{0x3, 0x1, 0x3, 0x4}, {0x4, 0x2, 0x4, 0x9}, {0x5, 0x4, 0x1, 0x2}, {0x0, 0x2, 0x6}, {0x3, 0x1, 0xa, 0xc}, {0x3, 0x2, 0xe, 0x9}, {0x5, 0x2, 0xd, 0x2}], 0x10, 0x200}, 0x90) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000080)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_SIOCGIFINDEX(r11, 0x8933, &(0x7f0000000000)={'lo\x00', 0x0}) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(r8, 0x89f0, &(0x7f0000000fc0)={'syztnl2\x00', &(0x7f0000000f40)={'ip6_vti0\x00', r12, 0x2f, 0xff, 0xf4, 0x6, 0x8, @empty, @initdev={0xfe, 0x88, '\x00', 0x1, 0x0}, 0x40, 0x10, 0x6, 0x2}}) sendmsg$nl_route(r1, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000500)={&(0x7f0000000180)=@newlink={0x2c, 0x10, 0x439, 0x0, 0x25dfdbfd, {0x0, 0x0, 0x0, r12, 0x8020}, [@IFLA_VFINFO_LIST={0xc, 0x16, 0x0, 0x1, [{0x8, 0x1, 0x0, 0x1, [@IFLA_VF_VLAN_LIST={0x4}]}]}]}, 0x2c}, 0x1, 0x0, 0x0, 0x20000000}, 0x0) sendmsg$nl_route_sched_retired(r0, &(0x7f0000000200)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x8}, 0xc, &(0x7f00000001c0)={&(0x7f00000000c0)=@newqdisc={0xf8, 0x24, 0x400, 0x70bd29, 0x25dfdbfe, {0x0, 0x0, 0x0, r12, {0xb}, {0xc, 0x7}, {0x10, 0x9}}, [@q_dsmark={{0xb}, {0xc, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6}]}}, @q_dsmark={{0xb}, {0x8, 0x2, [@TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0xffff}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x100}, @TCA_DSMARK_SET_TC_INDEX={0x4}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x37}]}}, @q_dsmark={{0xb}, {0x28, 0x2, [@TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x28}, @TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_INDICES={0x6, 0x1, 0xa}, @TCA_DSMARK_SET_TC_INDEX={0x4}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6, 0x1, 0x1}, @TCA_DSMARK_INDICES={0x6, 0x1, 0x33}]}}, @q_dsmark={{0xb}, {0x14, 0x2, [@TCA_DSMARK_INDICES={0x6}, @TCA_DSMARK_DEFAULT_INDEX={0x6, 0x2, 0x1}]}}]}, 0xf8}, 0x1, 0x0, 0x0, 0x1}, 0x8000) [ 543.486017][ T24] libceph: connect (1)[c::]:6789 error -101 [ 543.492408][ T24] libceph: mon0 (1)[c::]:6789 connect error [ 543.499122][ T44] libceph: connect (1)[c::]:6789 error -101 [ 543.505128][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 543.505991][ T7] libceph: connect (1)[c::]:6789 error -101 [ 543.511405][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 543.536699][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 543.564025][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 543.570829][ T5096] Bluetooth: hci8: command 0x041b tx timeout [ 543.587414][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 543.601184][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 543.635065][ T28] audit: type=1800 audit(1706879453.770:92): pid=8351 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.1" name="bus" dev="sda1" ino=1982 res=0 errno=0 [ 543.706813][ T8292] hsr0: Slave A (hsr_slave_0) is not up; please bring it up to get a fully working HSR network [ 543.719601][ T8292] hsr0: Slave B (hsr_slave_1) is not up; please bring it up to get a fully working HSR network [ 543.798637][ T57] libceph: connect (1)[c::]:6789 error -101 [ 543.804663][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 543.811089][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 543.817106][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 543.878566][ T783] libceph: connect (1)[c::]:6789 error -101 [ 543.892626][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 543.899908][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 543.905935][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 543.933827][ T28] audit: type=1800 audit(1706879454.070:93): pid=8328 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.0" name="bus" dev="sda1" ino=1984 res=0 errno=0 [ 543.949273][ T8292] 8021q: adding VLAN 0 to HW filter on device batadv0 [ 544.029950][ T8292] veth0_vlan: entered promiscuous mode [ 544.043244][ T8292] veth1_vlan: entered promiscuous mode [ 544.085362][ T8292] veth0_macvtap: entered promiscuous mode [ 544.094895][ T8292] veth1_macvtap: entered promiscuous mode [ 544.115735][ T8292] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 544.126332][ T8292] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 544.137022][ T8292] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 544.137345][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 544.149107][ T8292] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 544.154665][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 544.171929][ T8292] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 544.182681][ T8292] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 544.194075][ T8292] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 544.204680][ T8292] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 544.214827][ T8292] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 544.225369][ T8292] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 544.235365][ T8292] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 544.246018][ T8292] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 544.256435][ T8292] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 544.269352][ T8292] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 544.279339][ T8292] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 544.289793][ T8292] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 544.302256][ T8292] batman_adv: batadv0: Interface activated: batadv_slave_0 [ 544.323711][ T8292] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 544.334690][ T8292] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 544.338354][ T5157] libceph: connect (1)[c::]:6789 error -101 [ 544.345122][ T8292] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 544.357978][ T57] libceph: connect (1)[c::]:6789 error -101 [ 544.362840][ T8292] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 544.378048][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 544.378197][ T5157] libceph: mon0 (1)[c::]:6789 connect error [ 544.393061][ T8292] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 544.403940][ T8292] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 544.414921][ T8292] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 544.425598][ T8292] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 544.435777][ T57] libceph: connect (1)[c::]:6789 error -101 [ 544.438158][ T783] libceph: connect (1)[c::]:6789 error -101 [ 544.441754][ T8292] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 544.448831][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 544.458656][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 544.468441][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 544.472065][ T8292] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 544.475687][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 544.486118][ T8292] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 544.504482][ T8292] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 544.515173][ T8292] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 544.525932][ T8292] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 544.539307][ T8292] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 544.564906][ T8292] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 544.577105][ T8292] batman_adv: batadv0: Interface activated: batadv_slave_1 [ 544.594128][ T8292] netdevsim netdevsim3 netdevsim0: set [1, 0] type 2 family 0 port 6081 - 0 [ 544.604007][ T8292] netdevsim netdevsim3 netdevsim1: set [1, 0] type 2 family 0 port 6081 - 0 [ 544.613695][ T8292] netdevsim netdevsim3 netdevsim2: set [1, 0] type 2 family 0 port 6081 - 0 [ 544.627224][ T8292] netdevsim netdevsim3 netdevsim3: set [1, 0] type 2 family 0 port 6081 - 0 [ 544.727198][ T365] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 544.741777][ T365] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 544.789487][ T6067] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 544.797306][ T6067] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 544.998239][ T44] libceph: connect (1)[c::]:6789 error -101 [ 545.004309][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 545.169260][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 545.175292][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 545.181544][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 545.189473][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 545.195763][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 545.203253][ T7] libceph: connect (1)[c::]:6789 error -101 [ 545.209596][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 545.215634][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 545.222059][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 545.228167][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 545.234276][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 545.240628][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 545.498041][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 545.504029][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 545.510483][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 545.516509][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 545.522814][ T7] libceph: connect (1)[c::]:6789 error -101 [ 545.529274][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 545.647856][ T5096] Bluetooth: hci8: command 0x040f tx timeout [ 546.039191][ T7] libceph: connect (1)[c::]:6789 error -101 [ 546.045257][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 546.051865][ T7] libceph: connect (1)[c::]:6789 error -101 [ 546.057991][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 546.064251][ T7] libceph: connect (1)[c::]:6789 error -101 [ 546.070660][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 546.128564][ T44] libceph: connect (1)[c::]:6789 error -101 [ 546.129183][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 546.134583][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 546.146700][ T5157] libceph: connect (1)[c::]:6789 error -101 [ 546.147935][ T7] libceph: connect (1)[c::]:6789 error -101 [ 546.154629][ T44] libceph: connect (1)[c::]:6789 error -101 [ 546.165453][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 546.167795][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 546.177423][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 546.186561][ T5157] libceph: mon0 (1)[c::]:6789 connect error [ 547.088201][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 547.094230][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 547.100705][ T5157] libceph: connect (1)[c::]:6789 error -101 [ 547.106724][ T5157] libceph: mon0 (1)[c::]:6789 connect error [ 547.113444][ T44] libceph: connect (1)[c::]:6789 error -101 [ 547.119528][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 547.168447][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 547.174412][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 547.180768][ T7] libceph: connect (1)[c::]:6789 error -101 [ 547.186743][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 547.193097][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 547.199212][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 547.205484][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 547.211941][ T7] libceph: connect (1)[c::]:6789 error -101 [ 547.218067][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 547.224063][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 547.388665][ T44] libceph: connect (1)[c::]:6789 error -101 [ 547.394648][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 547.400968][ T5157] libceph: connect (1)[c::]:6789 error -101 [ 547.406972][ T5157] libceph: mon0 (1)[c::]:6789 connect error [ 547.413316][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 547.419448][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 547.488091][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 547.494061][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 547.505096][ T7] libceph: connect (1)[c::]:6789 error -101 [ 547.511121][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 547.728044][ T5096] Bluetooth: hci8: command 0x0419 tx timeout [ 547.939592][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 547.945652][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 547.952796][ T44] libceph: connect (1)[c::]:6789 error -101 [ 547.959052][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 547.965365][ T44] libceph: connect (1)[c::]:6789 error -101 [ 547.971513][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 548.017959][ T7] libceph: connect (1)[c::]:6789 error -101 [ 548.023921][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 548.030209][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 548.036173][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 548.128745][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 548.134795][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 548.141358][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 548.147384][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 548.155134][ T7] libceph: connect (1)[c::]:6789 error -101 [ 548.161261][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 548.423038][ T7] libceph: connect (1)[c::]:6789 error -101 [ 548.429116][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 548.435223][ T7] libceph: connect (1)[c::]:6789 error -101 [ 548.441730][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 548.448443][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 548.454423][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 548.968047][ T7] libceph: connect (1)[c::]:6789 error -101 [ 548.975822][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 548.982383][ T7] libceph: connect (1)[c::]:6789 error -101 [ 548.988719][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 548.994861][ T7] libceph: connect (1)[c::]:6789 error -101 [ 549.001013][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 549.089967][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 549.096018][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 549.102231][ T44] libceph: connect (1)[c::]:6789 error -101 [ 549.108614][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 549.114902][ T44] libceph: connect (1)[c::]:6789 error -101 [ 549.121150][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 549.169712][ T7] libceph: connect (1)[c::]:6789 error -101 [ 549.175687][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 549.182653][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 549.188775][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 550.129620][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 550.135605][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 550.138751][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 550.147990][ T44] libceph: connect (1)[c::]:6789 error -101 [ 550.148429][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 550.153966][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 550.160168][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 550.166175][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 550.172136][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 550.184025][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 550.190664][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 550.190690][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 550.203976][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 550.210576][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 550.216537][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 550.222728][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 550.440162][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 550.446222][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 550.452610][ T44] libceph: connect (1)[c::]:6789 error -101 [ 550.458763][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 550.464871][ T44] libceph: connect (1)[c::]:6789 error -101 [ 550.471028][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 550.498355][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 550.504367][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 550.511974][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 550.518100][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 550.984825][ T44] libceph: connect (1)[c::]:6789 error -101 [ 550.990890][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 550.996997][ T44] libceph: connect (1)[c::]:6789 error -101 [ 551.003279][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 551.009749][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 551.015821][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 551.029035][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 551.035053][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 551.041502][ T7] libceph: connect (1)[c::]:6789 error -101 [ 551.047498][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 551.168855][ T7] libceph: connect (1)[c::]:6789 error -101 [ 551.174848][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 551.181375][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 551.187402][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 551.193711][ T7] libceph: connect (1)[c::]:6789 error -101 [ 551.199769][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 551.459169][ T7] libceph: connect (1)[c::]:6789 error -101 [ 551.465184][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 551.471626][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 551.477738][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 551.484041][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 551.490691][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 552.001371][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 552.007357][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 552.013881][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 552.020161][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 552.026288][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 552.032397][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 552.128037][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 552.134022][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 552.138174][ T44] libceph: connect (1)[c::]:6789 error -101 [ 552.146099][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 552.148507][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 552.157429][ T44] libceph: connect (1)[c::]:6789 error -101 [ 552.164256][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 552.166214][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 552.171001][ T44] libceph: connect (1)[c::]:6789 error -101 [ 552.182416][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 553.101682][ T44] libceph: connect (1)[c::]:6789 error -101 [ 553.107776][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 553.113888][ T44] libceph: connect (1)[c::]:6789 error -101 [ 553.120435][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 553.126439][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 553.134179][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 553.168603][ T7] libceph: connect (1)[c::]:6789 error -101 [ 553.175460][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 553.181694][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 553.188770][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 553.195049][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 553.201879][ T7] libceph: connect (1)[c::]:6789 error -101 [ 553.208085][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 553.214060][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 553.220408][ T7] libceph: connect (1)[c::]:6789 error -101 [ 553.226405][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 553.399105][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 553.405170][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 553.411525][ T44] libceph: connect (1)[c::]:6789 error -101 [ 553.417507][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 553.424988][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 553.431043][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 553.489556][ T7] libceph: connect (1)[c::]:6789 error -101 [ 553.495596][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 553.501799][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 553.507868][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 553.939577][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 553.945622][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 553.951935][ T44] libceph: connect (1)[c::]:6789 error -101 [ 553.958049][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 553.964321][ T44] libceph: connect (1)[c::]:6789 error -101 [ 553.971846][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 554.023483][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 554.029488][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 554.035581][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 554.041902][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 554.128869][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 554.134919][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 554.141452][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 554.147473][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 554.154213][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 554.160385][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 554.418581][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 554.424572][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 554.430910][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 554.436928][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 554.443157][ T7] libceph: connect (1)[c::]:6789 error -101 [ 554.449306][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 554.959358][ T7] libceph: connect (1)[c::]:6789 error -101 [ 554.965338][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 554.971821][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 554.978000][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 554.984121][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 554.990261][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 555.090417][ T57] libceph: connect (1)[c::]:6789 error -101 [ 555.096487][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 555.102918][ T44] libceph: connect (1)[c::]:6789 error -101 [ 555.109536][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 555.115691][ T44] libceph: connect (1)[c::]:6789 error -101 [ 555.124152][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 555.168341][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 555.174383][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 555.181125][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 555.187112][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 556.134335][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 556.140091][ T57] libceph: connect (1)[c::]:6789 error -101 [ 556.142747][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 556.153236][ T7] libceph: connect (1)[c::]:6789 error -101 [ 556.157732][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 556.159379][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 556.165365][ T57] libceph: connect (1)[c::]:6789 error -101 [ 556.171283][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 556.171393][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 556.177502][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 556.204578][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 556.207494][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 556.217337][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 556.217957][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 556.229947][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 556.235960][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 556.451055][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 556.457038][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 556.468067][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 556.474089][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 556.480438][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 556.486405][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 556.498372][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 556.504391][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 556.510555][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 556.516493][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 556.981989][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 556.988089][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 557.008288][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 557.014356][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 557.020542][ T57] libceph: connect (1)[c::]:6789 error -101 [ 557.026480][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 557.028269][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 557.038744][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 557.044725][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 557.050887][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 557.170099][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 557.176219][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 557.182640][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 557.188686][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 557.194781][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 557.200994][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 557.459242][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 557.465234][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 557.471592][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 557.479375][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 557.485505][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 557.491857][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 558.000874][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 558.006881][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 558.013435][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 558.019628][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 558.026186][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 558.032312][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 558.128559][ T57] libceph: connect (1)[c::]:6789 error -101 [ 558.129274][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 558.134602][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 558.146729][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 558.148017][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 558.153025][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 558.165370][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 558.167776][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 558.171815][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 558.183538][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 559.093729][ T44] libceph: connect (1)[c::]:6789 error -101 [ 559.100051][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 559.106003][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 559.113735][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 559.119993][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 559.126038][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 559.168224][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 559.174200][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 559.187975][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 559.193938][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 559.200426][ T7] libceph: connect (1)[c::]:6789 error -101 [ 559.206441][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 559.214233][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 559.220391][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 559.226506][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 559.232740][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 559.398153][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 559.404202][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 559.410591][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 559.416561][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 559.425115][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 559.431249][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 559.488118][ T7] libceph: connect (1)[c::]:6789 error -101 [ 559.494166][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 559.500600][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 559.506551][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 559.945579][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 559.951862][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 559.957947][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 559.964009][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 559.970971][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 559.976935][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 560.022918][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 560.028953][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 560.036322][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 560.043208][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 560.128859][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 560.135864][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 560.142258][ T7] libceph: connect (1)[c::]:6789 error -101 [ 560.148422][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 560.154679][ T7] libceph: connect (1)[c::]:6789 error -101 [ 560.161633][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 560.423625][ T7] libceph: connect (1)[c::]:6789 error -101 [ 560.429690][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 560.435812][ T7] libceph: connect (1)[c::]:6789 error -101 [ 560.443690][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 560.449900][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 560.455884][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 560.974545][ T7] libceph: connect (1)[c::]:6789 error -101 [ 560.981002][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 560.993115][ T7] libceph: connect (1)[c::]:6789 error -101 [ 560.999368][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 561.005315][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 561.011619][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 561.098294][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 561.104331][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 561.110627][ T57] libceph: connect (1)[c::]:6789 error -101 [ 561.116635][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 561.122936][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 561.129002][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 561.168049][ T7] libceph: connect (1)[c::]:6789 error -101 [ 561.174024][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 561.180614][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 561.186634][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 562.130669][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 562.136662][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 562.138264][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 562.143256][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 562.148890][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 562.162394][ T57] libceph: connect (1)[c::]:6789 error -101 [ 562.163804][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 562.168436][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 562.174955][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 562.180819][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 562.194105][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 562.199974][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 562.205979][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 562.212274][ T7] libceph: connect (1)[c::]:6789 error -101 [ 562.218357][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 562.224422][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 562.461837][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 562.468093][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 562.474229][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 562.480546][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 562.486541][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 562.488231][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 562.493969][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 562.506088][ T7] libceph: connect (1)[c::]:6789 error -101 [ 562.512113][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 562.518387][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 563.015182][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 563.021276][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 563.027391][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 563.033914][ T44] libceph: connect (1)[c::]:6789 error -101 [ 563.033914][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 563.034035][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 563.048100][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 563.058025][ T7] libceph: connect (1)[c::]:6789 error -101 [ 563.058811][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 563.064012][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 563.171086][ T7] libceph: connect (1)[c::]:6789 error -101 [ 563.176446][ T1234] ieee802154 phy0 wpan0: encryption failed: -22 [ 563.177183][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 563.184550][ T1234] ieee802154 phy1 wpan1: encryption failed: -22 [ 563.189851][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 563.202982][ T7] libceph: connect (1)[c::]:6789 error -101 [ 563.211281][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 563.217286][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 563.490421][ T7] libceph: connect (1)[c::]:6789 error -101 [ 563.496487][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 563.502868][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 563.509596][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 563.519707][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 563.525682][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 564.045088][ T7] libceph: connect (1)[c::]:6789 error -101 [ 564.051184][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 564.057283][ T7] libceph: connect (1)[c::]:6789 error -101 [ 564.063798][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 564.069889][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 564.075862][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 564.129937][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 564.130433][ T44] libceph: connect (1)[c::]:6789 error -101 [ 564.135969][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 564.142191][ T57] libceph: connect (1)[c::]:6789 error -101 [ 564.148070][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 564.154430][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 564.165884][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 564.171078][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 564.172312][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 564.184255][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 565.091187][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 565.097188][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 565.103515][ T44] libceph: connect (1)[c::]:6789 error -101 [ 565.109819][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 565.116187][ T44] libceph: connect (1)[c::]:6789 error -101 [ 565.123791][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 565.168315][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 565.174387][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 565.180835][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 565.186938][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 565.193204][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 565.199448][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 565.205992][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 565.212398][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 565.218583][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 565.224621][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 565.378536][ T44] libceph: connect (1)[c::]:6789 error -101 [ 565.384517][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 565.390909][ T57] libceph: connect (1)[c::]:6789 error -101 [ 565.396964][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 565.403160][ T44] libceph: connect (1)[c::]:6789 error -101 [ 565.409250][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 565.491453][ T7] libceph: connect (1)[c::]:6789 error -101 [ 565.497512][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 565.503958][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 565.510189][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 565.919977][ T44] libceph: connect (1)[c::]:6789 error -101 [ 565.926033][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 565.932493][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 565.938669][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 565.944957][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 565.951685][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 566.024514][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 566.030590][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 566.036687][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 566.047755][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 566.131600][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 566.137922][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 566.144267][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 566.150481][ T7] libceph: connect (1)[c::]:6789 error -101 [ 566.156482][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 566.162757][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 566.423345][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 566.429478][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 566.435580][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 566.442126][ T7] libceph: connect (1)[c::]:6789 error -101 [ 566.448333][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 566.454432][ T7] libceph: mon0 (1)[c::]:6789 connect error 13:11:16 executing program 2: r0 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r0, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r0, 0x6, 0x0, 0x0, 0x0) r1 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r1, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r1, 0x6, 0x0, 0x0, 0x0) 13:11:16 executing program 3: r0 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r0, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r0, 0x6, 0x0, 0x0, 0x0) r1 = fsopen(&(0x7f0000000280)='ceph\x00', 0x0) fsconfig$FSCONFIG_SET_STRING(r1, 0x1, &(0x7f0000000000)='source', &(0x7f0000000040)='c:::\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r1, 0x6, 0x0, 0x0, 0x0) 13:11:16 executing program 4: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) sched_setaffinity(0x0, 0x8, &(0x7f00000002c0)=0x800) r0 = getpid() sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x5) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) r3 = getpid() ioprio_get$pid(0x0, r0) sched_setscheduler(r3, 0x1, &(0x7f0000001700)=0x4) ioctl$TIOCGSID(0xffffffffffffffff, 0x5429, &(0x7f0000000000)) wait4(r0, &(0x7f00000000c0), 0x8, &(0x7f0000000640)) prlimit64(0x0, 0xe, &(0x7f0000000400)={0x80, 0x1}, &(0x7f0000000440)) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) mmap(&(0x7f0000000000/0xfbe000)=nil, 0xfbe000, 0x2, 0x31, 0xffffffffffffffff, 0xe7701000) r4 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) fcntl$getflags(r2, 0xb) ptrace(0x10, r4) remap_file_pages(&(0x7f00002ec000/0x200000)=nil, 0x200000, 0x0, 0x0, 0x0) madvise(&(0x7f0000000000/0x600000)=nil, 0x600003, 0x15) 13:11:16 executing program 0: syz_mount_image$btrfs(&(0x7f00000055c0), &(0x7f0000005600)='./bus\x00', 0x0, &(0x7f0000000440)=ANY=[@ANYBLOB="646973636172642c0008fd346f1fe0c7b977cf98b0dfc801ecf9a88e1ae482"], 0x1, 0x559d, &(0x7f0000005680)="$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") r0 = open(&(0x7f0000000000)='./bus\x00', 0x4c37e, 0x0) fallocate(r0, 0x0, 0x0, 0x1000f4) sendfile(r0, r0, 0x0, 0x8800000) creat(&(0x7f0000000380)='./bus\x00', 0x0) 13:11:16 executing program 1: pipe(&(0x7f00000001c0)={0xffffffffffffffff, 0xffffffffffffffff}) sendmsg$nl_route(0xffffffffffffffff, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000000000)=@newlink={0x3c, 0x10, 0x0, 0x0, 0x0, {}, [@IFLA_LINKINFO={0x1c, 0x12, 0x0, 0x1, @bond={{0x9}, {0xc, 0x2, 0x0, 0x1, [@IFLA_BOND_FAIL_OVER_MAC={0x5, 0xd, 0x1}]}}}]}, 0x3c}}, 0x0) r2 = socket$inet_udp(0x2, 0x2, 0x0) close(r2) socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route(0xffffffffffffffff, &(0x7f00000000c0)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000000)=ANY=[@ANYBLOB="4800000010005f3f770005000000000000000000", @ANYRES32=0x0, @ANYBLOB="0000000000000000280012800a00010076786c616e"], 0x3}}, 0x0) write$binfmt_misc(r1, &(0x7f0000000000)=ANY=[], 0xfffffecc) splice(r0, 0x0, r2, 0x0, 0x4ffe2, 0x0) [ 566.643269][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 566.656430][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 566.770983][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 567.149786][ T8374] netlink: 12 bytes leftover after parsing attributes in process `syz-executor.1'. [ 567.198921][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 567.552567][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 567.571895][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 567.578858][ T7] libceph: connect (1)[c::]:6789 error -101 [ 567.584872][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 567.587800][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 567.591338][ T5148] libceph: connect (1)[c::]:6789 error -101 13:11:17 executing program 4: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) sched_setaffinity(0x0, 0x8, &(0x7f00000002c0)=0x800) r0 = getpid() sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x5) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) r3 = getpid() ioprio_get$pid(0x0, r0) sched_setscheduler(r3, 0x1, &(0x7f0000001700)=0x4) ioctl$TIOCGSID(0xffffffffffffffff, 0x5429, &(0x7f0000000000)) wait4(r0, &(0x7f00000000c0), 0x8, &(0x7f0000000640)) prlimit64(0x0, 0xe, &(0x7f0000000400)={0x80, 0x1}, &(0x7f0000000440)) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) mmap(&(0x7f0000000000/0xfbe000)=nil, 0xfbe000, 0x2, 0x31, 0xffffffffffffffff, 0xe7701000) r4 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) fcntl$getflags(r2, 0xb) ptrace(0x10, r4) remap_file_pages(&(0x7f00002ec000/0x200000)=nil, 0x200000, 0x0, 0x0, 0x0) madvise(&(0x7f0000000000/0x600000)=nil, 0x600003, 0x15) [ 567.596727][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 567.603004][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 567.628552][ T44] libceph: connect (1)[c::]:6789 error -101 [ 567.634567][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 567.650263][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 567.656582][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 567.663073][ T57] libceph: connect (1)[c::]:6789 error -101 [ 567.663534][ T7] libceph: connect (1)[c::]:6789 error -101 [ 567.681345][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 567.690223][ T7] libceph: mon0 (1)[c::]:6789 connect error 13:11:17 executing program 1: r0 = socket$nl_route(0x10, 0x3, 0x0) r1 = socket(0x10, 0x803, 0x0) sendmsg$nl_route(r1, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000000)={0x0, 0x54}}, 0x0) getsockname$packet(r1, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) sendmsg$nl_route(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000240)=ANY=[@ANYBLOB="440000001000010400100000000000c100000000", @ANYRES32=r2, @ANYBLOB="0100000000000000240012000c000100627269646765000e14000200080007004a9a0000080027"], 0x44}}, 0x0) r3 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route_sched(0xffffffffffffffff, &(0x7f0000000280)={&(0x7f0000000040), 0xc, 0x0}, 0x0) sendmsg$nl_route(r3, &(0x7f0000000480)={0x0, 0x60, &(0x7f0000000140)={&(0x7f0000000200)=@newlink={0x40, 0x10, 0x0, 0x0, 0x0, {0x0, 0x0, 0x300}}, 0x85}}, 0x0) [ 567.714281][ T8363] ceph: No mds server is up or the cluster is laggy [ 567.721712][ T57] libceph: connect (1)[c::]:6789 error -101 [ 567.757811][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 567.763955][ T8370] ceph: No mds server is up or the cluster is laggy [ 567.786089][ T8380] netlink: 'syz-executor.1': attribute type 7 has an invalid length. [ 567.802276][ T8380] netlink: 'syz-executor.1': attribute type 39 has an invalid length. [ 568.276189][ T7] libceph: connect (1)[c::]:6789 error -101 [ 568.314398][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 568.322030][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 568.406464][ T5143] libceph: mon0 (1)[c::]:6789 connect error 13:11:18 executing program 4: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) sched_setaffinity(0x0, 0x8, &(0x7f00000002c0)=0x800) r0 = getpid() sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x5) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@abs, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) r3 = getpid() ioprio_get$pid(0x0, r0) sched_setscheduler(r3, 0x1, &(0x7f0000001700)=0x4) ioctl$TIOCGSID(0xffffffffffffffff, 0x5429, &(0x7f0000000000)) wait4(r0, &(0x7f00000000c0), 0x8, &(0x7f0000000640)) prlimit64(0x0, 0xe, &(0x7f0000000400)={0x80, 0x1}, &(0x7f0000000440)) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) mmap(&(0x7f0000000000/0xfbe000)=nil, 0xfbe000, 0x2, 0x31, 0xffffffffffffffff, 0xe7701000) r4 = syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) fcntl$getflags(r2, 0xb) ptrace(0x10, r4) remap_file_pages(&(0x7f00002ec000/0x200000)=nil, 0x200000, 0x0, 0x0, 0x0) madvise(&(0x7f0000000000/0x600000)=nil, 0x600003, 0x15) [ 568.707123][ T44] libceph: connect (1)[c::]:6789 error -101 [ 568.713850][ T57] libceph: connect (1)[c::]:6789 error -101 [ 568.719940][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 568.725987][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 568.732451][ T57] libceph: connect (1)[c::]:6789 error -101 [ 568.740093][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 568.746361][ T57] libceph: connect (1)[c::]:6789 error -101 [ 568.752716][ T44] libceph: connect (1)[c::]:6789 error -101 [ 568.758965][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 568.781935][ T8382] netlink: 'syz-executor.1': attribute type 7 has an invalid length. [ 568.791042][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 568.797855][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 568.803985][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 568.824571][ T8382] netlink: 'syz-executor.1': attribute type 39 has an invalid length. [ 569.323980][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 569.420719][ T5148] libceph: mon0 (1)[c::]:6789 connect error 13:11:19 executing program 1: r0 = socket$nl_route(0x10, 0x3, 0x0) r1 = socket(0x10, 0x803, 0x0) sendmsg$nl_route(r1, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000000)={0x0, 0x54}}, 0x0) getsockname$packet(r1, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) sendmsg$nl_route(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000240)=ANY=[@ANYBLOB="440000001000010400100000000000c100000000", @ANYRES32=r2, @ANYBLOB="0100000000000000240012000c000100627269646765000e14000200080007004a9a0000080027"], 0x44}}, 0x0) r3 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route_sched(0xffffffffffffffff, &(0x7f0000000280)={&(0x7f0000000040), 0xc, 0x0}, 0x0) sendmsg$nl_route(r3, &(0x7f0000000480)={0x0, 0x60, &(0x7f0000000140)={&(0x7f0000000200)=@newlink={0x40, 0x10, 0x0, 0x0, 0x0, {0x0, 0x0, 0x300}}, 0x85}}, 0x0) [ 569.540356][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 569.783089][ T44] libceph: connect (1)[c::]:6789 error -101 [ 569.799303][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 569.828175][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 569.830233][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 569.834176][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 569.846643][ T7] libceph: connect (1)[c::]:6789 error -101 [ 569.848318][ T57] libceph: connect (1)[c::]:6789 error -101 [ 569.858731][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 569.858975][ T5069] libceph: connect (1)[c::]:6789 error -101 13:11:20 executing program 4: creat(&(0x7f0000000000)='./file0\x00', 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000680), 0x42, 0x0) mount$fuse(0x0, &(0x7f0000000280)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000000200)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r0, @ANYBLOB=',rootmode=000000000100000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r0, &(0x7f0000012400)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000340)={0x50, 0x0, r1, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r0, &(0x7f000000e3c0)="0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000003dc150f4000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000c6d90000000000001354c4b6000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001a00", 0x2000, &(0x7f0000000780)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r2 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x80101, 0x0) fallocate(r2, 0x40, 0x0, 0x2) [ 569.883402][ T8392] netlink: 'syz-executor.1': attribute type 7 has an invalid length. [ 569.888188][ T7] libceph: connect (1)[c::]:6789 error -101 [ 569.898114][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 569.917777][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 569.921986][ T7] libceph: mon0 (1)[c::]:6789 connect error 13:11:20 executing program 0: r0 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000040)={0x11, 0x4, &(0x7f00000002c0)=ANY=[@ANYBLOB="18010000820004000000000000000001850000000f00000095"], &(0x7f0000000180)='syzkaller\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2}, 0x9e) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f00000000c0)={&(0x7f0000000080)='sched_switch\x00', r0}, 0x10) r1 = bpf$MAP_CREATE(0x0, &(0x7f0000000000)=@base={0x16, 0x0, 0x8, 0xfffe, 0x0, 0x1}, 0x48) r2 = bpf$MAP_CREATE(0x0, &(0x7f0000000040)=@base={0xc, 0x4, 0x4, 0x9, 0x0, r1}, 0x48) bpf$MAP_UPDATE_BATCH(0x1a, &(0x7f00000001c0)={0x0, 0x0, &(0x7f00000024c0), &(0x7f0000001280), 0x2, r2}, 0x38) [ 569.923836][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 569.936573][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 569.946187][ T8392] netlink: 'syz-executor.1': attribute type 39 has an invalid length. [ 569.979199][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 569.985223][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 569.991579][ T7] libceph: connect (1)[c::]:6789 error -101 [ 570.018018][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 570.024303][ T7] libceph: connect (1)[c::]:6789 error -101 [ 570.046669][ T8395] netlink: 'syz-executor.1': attribute type 7 has an invalid length. [ 570.068533][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 570.084318][ T8395] netlink: 'syz-executor.1': attribute type 39 has an invalid length. 13:11:20 executing program 4: creat(&(0x7f0000000000)='./file0\x00', 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000680), 0x42, 0x0) mount$fuse(0x0, &(0x7f0000000280)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000000200)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r0, @ANYBLOB=',rootmode=000000000100000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r0, &(0x7f0000012400)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000340)={0x50, 0x0, r1, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r0, &(0x7f000000e3c0)="0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000003dc150f4000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000c6d90000000000001354c4b6000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001a00", 0x2000, &(0x7f0000000780)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r2 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x80101, 0x0) fallocate(r2, 0x40, 0x0, 0x2) 13:11:20 executing program 1: r0 = socket$nl_route(0x10, 0x3, 0x0) r1 = socket(0x10, 0x803, 0x0) sendmsg$nl_route(r1, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000000)={0x0, 0x54}}, 0x0) getsockname$packet(r1, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) sendmsg$nl_route(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000240)=ANY=[@ANYBLOB="440000001000010400100000000000c100000000", @ANYRES32=r2, @ANYBLOB="0100000000000000240012000c000100627269646765000e14000200080007004a9a0000080027"], 0x44}}, 0x0) r3 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route_sched(0xffffffffffffffff, &(0x7f0000000280)={&(0x7f0000000040), 0xc, 0x0}, 0x0) sendmsg$nl_route(r3, &(0x7f0000000480)={0x0, 0x60, &(0x7f0000000140)={&(0x7f0000000200)=@newlink={0x40, 0x10, 0x0, 0x0, 0x0, {0x0, 0x0, 0x300}}, 0x85}}, 0x0) 13:11:20 executing program 0: r0 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000040)={0x11, 0x4, &(0x7f00000002c0)=ANY=[@ANYBLOB="18010000820004000000000000000001850000000f00000095"], &(0x7f0000000180)='syzkaller\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2}, 0x9e) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f00000000c0)={&(0x7f0000000080)='sched_switch\x00', r0}, 0x10) r1 = bpf$MAP_CREATE(0x0, &(0x7f0000000000)=@base={0x16, 0x0, 0x8, 0xfffe, 0x0, 0x1}, 0x48) r2 = bpf$MAP_CREATE(0x0, &(0x7f0000000040)=@base={0xc, 0x4, 0x4, 0x9, 0x0, r1}, 0x48) bpf$MAP_UPDATE_BATCH(0x1a, &(0x7f00000001c0)={0x0, 0x0, &(0x7f00000024c0), &(0x7f0000001280), 0x2, r2}, 0x38) [ 570.139317][ T57] libceph: connect (1)[c::]:6789 error -101 [ 570.177804][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 570.231898][ T57] libceph: connect (1)[c::]:6789 error -101 [ 570.238960][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 570.244967][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 570.308257][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 570.379157][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 570.385220][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 570.391981][ T7] libceph: connect (1)[c::]:6789 error -101 [ 570.403241][ T8404] netlink: 'syz-executor.1': attribute type 7 has an invalid length. [ 570.411850][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 570.418333][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 570.426888][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 570.448009][ T8404] netlink: 'syz-executor.1': attribute type 39 has an invalid length. [ 570.455630][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 570.462398][ T5143] libceph: mon0 (1)[c::]:6789 connect error 13:11:20 executing program 4: creat(&(0x7f0000000000)='./file0\x00', 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000680), 0x42, 0x0) mount$fuse(0x0, &(0x7f0000000280)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000000200)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r0, @ANYBLOB=',rootmode=000000000100000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r0, &(0x7f0000012400)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000340)={0x50, 0x0, r1, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r0, &(0x7f000000e3c0)="0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000003dc150f4000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000c6d90000000000001354c4b6000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001a00", 0x2000, &(0x7f0000000780)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r2 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x80101, 0x0) fallocate(r2, 0x40, 0x0, 0x2) 13:11:20 executing program 0: r0 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000040)={0x11, 0x4, &(0x7f00000002c0)=ANY=[@ANYBLOB="18010000820004000000000000000001850000000f00000095"], &(0x7f0000000180)='syzkaller\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2}, 0x9e) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f00000000c0)={&(0x7f0000000080)='sched_switch\x00', r0}, 0x10) r1 = bpf$MAP_CREATE(0x0, &(0x7f0000000000)=@base={0x16, 0x0, 0x8, 0xfffe, 0x0, 0x1}, 0x48) r2 = bpf$MAP_CREATE(0x0, &(0x7f0000000040)=@base={0xc, 0x4, 0x4, 0x9, 0x0, r1}, 0x48) bpf$MAP_UPDATE_BATCH(0x1a, &(0x7f00000001c0)={0x0, 0x0, &(0x7f00000024c0), &(0x7f0000001280), 0x2, r2}, 0x38) [ 570.483893][ T57] libceph: connect (1)[c::]:6789 error -101 [ 570.504174][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 570.510659][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 570.516656][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 570.523882][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 570.538417][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 570.570246][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 570.596599][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 570.605884][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 570.634604][ T5147] libceph: mon0 (1)[c::]:6789 connect error 13:11:20 executing program 0: r0 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000040)={0x11, 0x4, &(0x7f00000002c0)=ANY=[@ANYBLOB="18010000820004000000000000000001850000000f00000095"], &(0x7f0000000180)='syzkaller\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2}, 0x9e) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f00000000c0)={&(0x7f0000000080)='sched_switch\x00', r0}, 0x10) r1 = bpf$MAP_CREATE(0x0, &(0x7f0000000000)=@base={0x16, 0x0, 0x8, 0xfffe, 0x0, 0x1}, 0x48) r2 = bpf$MAP_CREATE(0x0, &(0x7f0000000040)=@base={0xc, 0x4, 0x4, 0x9, 0x0, r1}, 0x48) bpf$MAP_UPDATE_BATCH(0x1a, &(0x7f00000001c0)={0x0, 0x0, &(0x7f00000024c0), &(0x7f0000001280), 0x2, r2}, 0x38) 13:11:20 executing program 1: r0 = socket$nl_route(0x10, 0x3, 0x0) r1 = socket(0x10, 0x803, 0x0) sendmsg$nl_route(r1, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000000)={0x0, 0x54}}, 0x0) getsockname$packet(r1, &(0x7f0000000100)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000200)=0x14) sendmsg$nl_route(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000240)=ANY=[@ANYBLOB="440000001000010400100000000000c100000000", @ANYRES32=r2, @ANYBLOB="0100000000000000240012000c000100627269646765000e14000200080007004a9a0000080027"], 0x44}}, 0x0) r3 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route_sched(0xffffffffffffffff, &(0x7f0000000280)={&(0x7f0000000040), 0xc, 0x0}, 0x0) sendmsg$nl_route(r3, &(0x7f0000000480)={0x0, 0x60, &(0x7f0000000140)={&(0x7f0000000200)=@newlink={0x40, 0x10, 0x0, 0x0, 0x0, {0x0, 0x0, 0x300}}, 0x85}}, 0x0) 13:11:20 executing program 4: creat(&(0x7f0000000000)='./file0\x00', 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f0000000680), 0x42, 0x0) mount$fuse(0x0, &(0x7f0000000280)='./file0\x00', &(0x7f0000002100), 0x0, &(0x7f0000000200)=ANY=[@ANYBLOB='fd=', @ANYRESHEX=r0, @ANYBLOB=',rootmode=000000000100000,user_id=', @ANYRESDEC=0x0, @ANYBLOB=',group_id=', @ANYRESDEC=0x0]) read$FUSE(r0, &(0x7f0000012400)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000340)={0x50, 0x0, r1, {0x7, 0x1f}}, 0x50) syz_fuse_handle_req(r0, &(0x7f000000e3c0)="0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000230000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea210560000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000003dc150f4000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000c6d90000000000001354c4b6000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001a00", 0x2000, &(0x7f0000000780)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000006340)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) r2 = openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x80101, 0x0) fallocate(r2, 0x40, 0x0, 0x2) 13:11:20 executing program 0: mknod(&(0x7f0000000000)='./file0\x00', 0x1000, 0x0) r0 = open(&(0x7f0000000140)='./file0\x00', 0x2, 0x0) write$FUSE_IOCTL(r0, &(0x7f0000000100)={0x20}, 0xfdef) mount$9p_fd(0x0, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000180), 0x0, &(0x7f0000002480)={'trans=fd,', {'rfdno', 0x3d, r0}, 0x2c, {'wfdno', 0x3d, r0}}) read$FUSE(r0, &(0x7f0000000240)={0x2020}, 0x2020) 13:11:21 executing program 1: r0 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r0, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setreuid(0x0, r1) bpf$PROG_LOAD(0x5, &(0x7f000000e000)={0x1, 0x4, &(0x7f0000000080)=@framed={{0xffffffb4, 0x5, 0x0, 0x0, 0x0, 0x71, 0x12, 0x18}, [@ldst={0x7, 0x3, 0x5, 0x0, 0x2, 0x8}]}, &(0x7f0000003ff6)='GPL\x00', 0xa, 0xfd90, &(0x7f000000cf3d)=""/195, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, &(0x7f00000000c0), 0x366, 0x10, &(0x7f0000000000), 0x2b2}, 0x48) 13:11:21 executing program 0: mknod(&(0x7f0000000000)='./file0\x00', 0x1000, 0x0) r0 = open(&(0x7f0000000140)='./file0\x00', 0x2, 0x0) write$FUSE_IOCTL(r0, &(0x7f0000000100)={0x20}, 0xfdef) mount$9p_fd(0x0, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000180), 0x0, &(0x7f0000002480)={'trans=fd,', {'rfdno', 0x3d, r0}, 0x2c, {'wfdno', 0x3d, r0}}) read$FUSE(r0, &(0x7f0000000240)={0x2020}, 0x2020) 13:11:21 executing program 4: r0 = syz_genetlink_get_family_id$netlbl_unlabel(&(0x7f00000000c0), 0xffffffffffffffff) sendmsg$NLBL_UNLABEL_C_STATICADD(0xffffffffffffffff, &(0x7f0000000240)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x4}, 0xc, &(0x7f0000000200)={&(0x7f00000002c0)=ANY=[@ANYBLOB="d00000004e6cd732fd362eb0c9fcb02c4e4cc2a8d1022cfdc535bec00c07cfb13ce822e844106255510e5106a7259bcf46a2ddc28d1422569bed64c4ab1d86055d4f854f39ee4b37f3953216681c824082463b63f94f0aef23a48a692ec30cbfecdddba5b5cbeeb79c26d08e94e55ec0057e1b7827ad5f8291157904a7d9282e69a4d6b0d283dc591dde1da16663377490189400b58f9c40f4103999470794ca81ee808acc98984aa123acd685936b6ecadb2656290aa90e104fee2d5c3943081da8f44733b156aacfc993e8d48145aeb111b6d8a3b26d020b6f42226850f59b3a6406a5ad41bbf7c894678675209487b1b00ff9a483c7464829af53401ab711ea7b056e50509f6a17e99e5ea744f5faae47d06f8c5803d6fc24f0ebee44052772b9ac1bf84991788d6f1b892ad7cc79c42c3e6b7126", @ANYRES16=r0, @ANYBLOB="040125bd7000fcdbdf250300000008000500000000000800040000000000140006007767320000000000000000000000000014000200fe8000000000000000000000000000aa080004000000000014000300ff0200000000000000000000000000012a00070073797374656d5f753a6f626a6563745f723a746d707265617065725f657865635f743a7330000000140003000000000000000000000000000000000114000300fc01000000000000000000000000000114000200ff010000000000000000000000000001"], 0xd0}, 0x1, 0x0, 0x0, 0x20040010}, 0x1) semop(0x0, &(0x7f0000000040)=[{0x2}], 0x1f4) semop(0xffffffffffffffff, &(0x7f0000000000)=[{0x2, 0x25b0, 0x800}, {0x3, 0x5}, {0x1, 0x7, 0x1800}], 0x3) semop(0x0, &(0x7f0000000280)=[{0x0, 0x200, 0x1800}, {0x4, 0x2, 0x1000}, {0x2, 0xffc0}, {0x1, 0x9}, {0x2, 0x7ff, 0x800}, {0x0, 0x8}, {0x0, 0x0, 0x800}, {0x1, 0x400}, {0x1, 0x401, 0x1400}, {0x2, 0x0, 0x1800}], 0xa) r1 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_route(r1, &(0x7f0000000000)={0x0, 0x0, &(0x7f0000000040)={&(0x7f00000001c0)=@newlink={0x40, 0x10, 0xff05, 0x0, 0x0, {0x0, 0x0, 0x4a00}, [@IFLA_LINKINFO={0x14, 0x12, 0x0, 0x1, @geneve={{0xb}, {0x4}}}, @IFLA_ADDRESS={0xa, 0x1, @link_local}]}, 0x40}}, 0x0) r2 = socket$inet_udplite(0x2, 0x2, 0x88) ioctl$sock_SIOCGIFINDEX(r2, 0x8933, &(0x7f0000000340)={'bridge0\x00', 0x0}) r4 = socket(0x10, 0x80002, 0x0) sendmsg$nl_route(r4, &(0x7f0000000040)={0x0, 0x0, &(0x7f0000000080)={&(0x7f0000000940)=@bridge_setlink={0x44, 0x13, 0xa29, 0x0, 0x0, {0x7, 0x0, 0x0, r3}, [@IFLA_AF_SPEC={0x1c, 0x1a, 0x0, 0x1, [@AF_INET={0x8, 0x2, 0x0, 0x1, {0x4, 0x5, 0x0, 0x0, [{0x8, 0x0, 0x0, 0x0, 0x123e}, {0x8}, {0x8}]}}]}]}, 0x44}}, 0x0) sendmsg$nl_route_sched(r1, &(0x7f0000000500)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x20010}, 0xc, &(0x7f00000001c0)={&(0x7f0000000140)=@getqdisc={0x44, 0x26, 0x10, 0x70bd28, 0x25dfdbfb, {0x0, 0x0, 0x0, r3, {0xd, 0x10}, {0x1, 0xfff3}, {0x0, 0xd}}, [{0x4}, {0x4}, {0x4}, {0x4}, {0x4}, {0x4}, {0x4}, {0x4}]}, 0x44}, 0x1, 0x0, 0x0, 0x4}, 0x24004000) getsockopt$inet_mreqn(r2, 0x0, 0x24, &(0x7f0000000580)={@remote, @broadcast, 0x0}, &(0x7f00000005c0)=0xc) ioctl$sock_ipv6_tunnel_SIOCADDTUNNEL(r4, 0x89f1, &(0x7f0000000680)={'ip6tnl0\x00', &(0x7f0000000600)={'syztnl1\x00', r3, 0x29, 0x80, 0x6, 0x10001, 0x8, @rand_addr=' \x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x02', @mcast2, 0x10, 0x10, 0x5, 0x40}}) ioctl$sock_ipv4_tunnel_SIOCCHGTUNNEL(r4, 0x89f3, &(0x7f00000007c0)={'sit0\x00', &(0x7f00000006c0)={'sit0\x00', 0x0, 0x700, 0x7800, 0x4, 0x0, {{0x2f, 0x4, 0x1, 0x0, 0xbc, 0x67, 0x0, 0x2, 0x29, 0x0, @remote, @dev={0xac, 0x14, 0x14, 0x34}, {[@generic={0x44, 0x9, "9b23dafdeca7df"}, @timestamp_prespec={0x44, 0x1c, 0x32, 0x3, 0x1, [{@broadcast}, {@rand_addr=0x64010101, 0x6}, {@dev={0xac, 0x14, 0x14, 0x43}, 0x1}]}, @timestamp_prespec={0x44, 0xc, 0x3b, 0x3, 0x4, [{@initdev={0xac, 0x1e, 0x0, 0x0}, 0x3}]}, @cipso={0x86, 0x27, 0x0, [{0x0, 0x2}, {0x7, 0x7, "a0b78cdb73"}, {0x2, 0x10, "ade25c33bb2312b0d0fdf87e0a1b"}, {0x10f8b324e682a9f4, 0x8, "b66ba77481e3"}]}, @lsrr={0x83, 0x17, 0x61, [@empty, @remote, @remote, @empty, @remote]}, @end, @cipso={0x86, 0x1f, 0x2, [{0x5, 0x7, "4a5f8a4a12"}, {0x6, 0xb, "e1a62d8932f4cfa8dd"}, {0x1, 0x4, 'Dk'}, {0x2, 0x3, 'N'}]}, @ssrr={0x89, 0x17, 0x73, [@multicast2, @initdev={0xac, 0x1e, 0x0, 0x0}, @initdev={0xac, 0x1e, 0x1, 0x0}, @multicast1, @multicast1]}]}}}}}) sendmsg$nl_route(r1, &(0x7f00000008c0)={&(0x7f0000000540), 0xc, &(0x7f0000000880)={&(0x7f0000000800)=@mpls_getnetconf={0x54, 0x52, 0x1, 0x70bd2a, 0x25dfdbfc, {}, [@IGNORE_ROUTES_WITH_LINKDOWN={0x8, 0x6, 0x3499}, @NETCONFA_IFINDEX={0x8, 0x1, r5}, @NETCONFA_IFINDEX={0x8, 0x1, r3}, @NETCONFA_IFINDEX={0x8, 0x1, r3}, @NETCONFA_IFINDEX={0x8, 0x1, r3}, @NETCONFA_IFINDEX={0x8, 0x1, r6}, @IGNORE_ROUTES_WITH_LINKDOWN={0x8, 0x6, 0x6}, @NETCONFA_IFINDEX={0x8, 0x1, r7}]}, 0x54}, 0x1, 0x0, 0x0, 0x8095}, 0x1) 13:11:21 executing program 1: r0 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r0, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setreuid(0x0, r1) bpf$PROG_LOAD(0x5, &(0x7f000000e000)={0x1, 0x4, &(0x7f0000000080)=@framed={{0xffffffb4, 0x5, 0x0, 0x0, 0x0, 0x71, 0x12, 0x18}, [@ldst={0x7, 0x3, 0x5, 0x0, 0x2, 0x8}]}, &(0x7f0000003ff6)='GPL\x00', 0xa, 0xfd90, &(0x7f000000cf3d)=""/195, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, &(0x7f00000000c0), 0x366, 0x10, &(0x7f0000000000), 0x2b2}, 0x48) [ 570.992689][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 571.018784][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 571.025075][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 571.038128][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 571.044159][ T5148] libceph: mon0 (1)[c::]:6789 connect error 13:11:21 executing program 0: mknod(&(0x7f0000000000)='./file0\x00', 0x1000, 0x0) r0 = open(&(0x7f0000000140)='./file0\x00', 0x2, 0x0) write$FUSE_IOCTL(r0, &(0x7f0000000100)={0x20}, 0xfdef) mount$9p_fd(0x0, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000180), 0x0, &(0x7f0000002480)={'trans=fd,', {'rfdno', 0x3d, r0}, 0x2c, {'wfdno', 0x3d, r0}}) read$FUSE(r0, &(0x7f0000000240)={0x2020}, 0x2020) [ 571.088310][ T5149] libceph: mon0 (1)[c::]:6789 connect error 13:11:21 executing program 1: r0 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r0, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setreuid(0x0, r1) bpf$PROG_LOAD(0x5, &(0x7f000000e000)={0x1, 0x4, &(0x7f0000000080)=@framed={{0xffffffb4, 0x5, 0x0, 0x0, 0x0, 0x71, 0x12, 0x18}, [@ldst={0x7, 0x3, 0x5, 0x0, 0x2, 0x8}]}, &(0x7f0000003ff6)='GPL\x00', 0xa, 0xfd90, &(0x7f000000cf3d)=""/195, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, &(0x7f00000000c0), 0x366, 0x10, &(0x7f0000000000), 0x2b2}, 0x48) 13:11:21 executing program 4: r0 = socket$netlink(0x10, 0x3, 0x0) r1 = socket$inet6_icmp_raw(0xa, 0x3, 0x3a) ioctl$sock_SIOCGIFINDEX(r1, 0x8933, &(0x7f00000000c0)={'bridge_slave_0\x00', 0x0}) sendmsg$nl_route(r0, &(0x7f0000000000)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000040)=@bridge_delneigh={0x30, 0x1d, 0xc07, 0x0, 0x0, {0x7, 0x0, 0x0, r2}, [@NDA_LLADDR={0xa}, @NDA_VLAN={0x6}]}, 0x30}}, 0x0) [ 571.158772][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 571.164826][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 571.176756][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 571.180269][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 571.203515][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 571.213550][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 571.217904][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 571.234362][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 571.242377][ T5143] libceph: connect (1)[c::]:6789 error -101 13:11:21 executing program 4: r0 = syz_open_dev$swradio(&(0x7f0000000000), 0x1, 0x2) ioctl$VIDIOC_G_FREQUENCY(r0, 0xc02c5638, &(0x7f0000000200)={0x1}) 13:11:21 executing program 1: r0 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r0, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setreuid(0x0, r1) bpf$PROG_LOAD(0x5, &(0x7f000000e000)={0x1, 0x4, &(0x7f0000000080)=@framed={{0xffffffb4, 0x5, 0x0, 0x0, 0x0, 0x71, 0x12, 0x18}, [@ldst={0x7, 0x3, 0x5, 0x0, 0x2, 0x8}]}, &(0x7f0000003ff6)='GPL\x00', 0xa, 0xfd90, &(0x7f000000cf3d)=""/195, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, &(0x7f00000000c0), 0x366, 0x10, &(0x7f0000000000), 0x2b2}, 0x48) 13:11:21 executing program 0: mknod(&(0x7f0000000000)='./file0\x00', 0x1000, 0x0) r0 = open(&(0x7f0000000140)='./file0\x00', 0x2, 0x0) write$FUSE_IOCTL(r0, &(0x7f0000000100)={0x20}, 0xfdef) mount$9p_fd(0x0, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000180), 0x0, &(0x7f0000002480)={'trans=fd,', {'rfdno', 0x3d, r0}, 0x2c, {'wfdno', 0x3d, r0}}) read$FUSE(r0, &(0x7f0000000240)={0x2020}, 0x2020) [ 571.264572][ T5143] libceph: mon0 (1)[c::]:6789 connect error 13:11:21 executing program 4: r0 = syz_open_dev$swradio(&(0x7f0000000000), 0x1, 0x2) ioctl$VIDIOC_G_FREQUENCY(r0, 0xc02c5638, &(0x7f0000000200)={0x1}) 13:11:21 executing program 1: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r0, &(0x7f00000000c0)={0x0, 0x0, &(0x7f0000000000)={&(0x7f00000001c0)={{0x14}, [@NFT_MSG_NEWTABLE={0x20, 0x0, 0xa, 0x3, 0x0, 0x0, {0x1}, [@NFTA_TABLE_NAME={0x9, 0x1, 'syz0\x00'}]}, @NFT_MSG_NEWSET={0x68, 0x9, 0xa, 0x401, 0x0, 0x0, {0x1}, [@NFTA_SET_ID={0x8}, @NFTA_SET_NAME={0x9, 0x2, 'syz1\x00'}, @NFTA_SET_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_SET_KEY_LEN={0x8, 0x5, 0x1, 0x0, 0x21}, @NFTA_SET_EXPR={0x2c, 0x11, 0x0, 0x1, @target={{0xb}, @val={0x1c, 0x2, 0x0, 0x1, [@NFTA_TARGET_NAME={0x9, 0x1, 'syz1\x00'}, @NFTA_TARGET_INFO={0x4}, @NFTA_TARGET_REV={0x8}]}}}]}], {0x14, 0x10}}, 0xb0}}, 0x0) 13:11:21 executing program 0: socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000080)={0xffffffffffffffff}) r1 = socket$inet_udplite(0x2, 0x2, 0x88) getsockopt$sock_cred(r1, 0x1, 0x11, &(0x7f0000000240)={0x0, 0x0}, &(0x7f0000000280)=0x5) setreuid(0x0, r2) getsockopt$sock_cred(0xffffffffffffffff, 0x1, 0x11, 0x0, 0x0) ioctl$sock_SIOCGIFINDEX_80211(r0, 0x8b06, &(0x7f0000000040)={'wlan0\x00'}) [ 571.528560][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 571.534624][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 571.568372][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 571.574420][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 571.748231][ T52] Bluetooth: hci9: unexpected cc 0x0c03 length: 249 > 1 [ 571.756420][ T52] Bluetooth: hci9: unexpected cc 0x1003 length: 249 > 9 [ 571.765270][ T52] Bluetooth: hci9: unexpected cc 0x1001 length: 249 > 9 [ 571.773773][ T52] Bluetooth: hci9: unexpected cc 0x0c23 length: 249 > 4 [ 571.781623][ T52] Bluetooth: hci9: unexpected cc 0x0c25 length: 249 > 3 [ 571.789626][ T52] Bluetooth: hci9: unexpected cc 0x0c38 length: 249 > 2 [ 571.948370][ T8454] chnl_net:caif_netlink_parms(): no params data found [ 572.020499][ T8454] bridge0: port 1(bridge_slave_0) entered blocking state [ 572.027735][ T8454] bridge0: port 1(bridge_slave_0) entered disabled state [ 572.034860][ T8454] bridge_slave_0: entered allmulticast mode [ 572.042010][ T8454] bridge_slave_0: entered promiscuous mode [ 572.050892][ T8454] bridge0: port 2(bridge_slave_1) entered blocking state [ 572.058442][ T8454] bridge0: port 2(bridge_slave_1) entered disabled state [ 572.065611][ T8454] bridge_slave_1: entered allmulticast mode [ 572.073131][ T8454] bridge_slave_1: entered promiscuous mode [ 572.098263][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 572.104312][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 572.110776][ T7] libceph: connect (1)[c::]:6789 error -101 [ 572.118480][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 572.120650][ T8454] bond0: (slave bond_slave_0): Enslaving as an active interface with an up link [ 572.136595][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 572.138298][ T7] libceph: connect (1)[c::]:6789 error -101 [ 572.143690][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 572.155040][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 572.158140][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 572.161622][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 572.167140][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 572.173564][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 572.182027][ T8454] bond0: (slave bond_slave_1): Enslaving as an active interface with an up link [ 572.184796][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 572.200101][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 572.206114][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 572.208787][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 572.212266][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 572.226127][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 572.232578][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 572.239248][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 572.249842][ T57] libceph: connect (1)[c::]:6789 error -101 [ 572.255865][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 572.262273][ T5157] libceph: connect (1)[c::]:6789 error -101 [ 572.268888][ T5157] libceph: mon0 (1)[c::]:6789 connect error [ 572.275619][ T5157] libceph: connect (1)[c::]:6789 error -101 [ 572.282151][ T5157] libceph: mon0 (1)[c::]:6789 connect error [ 572.300883][ T8454] team0: Port device team_slave_0 added [ 572.310873][ T8454] team0: Port device team_slave_1 added [ 572.340318][ T8454] batman_adv: batadv0: Adding interface: batadv_slave_0 [ 572.347267][ T8454] batman_adv: batadv0: The MTU of interface batadv_slave_0 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 572.379268][ T8454] batman_adv: batadv0: Not using interface batadv_slave_0 (retrying later): interface not active [ 572.392976][ T8454] batman_adv: batadv0: Adding interface: batadv_slave_1 [ 572.400378][ T8454] batman_adv: batadv0: The MTU of interface batadv_slave_1 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 572.429771][ T8454] batman_adv: batadv0: Not using interface batadv_slave_1 (retrying later): interface not active [ 572.471668][ T8454] hsr_slave_0: entered promiscuous mode [ 572.483128][ T8454] hsr_slave_1: entered promiscuous mode [ 572.489651][ T8454] debugfs: Directory 'hsr0' with parent 'hsr' already present! [ 572.497211][ T8454] Cannot create hsr debugfs directory [ 572.548300][ T5157] libceph: connect (1)[c::]:6789 error -101 [ 572.554532][ T5157] libceph: mon0 (1)[c::]:6789 connect error [ 572.572310][ T57] libceph: connect (1)[c::]:6789 error -101 [ 572.578659][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 572.584956][ T57] libceph: connect (1)[c::]:6789 error -101 [ 572.592477][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 572.644200][ T8454] netdevsim netdevsim2 netdevsim3 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 [ 572.728735][ T8454] netdevsim netdevsim2 netdevsim2 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 [ 572.851996][ T8454] netdevsim netdevsim2 netdevsim1 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 [ 572.958630][ T8454] netdevsim netdevsim2 netdevsim0 (unregistering): unset [1, 0] type 2 family 0 port 6081 - 0 [ 573.088888][ T57] libceph: connect (1)[c::]:6789 error -101 [ 573.094939][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 573.101364][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 573.107381][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 573.115723][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 573.127916][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 573.134205][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 573.136576][ T8454] netdevsim netdevsim2 netdevsim0: renamed from eth0 [ 573.142098][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 573.154336][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 573.163634][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 573.163672][ T8454] netdevsim netdevsim2 netdevsim1: renamed from eth1 [ 573.177530][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 573.183846][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 573.188509][ T8454] netdevsim netdevsim2 netdevsim2: renamed from eth2 [ 573.190151][ T8] libceph: connect (1)[c::]:6789 error -101 [ 573.204668][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 573.211259][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 573.212785][ T8454] netdevsim netdevsim2 netdevsim3: renamed from eth3 [ 573.217494][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 573.230049][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 573.236281][ T8] libceph: connect (1)[c::]:6789 error -101 [ 573.244699][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 573.257763][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 573.324631][ T8454] 8021q: adding VLAN 0 to HW filter on device bond0 [ 573.355922][ T8454] 8021q: adding VLAN 0 to HW filter on device team0 [ 573.373125][ T8] bridge0: port 1(bridge_slave_0) entered blocking state [ 573.380225][ T8] bridge0: port 1(bridge_slave_0) entered forwarding state [ 573.401496][ T5069] bridge0: port 2(bridge_slave_1) entered blocking state [ 573.408689][ T5069] bridge0: port 2(bridge_slave_1) entered forwarding state [ 573.419701][ T44] libceph: connect (1)[c::]:6789 error -101 [ 573.425718][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 573.432644][ T24] libceph: connect (1)[c::]:6789 error -101 [ 573.440804][ T24] libceph: mon0 (1)[c::]:6789 connect error [ 573.476186][ T8454] hsr0: Slave A (hsr_slave_0) is not up; please bring it up to get a fully working HSR network [ 573.489528][ T8454] hsr0: Slave B (hsr_slave_1) is not up; please bring it up to get a fully working HSR network [ 573.498263][ T8] libceph: connect (1)[c::]:6789 error -101 [ 573.505877][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 573.524973][ T8] libceph: connect (1)[c::]:6789 error -101 [ 573.531232][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 573.537503][ T8] libceph: connect (1)[c::]:6789 error -101 [ 573.557853][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 573.635785][ T8454] 8021q: adding VLAN 0 to HW filter on device batadv0 [ 573.689423][ T8454] veth0_vlan: entered promiscuous mode [ 573.701393][ T8454] veth1_vlan: entered promiscuous mode [ 573.732446][ T8454] veth0_macvtap: entered promiscuous mode [ 573.743990][ T8454] veth1_macvtap: entered promiscuous mode [ 573.765424][ T8454] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 573.776441][ T8454] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 573.786934][ T8454] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 573.797417][ T8454] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 573.807547][ T8454] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 573.807907][ T52] Bluetooth: hci9: command 0x0409 tx timeout [ 573.818455][ T8454] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 573.837242][ T8454] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 573.847897][ T8454] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 573.859416][ T8454] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 573.870430][ T8454] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 573.880721][ T8454] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 573.891785][ T8454] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 573.901922][ T8454] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 573.912599][ T8454] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 573.922748][ T8454] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 573.933305][ T8454] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 573.943324][ T8454] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 573.953815][ T8454] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 573.968086][ T8454] batman_adv: batadv0: Interface activated: batadv_slave_0 [ 573.968998][ T24] libceph: connect (1)[c::]:6789 error -101 [ 573.978384][ T8454] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 573.981717][ T44] libceph: connect (1)[c::]:6789 error -101 [ 573.991675][ T8454] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 573.991689][ T8454] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 573.991703][ T8454] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 573.991713][ T8454] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 573.991726][ T8454] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 573.991736][ T8454] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 573.991748][ T8454] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 573.991759][ T8454] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 573.991770][ T8454] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 573.991785][ T8454] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 574.027245][ T24] libceph: mon0 (1)[c::]:6789 connect error [ 574.029363][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 574.047731][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 574.050373][ T5148] libceph: connect (1)[c::]:6789 error -101 [ 574.086730][ T8454] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 574.102009][ T7] libceph: connect (1)[c::]:6789 error -101 [ 574.108050][ T8454] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 574.125816][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 574.137915][ T44] libceph: connect (1)[c::]:6789 error -101 [ 574.141927][ T7] libceph: mon0 (1)[c::]:6789 connect error [ 574.152691][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 574.165464][ T783] libceph: connect (1)[c::]:6789 error -101 [ 574.171836][ T24] libceph: connect (1)[c::]:6789 error -101 [ 574.187811][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 574.188969][ T44] libceph: mon0 (1)[c::]:6789 connect error [ 574.195009][ T783] libceph: connect (1)[c::]:6789 error -101 [ 574.205015][ T8454] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 574.217133][ T5148] libceph: mon0 (1)[c::]:6789 connect error [ 574.217142][ T24] libceph: mon0 (1)[c::]:6789 connect error [ 574.218385][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 574.228037][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 574.240978][ T8454] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 574.251710][ T8454] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 574.261735][ T8454] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 574.275874][ T8454] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 574.289020][ T8454] batman_adv: batadv0: Interface activated: batadv_slave_1 [ 574.317122][ T8454] netdevsim netdevsim2 netdevsim0: set [1, 0] type 2 family 0 port 6081 - 0 [ 574.326088][ T8454] netdevsim netdevsim2 netdevsim1: set [1, 0] type 2 family 0 port 6081 - 0 [ 574.335402][ T8454] netdevsim netdevsim2 netdevsim2: set [1, 0] type 2 family 0 port 6081 - 0 [ 574.344512][ T8454] netdevsim netdevsim2 netdevsim3: set [1, 0] type 2 family 0 port 6081 - 0 [ 574.429160][ T34] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 574.436996][ T34] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 574.458259][ T8] libceph: connect (1)[c::]:6789 error -101 [ 574.464492][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 574.476596][ T783] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 574.485620][ T783] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 574.508053][ T783] libceph: connect (1)[c::]:6789 error -101 [ 574.514082][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 574.998352][ T783] libceph: connect (1)[c::]:6789 error -101 [ 575.004343][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 575.028070][ T783] libceph: connect (1)[c::]:6789 error -101 [ 575.034128][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 575.088356][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 575.094404][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 575.100972][ T57] libceph: connect (1)[c::]:6789 error -101 [ 575.106974][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 575.114643][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 575.120825][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 575.126939][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 575.133325][ T57] libceph: connect (1)[c::]:6789 error -101 [ 575.139430][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 575.145411][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 575.169510][ T783] libceph: connect (1)[c::]:6789 error -101 [ 575.175560][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 575.181912][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 575.189486][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 575.195767][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 575.202850][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 575.329212][ T29] INFO: task syz-executor.2:7699 blocked for more than 143 seconds. [ 575.337573][ T29] Not tainted 6.8.0-rc2-next-20240202-syzkaller #0 [ 575.355164][ T29] "echo 0 > /proc/sys/kernel/hung_task_timeout_secs" disables this message. [ 575.366836][ T29] task:syz-executor.2 state:D stack:25968 pid:7699 tgid:7695 ppid:5101 flags:0x00004006 [ 575.377272][ T29] Call Trace: [ 575.381035][ T29] [ 575.383996][ T29] __schedule+0x17df/0x4a40 [ 575.388948][ T29] ? __pfx___schedule+0x10/0x10 [ 575.395857][ T29] ? __pfx_lock_release+0x10/0x10 [ 575.401354][ T29] ? __lock_acquire+0x1346/0x1fd0 [ 575.406406][ T29] ? schedule+0x90/0x320 [ 575.412965][ T5069] libceph: connect (1)[c::]:6789 error -101 [ 575.415914][ T29] schedule+0x14b/0x320 [ 575.419742][ T5069] libceph: mon0 (1)[c::]:6789 connect error [ 575.427811][ T29] schedule_timeout+0xb0/0x310 [ 575.429547][ T57] libceph: connect (1)[c::]:6789 error -101 [ 575.433850][ T29] ? __pfx_schedule_timeout+0x10/0x10 [ 575.440102][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 575.455547][ T29] ? lockdep_hardirqs_on_prepare+0x43d/0x780 [ 575.456708][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 575.463980][ T29] ? wait_for_completion+0x2fe/0x620 [ 575.467739][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 575.472980][ T29] ? wait_for_completion+0x2fe/0x620 [ 575.484094][ T29] ? _raw_spin_unlock_irq+0x23/0x50 [ 575.490487][ T29] ? lockdep_hardirqs_on+0x99/0x150 [ 575.497168][ T29] ? wait_for_completion+0x2fe/0x620 [ 575.502803][ T29] wait_for_completion+0x355/0x620 [ 575.508249][ T29] ? __pfx_wait_for_completion+0x10/0x10 [ 575.513911][ T29] ? flush_workqueue_prep_pwqs+0x459/0x4c0 [ 575.520091][ T29] ? check_flush_dependency+0xb2/0x390 [ 575.525548][ T29] __flush_workqueue+0x730/0x1630 [ 575.530879][ T29] ? __pfx___flush_workqueue+0x10/0x10 [ 575.536338][ T29] ? ceph_con_reset_session+0x392/0x410 [ 575.542402][ T29] ? ceph_auth_reset+0x8e/0x140 [ 575.547313][ T29] ceph_monc_stop+0x7c/0x1e0 [ 575.552239][ T29] ceph_destroy_client+0x74/0x130 [ 575.557289][ T29] destroy_fs_client+0x192/0x270 [ 575.562557][ T29] deactivate_locked_super+0xc4/0x130 [ 575.570710][ T29] ceph_get_tree+0x9a9/0x17b0 [ 575.575428][ T29] ? bpf_lsm_capable+0x9/0x10 [ 575.580740][ T29] vfs_get_tree+0x90/0x2a0 [ 575.585174][ T29] vfs_cmd_create+0xe4/0x230 [ 575.590272][ T29] ? __se_sys_fsconfig+0x95d/0xec0 [ 575.595410][ T29] __se_sys_fsconfig+0x967/0xec0 [ 575.602634][ T29] ? __pfx___se_sys_fsconfig+0x10/0x10 [ 575.609154][ T29] ? lockdep_hardirqs_on_prepare+0x43d/0x780 [ 575.615164][ T29] ? __pfx_lockdep_hardirqs_on_prepare+0x10/0x10 [ 575.621552][ T29] ? do_syscall_64+0x10a/0x240 [ 575.626389][ T29] ? __x64_sys_fsconfig+0x20/0xc0 [ 575.631576][ T29] do_syscall_64+0xfb/0x240 [ 575.636102][ T29] entry_SYSCALL_64_after_hwframe+0x6d/0x75 [ 575.642134][ T29] RIP: 0033:0x7f8b0167dda9 [ 575.646556][ T29] RSP: 002b:00007f8b024bd0c8 EFLAGS: 00000246 ORIG_RAX: 00000000000001af [ 575.655644][ T29] RAX: ffffffffffffffda RBX: 00007f8b017abf80 RCX: 00007f8b0167dda9 [ 575.663725][ T29] RDX: 0000000000000000 RSI: 0000000000000006 RDI: 0000000000000003 [ 575.671813][ T29] RBP: 00007f8b016ca47a R08: 0000000000000000 R09: 0000000000000000 [ 575.682709][ T29] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000000 [ 575.690827][ T29] R13: 000000000000000b R14: 00007f8b017abf80 R15: 00007ffc689c7878 [ 575.700369][ T29] [ 575.703462][ T29] [ 575.703462][ T29] Showing all locks held in the system: [ 575.711940][ T29] 5 locks held by kworker/u4:0/10: [ 575.717070][ T29] 1 lock held by khungtaskd/29: [ 575.723723][ T29] #0: ffffffff8e130d60 (rcu_read_lock){....}-{1:2}, at: debug_show_all_locks+0x55/0x2a0 [ 575.733779][ T29] 3 locks held by kworker/u4:5/365: [ 575.739053][ T29] 2 locks held by getty/4827: [ 575.743726][ T29] #0: ffff88802a96f0a0 (&tty->ldisc_sem){++++}-{0:0}, at: tty_ldisc_ref_wait+0x25/0x70 [ 575.753717][ T29] #1: ffffc900031332f0 (&ldata->atomic_read_lock){+.+.}-{3:3}, at: n_tty_read+0x6b5/0x1e10 [ 575.764155][ T29] 3 locks held by kworker/1:8/5157: [ 575.771799][ T29] #0: ffff888014c7cd48 ((wq_completion)events){+.+.}-{0:0}, at: process_scheduled_works+0x825/0x14f0 [ 575.782887][ T29] #1: ffffc9000466fd20 ((work_completion)(&data->fib_event_work)){+.+.}-{0:0}, at: process_scheduled_works+0x825/0x14f0 [ 575.795675][ T29] #2: ffff888024d6e240 (&data->fib_lock){+.+.}-{3:3}, at: nsim_fib_event_work+0x2d1/0x4130 [ 575.806735][ T29] 1 lock held by syz-executor.2/7699: [ 575.813412][ T29] #0: ffff88806fa6f070 (&fc->uapi_mutex){+.+.}-{3:3}, at: __se_sys_fsconfig+0x8e6/0xec0 [ 575.823843][ T29] 2 locks held by syz-executor.3/7828: [ 575.829441][ T29] #0: ffff88802caebc70 (&fc->uapi_mutex){+.+.}-{3:3}, at: __se_sys_fsconfig+0x8e6/0xec0 [ 575.839720][ T29] #1: ffff8880406520e0 (&type->s_umount_key#74/1){+.+.}-{3:3}, at: alloc_super+0x20e/0x8f0 [ 575.850621][ T29] 2 locks held by syz-executor.3/7835: [ 575.856092][ T29] #0: ffff88806fbe0c70 (&fc->uapi_mutex){+.+.}-{3:3}, at: __se_sys_fsconfig+0x8e6/0xec0 [ 575.865984][ T29] #1: ffff88802d5220e0 (&type->s_umount_key#74/1){+.+.}-{3:3}, at: alloc_super+0x20e/0x8f0 [ 575.876202][ T29] 2 locks held by syz-executor.2/7962: [ 575.881803][ T29] #0: ffff888034de9870 (&fc->uapi_mutex){+.+.}-{3:3}, at: __se_sys_fsconfig+0x8e6/0xec0 [ 575.892727][ T52] Bluetooth: hci9: command 0x041b tx timeout [ 575.896157][ T29] #1: ffff8880305a20e0 (&type->s_umount_key#74/1){+.+.}-{3:3}, at: alloc_super+0x20e/0x8f0 [ 575.911008][ T29] 2 locks held by syz-executor.2/7973: [ 575.916479][ T29] #0: ffff88802a519470 (&fc->uapi_mutex){+.+.}-{3:3}, at: __se_sys_fsconfig+0x8e6/0xec0 [ 575.926768][ T29] #1: ffff8880347f40e0 (&type->s_umount_key#74/1){+.+.}-{3:3}, at: alloc_super+0x20e/0x8f0 [ 575.937181][ T29] 2 locks held by syz-executor.3/8135: [ 575.947618][ T29] #0: ffff88802971b470 (&fc->uapi_mutex){+.+.}-{3:3}, at: __se_sys_fsconfig+0x8e6/0xec0 [ 575.957485][ T29] #1: ffff8880326e60e0 (&type->s_umount_key#74/1){+.+.}-{3:3}, at: alloc_super+0x20e/0x8f0 [ 575.971453][ T57] libceph: connect (1)[c::]:6789 error -101 [ 575.977494][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 575.980081][ T29] 2 locks held by syz-executor.3/8141: [ 575.989069][ T29] #0: ffff888029718470 (&fc->uapi_mutex){+.+.}-{3:3}, at: __se_sys_fsconfig+0x8e6/0xec0 [ 575.998068][ T57] libceph: connect (1)[c::]:6789 error -101 [ 575.999175][ T29] #1: ffff88807bc140e0 (&type->s_umount_key#74/1){+.+.}-{3:3}, at: alloc_super+0x20e/0x8f0 [ 576.004906][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 576.016477][ T29] 2 locks held by syz-executor.2/8248: [ 576.022903][ T24] libceph: connect (1)[c::]:6789 error -101 [ 576.027912][ T29] #0: ffff88806b934070 (&fc->uapi_mutex){+.+.}-{3:3}, at: __se_sys_fsconfig+0x8e6/0xec0 [ 576.037787][ T24] libceph: mon0 (1)[c::]:6789 connect error [ 576.045046][ T29] #1: ffff88801e5fa0e0 (&type->s_umount_key#74/1){+.+.}-{3:3}, at: alloc_super+0x20e/0x8f0 [ 576.061801][ T29] 2 locks held by syz-executor.2/8255: [ 576.067267][ T29] #0: ffff88801e888c70 (&fc->uapi_mutex){+.+.}-{3:3}, at: __se_sys_fsconfig+0x8e6/0xec0 [ 576.077420][ T29] #1: ffff8880800740e0 (&type->s_umount_key#74/1){+.+.}-{3:3}, at: alloc_super+0x20e/0x8f0 [ 576.088876][ T29] 2 locks held by syz-executor.3/8363: [ 576.094346][ T29] #0: ffff88803afba070 (&fc->uapi_mutex){+.+.}-{3:3}, at: __se_sys_fsconfig+0x8e6/0xec0 [ 576.104326][ T29] #1: ffff88802b6520e0 (&type->s_umount_key#74/1){+.+.}-{3:3}, at: alloc_super+0x20e/0x8f0 [ 576.116229][ T29] 2 locks held by syz-executor.3/8370: [ 576.121789][ T29] #0: ffff88803ab99470 (&fc->uapi_mutex){+.+.}-{3:3}, at: __se_sys_fsconfig+0x8e6/0xec0 [ 576.128896][ T8] libceph: connect (1)[c::]:6789 error -101 [ 576.132207][ T29] #1: ffff8880831c60e0 (&type->s_umount_key#74 [ 576.138640][ T57] libceph: connect (1)[c::]:6789 error -101 [ 576.148213][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 576.151166][ T5147] libceph: connect (1)[c::]:6789 error -101 [ 576.156973][ T8] libceph: connect (1)[c::]:6789 error -101 [ 576.162735][ T29] /1){+.+.}-{3:3}, at: alloc_super+0x20e/0x8f0 [ 576.162799][ T29] [ 576.179312][ T783] libceph: connect (1)[c::]:6789 error -101 [ 576.180876][ T5147] libceph: mon0 (1)[c::]:6789 connect error [ 576.185310][ T783] libceph: mon0 (1)[c::]:6789 connect error [ 576.191841][ T57] libceph: mon0 (1)[c::]:6789 connect error [ 576.204963][ T29] ============================================= [ 576.204963][ T29] [ 576.208075][ T5143] libceph: connect (1)[c::]:6789 error -101 [ 576.222954][ T29] NMI backtrace for cpu 1 [ 576.227309][ T29] CPU: 1 PID: 29 Comm: khungtaskd Not tainted 6.8.0-rc2-next-20240202-syzkaller #0 [ 576.229593][ T5149] libceph: connect (1)[c::]:6789 error -101 [ 576.236593][ T29] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 01/25/2024 [ 576.249971][ T8] libceph: mon0 (1)[c::]:6789 connect error [ 576.252600][ T29] Call Trace: [ 576.252611][ T29] [ 576.259488][ T5143] libceph: mon0 (1)[c::]:6789 connect error [ 576.261734][ T29] dump_stack_lvl+0x1e7/0x2e0 [ 576.275257][ T29] ? __pfx_dump_stack_lvl+0x10/0x10 [ 576.276096][ T5149] libceph: mon0 (1)[c::]:6789 connect error [ 576.280457][ T29] ? __pfx__printk+0x10/0x10 [ 576.280540][ T29] ? vprintk_emit+0x608/0x720 [ 576.280573][ T29] ? __pfx_vprintk_emit+0x10/0x10 [ 576.280599][ T29] nmi_cpu_backtrace+0x49c/0x4d0 [ 576.280642][ T29] ? __pfx_nmi_cpu_backtrace+0x10/0x10 [ 576.280661][ T29] ? _printk+0xd5/0x120 [ 576.315293][ T29] ? __pfx__printk+0x10/0x10 [ 576.319908][ T29] ? __wake_up_klogd+0xcc/0x110 [ 576.324769][ T29] ? __pfx__printk+0x10/0x10 [ 576.329360][ T29] ? __rcu_read_unlock+0xa1/0x110 [ 576.334420][ T29] ? __pfx_nmi_raise_cpu_backtrace+0x10/0x10 [ 576.340433][ T29] nmi_trigger_cpumask_backtrace+0x198/0x320 [ 576.346418][ T29] watchdog+0xfb0/0xff0 [ 576.350591][ T29] ? watchdog+0x1ea/0xff0 [ 576.354924][ T29] ? __pfx_watchdog+0x10/0x10 [ 576.359593][ T29] kthread+0x2f0/0x390 [ 576.363665][ T29] ? __pfx_watchdog+0x10/0x10 [ 576.368339][ T29] ? __pfx_kthread+0x10/0x10 [ 576.372956][ T29] ret_from_fork+0x4b/0x80 [ 576.377392][ T29] ? __pfx_kthread+0x10/0x10 [ 576.381999][ T29] ret_from_fork_asm+0x1a/0x30 [ 576.386818][ T29] [ 576.392069][ T29] Sending NMI from CPU 1 to CPUs 0: [ 576.397300][ C0] NMI backtrace for cpu 0 [ 576.397311][ C0] CPU: 0 PID: 365 Comm: kworker/u4:5 Not tainted 6.8.0-rc2-next-20240202-syzkaller #0 [ 576.397328][ C0] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 01/25/2024 [ 576.397338][ C0] Workqueue: bat_events batadv_purge_orig [ 576.397430][ C0] RIP: 0010:__local_bh_disable_ip+0x1c1/0x220 [ 576.397455][ C0] Code: 48 89 d8 48 c1 e8 03 42 80 3c 20 00 74 08 48 89 df e8 e3 78 9d 00 4c 89 33 48 c7 44 24 20 0e 36 e0 45 4b c7 04 2c 00 00 00 00 <66> 43 c7 44 2c 09 00 00 43 c6 44 2c 0b 00 65 48 8b 04 25 28 00 00 [ 576.397468][ C0] RSP: 0018:ffffc9000319f980 EFLAGS: 00000246 [ 576.397481][ C0] RAX: 1ffff11003d0c658 RBX: ffff88801e8632c0 RCX: ffffffff8b77bf00 [ 576.397493][ C0] RDX: ffff88802c5cd888 RSI: 0000000000000201 RDI: 0000000000000000 [ 576.397503][ C0] RBP: ffffc9000319fa58 R08: ffffffff92ca34ff R09: 1ffffffff259469f [ 576.397515][ C0] R10: dffffc0000000000 R11: fffffbfff25946a0 R12: dffffc0000000000 [ 576.397527][ C0] R13: 1ffff92000633f34 R14: 0000000000000000 R15: 0000000000000201 [ 576.397536][ C0] FS: 0000000000000000(0000) GS:ffff8880b9400000(0000) knlGS:0000000000000000 [ 576.397550][ C0] CS: 0010 DS: 0000 ES: 0000 CR0: 0000000080050033 [ 576.397561][ C0] CR2: 00007f445291f440 CR3: 000000000df32000 CR4: 00000000003506f0 [ 576.397575][ C0] DR0: 0000000000000000 DR1: 0000000000000000 DR2: 0000000000000000 [ 576.397586][ C0] DR3: 0000000000000000 DR6: 00000000fffe0ff0 DR7: 0000000000000400 [ 576.397595][ C0] Call Trace: [ 576.397601][ C0] [ 576.397606][ C0] ? nmi_cpu_backtrace+0x3c2/0x4d0 [ 576.397623][ C0] ? __pfx_lock_acquire+0x10/0x10 [ 576.397639][ C0] ? __pfx_nmi_cpu_backtrace+0x10/0x10 [ 576.397654][ C0] ? nmi_handle+0x2a/0x580 [ 576.397683][ C0] ? nmi_cpu_backtrace_handler+0xc/0x20 [ 576.397702][ C0] ? nmi_handle+0x14f/0x580 [ 576.397717][ C0] ? nmi_handle+0x2a/0x580 [ 576.397732][ C0] ? __local_bh_disable_ip+0x1c1/0x220 [ 576.397748][ C0] ? default_do_nmi+0x63/0x160 [ 576.397767][ C0] ? exc_nmi+0x121/0x210 [ 576.397785][ C0] ? end_repeat_nmi+0xf/0x53 [ 576.397806][ C0] ? queued_write_lock_slowpath+0x390/0x44a [ 576.397824][ C0] ? __local_bh_disable_ip+0x1c1/0x220 [ 576.397841][ C0] ? __local_bh_disable_ip+0x1c1/0x220 [ 576.397858][ C0] ? __local_bh_disable_ip+0x1c1/0x220 [ 576.397875][ C0] [ 576.397880][ C0] [ 576.397884][ C0] ? __local_bh_enable_ip+0x168/0x200 [ 576.397899][ C0] ? lockdep_hardirqs_on+0x99/0x150 [ 576.397920][ C0] ? batadv_purge_orig_ref+0x1be/0x1600 [ 576.397943][ C0] ? __pfx___local_bh_disable_ip+0x10/0x10 [ 576.397958][ C0] ? __local_bh_enable_ip+0x168/0x200 [ 576.397974][ C0] ? batadv_purge_orig_ref+0x150a/0x1600 [ 576.397997][ C0] ? __pfx___local_bh_enable_ip+0x10/0x10 [ 576.398015][ C0] ? batadv_purge_orig_ref+0x1be/0x1600 [ 576.398042][ C0] ? batadv_purge_orig_ref+0x1be/0x1600 [ 576.398065][ C0] ? process_scheduled_works+0x825/0x14f0 [ 576.398082][ C0] _raw_spin_lock_bh+0x1c/0x50 [ 576.398104][ C0] batadv_purge_orig_ref+0x1be/0x1600 [ 576.398132][ C0] ? __pfx_lock_acquire+0x10/0x10 [ 576.398150][ C0] ? __pfx_batadv_purge_orig_ref+0x10/0x10 [ 576.398177][ C0] ? process_scheduled_works+0x825/0x14f0 [ 576.398193][ C0] batadv_purge_orig+0x19/0x60 [ 576.398213][ C0] process_scheduled_works+0x913/0x14f0 [ 576.398242][ C0] ? __pfx_process_scheduled_works+0x10/0x10 [ 576.398261][ C0] ? assign_work+0x364/0x3d0 [ 576.398279][ C0] worker_thread+0xa60/0x1000 [ 576.398305][ C0] ? __pfx_worker_thread+0x10/0x10 [ 576.398320][ C0] kthread+0x2f0/0x390 [ 576.398337][ C0] ? __pfx_worker_thread+0x10/0x10 [ 576.398351][ C0] ? __pfx_kthread+0x10/0x10 [ 576.398369][ C0] ret_from_fork+0x4b/0x80 [ 576.398389][ C0] ? __pfx_kthread+0x10/0x10 [ 576.398406][ C0] ret_from_fork_asm+0x1a/0x30 [ 576.398436][ C0] [ 576.405391][ T29] Kernel panic - not syncing: hung_task: blocked tasks [ 576.405403][ T29] CPU: 1 PID: 29 Comm: khungtaskd Not tainted 6.8.0-rc2-next-20240202-syzkaller #0 [ 576.405421][ T29] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 01/25/2024 [ 576.405431][ T29] Call Trace: [ 576.405437][ T29] [ 576.405443][ T29] dump_stack_lvl+0x1e7/0x2e0 [ 576.405473][ T29] ? __pfx_dump_stack_lvl+0x10/0x10 [ 576.405496][ T29] ? __pfx__printk+0x10/0x10 [ 576.405523][ T29] ? vscnprintf+0x5d/0x90 [ 576.405551][ T29] panic+0x349/0x860 [ 576.405574][ T29] ? nmi_trigger_cpumask_backtrace+0x244/0x320 [ 576.405594][ T29] ? __pfx_panic+0x10/0x10 [ 576.405613][ T29] ? tick_nohz_tick_stopped+0x7b/0xc0 [ 576.405632][ T29] ? __irq_work_queue_local+0x137/0x3e0 [ 576.405670][ T29] ? preempt_schedule_thunk+0x1a/0x30 [ 576.405688][ T29] ? nmi_trigger_cpumask_backtrace+0x244/0x320 [ 576.405706][ T29] ? nmi_trigger_cpumask_backtrace+0x2d4/0x320 [ 576.405727][ T29] ? nmi_trigger_cpumask_backtrace+0x2d9/0x320 [ 576.405748][ T29] watchdog+0xfef/0xff0 [ 576.405767][ T29] ? watchdog+0x1ea/0xff0 [ 576.405787][ T29] ? __pfx_watchdog+0x10/0x10 [ 576.405802][ T29] kthread+0x2f0/0x390 [ 576.405822][ T29] ? __pfx_watchdog+0x10/0x10 [ 576.405837][ T29] ? __pfx_kthread+0x10/0x10 [ 576.405856][ T29] ret_from_fork+0x4b/0x80 [ 576.405877][ T29] ? __pfx_kthread+0x10/0x10 [ 576.405895][ T29] ret_from_fork_asm+0x1a/0x30 [ 576.405928][ T29] [ 576.412525][ T29] Kernel Offset: disabled [ 576.915419][ T29] Rebooting in 86400 seconds..