00000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000", 0x17c, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 988.022034][T13231] loop4: detected capacity change from 0 to 7 [ 988.072988][T13233] loop1: detected capacity change from 0 to 200 05:45:17 executing program 3: syz_genetlink_get_family_id$ethtool(0x0, 0xffffffffffffffff) syz_genetlink_get_family_id$netlbl_mgmt(0x0, 0xffffffffffffffff) [ 988.123943][T13235] Dev loop4: unable to read RDB block 7 [ 988.129786][T13235] loop4: unable to read partition table [ 988.164052][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random 05:45:17 executing program 0: syz_mount_image$affs(&(0x7f0000000000), &(0x7f0000000040)='./file0\x00', 0x0, 0x0, &(0x7f0000001400), 0x9a18c2, &(0x7f00000015c0)=ANY=[]) [ 988.210001][T13235] loop4: partition table beyond EOD, truncated [ 988.223463][T13233] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 988.228134][T13231] Dev loop4: unable to read RDB block 7 [ 988.239879][T13231] loop4: unable to read partition table [ 988.253832][T13231] loop4: partition table beyond EOD, truncated [ 988.260331][T13231] loop_reread_partitions: partition scan of loop4 () failed (rc=-5) [ 988.288143][ T2845] Dev loop4: unable to read RDB block 7 [ 988.294355][ T2845] loop4: unable to read partition table [ 988.312264][ T2845] loop4: partition table beyond EOD, truncated [ 988.313356][T13233] NILFS (loop1): invalid segment: Checksum error in segment payload [ 988.327157][T13233] NILFS (loop1): unable to fall back to spare super block [ 988.334581][T13233] NILFS (loop1): error -22 while searching super root [ 988.337718][T13237] loop2: detected capacity change from 0 to 264192 [ 988.613266][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to read reg index 0x0000: -32 [ 988.623876][ T9650] asix: probe of 6-1:0.0 failed with error -32 05:45:19 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x18, &(0x7f0000000040), 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:45:19 executing program 2: r0 = socket$l2tp(0x2, 0x2, 0x73) ioctl$ifreq_SIOCGIFINDEX_team(r0, 0x541b, &(0x7f0000000000)) 05:45:19 executing program 4: geteuid() syz_read_part_table(0x2, 0x7, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84f4611a6ab2c706a76069f99e75055b881531c7da9ab09d051b6a1bf6773372a3370d7058473510aadea9e13b0782fc8d02eb797f7631cc16bc39c732e975bc439952b7795259e7e571c39ddbe7088b036a5b35c6e523c416fb9a18ad37057e94f07f5aeb3a27c1593c780c6dbfd064ece8d7ed451119305587f8843273dd22f3fdc7bae27c0ece8d67698ee4c15a58385e36bbfa352884200a4f9872b205dfe6a279952648da5f870ee9e28dfa447347340136ba1cd2411bfa066556194657c8c8a8064bf798acf0a606b8eb991ebae6428903a72d3afa2bf3d2346ebb0c12ba16a2c8ed177455d7b6c04ed715b44cf635e9cb1c001438724b904ef99ad3dd5e711c861f5ec3258c7bb8e000bc5bb5ae4fba6b0dd31db4f552fda6a3c0969bc098f15979b174972b1a49e1e9d22f27daaf4e399a9a02bf7e5a0456da5f3418c274bcd70cf001e2565881290018a1df026c17a8b63b36d650cb8716621917295ac897e9f71a686d4642180bf9fe3aa001ea3f91973476b1590c2e10dadf90102a819238b699906192a2ed2b9976ed8de94b585a9e629c30c0c78a56702301153c292939fee7bc49fa1816b40522c213974814f0caabcbb52603e42eee16106793fcec022e550bfcf65e364a119b9d9a556f27ecff1c9dc77fe0a3de3fee59387b022d3a03a59c6aed3414cb55dfe8ecb612e270d269ee4e3a54175003c780c2291d930c116c3fb8c1d1810eb42b9d57eb5fe4ed643d6016a532cb925047b85b80a26bcf8991a05b56bc1ba7bb54f4215e8225d823c04945154b7fc9b435b1173b74274027f029639d46c98e2d7cb95da1984a73b580ac05221df35f7569e825d46386815a7e41dd36b9c5268b68749f3f8b907184a8b86d89b05d0f832c70ded80e5b97d9cd45208f02ee69175ddb1e1315fb12aee766a71dcc6a1f140e3331273b03d2adf84a82a28364663d79ae0580985ef01e21acd2449531c3ec0ba1570316a2002bf16c25f29e1051edbe7bfc4f6bea321348856083efe369bdeebe91981d2a09690dea2b2ee86f889f9a479296b1bd286f2b2abd743ccc867d5bbfcf8f2fa709b8adc8755d2436a54b473bc7f62b544d28e3ae5bb2c737d727fb83651f936630e5fcea50bcabebb72c6f029f9d0aa512fdc48bcc4f28f08a62c767ae37004b13f0971621fcd69a57de6981a84d253f43403c289caf2d95c229005a18cfbe1f6ad278a53981dafef31cd01e96758ecf935578323730346068e72203353830e50922f840e387d29247e2b2544b8d2648f531db8cc47b9359c8c94c759e92cfed0803b0cabcff9ff56a38b6ee369d3ee4b90de4ce9b4d299ac67f55a309cd1781550b878ef2155ca12ac227aca24143a83a35a1a2c53221aeeb317ecea67ee1a3a1cba3a91803711a7de0ab7ca7dcf078c86c063eaaf2b93fdfaba1b083bbc0ebbb102c7425d68bf1d2a9406e5618a41f72b48462ee79425224bc5bafcec4e22d83a333e0a5b9e8114873c595d8d54780654d83c3693160892ba586a87da1ca44a8b8992e780064f446694bb31dc11a701e7cdee6507613269d1e5e2baac6e03150b080f4e96e303c49eec6584578b4ab83a7328e4a6c4c6d4f3bd42b9f39e05e5925f5d488f34cdb1bbcdb4361b75e0908ca6b3d552e079c477c94ca8fc0b46770e65b3f2a0a4421864583aa1737dd42a388afb5ecdaf2ff6ad420dcc29923c03f384ec20555124f252ef4f5e2945e8905fec3f417a3736c2457330585b09ddb81087bc131c8218ac6480d9ce1bc79f45288314bc6e46d902f8c13dca3e3dfc325c1b788e02e571f7d595aa6311c1ab10adcbcffb9ba074fdedd4b97726dbba44a6321aa558d8a933fe33757fe39ae1440cb289463949d52cf3631562df6ff51ea7337aef152a1e828c5ec183f2f5ff3b4d4e257a3b5cceb72a1291b424c692329cb45534374acb971e5592621d61b2e59345fdba6b0a5076344712c78d9dee4917f92834af657039abe3e73c8aadff25b1db0c124b1e4d73ee2238a7b610371f9a0e08d392bc95e7ab0fe3d18b5fff8a7ac0ef8ee589f064a87a09b79d55647141b2ee836c7d8e61b3bf2b6515068b716b76a86f239b57a374832292d137967abfe3ea77cea35ba4ed7ab7f92abf9920d5d943f3cc521e95413beab2fb1aa81f70253ee4a66dad8c9e57a4a7ae2c899d1098f52d50ac81e9fd2fc6474499d70bc916baf14165b3111058aedd9b515f6d9eb06e7dc763c75c5beb2ddd6dfdd4fe0e4754198d4ae1a327ab3d9321e6ec7bdf0b1e2a1ab928194c97570d4ff1a1a03e149072d2ea69e94b2107f33e66bdbd7ae2e34cd85c7d175cd9428ea04039fd18d1404958fc2ed7b28d94a01bf5944311442fcb4aa8082a92066bb1593ef88abf44b29c288a52a418a96404c95d222eed78631034d52667dd9dba903f9e650a928a1f8661e94e8f411dfe6142d81daef47aa795483342722f06692f031aec1dc0b8cf3793f14d137128c94e18c1d8232173b94d683867d37e2a13b3f47136978699cbe4980e7a82fd7bdd5e30cf34d0aa6b83fc83d6545ace9c13ce39ea307d120cb95a7e85aee4d93c8dff9d2d2a36e8085825287828088450a0f9e779197fe54ad37affd0003bdd25a939a50d42fd8122f3c260665dda7465656bd12e2938974789211f8cb0fdaef70a68deeb124f7bfe102c2347e847ce04a82ecef8bb63ef95883c1571906b5ad2492619919436bfa21789833e19124ac7e0740001043b769c3344e5693a9489639a5ea7486a45c8d4ceede8e7318cc6aa5d98db5b8fced332bc9a6b006c44bd7fffd7f1f273f84080447a90c26f72d653e57bff312135a81caf570ac86cfe660642f3ccf240adf8dcdb0a45d1653b35a9d07f6e5fe162212a475c09fe2012d351fb9f03f40bb1687618b16e987322fcf7236ab3a540868388fee07a12381809fa0128d4c55b9bf3ca6bece5d6391367fbdf6f3733a7da1ac28016c8842d05733c49cbb98099ef49394e9fdd1e755167b7d894544d1cb322b017aa200de2ec32190d13885acb39d19c74e9cd9176ae2d753e4ef2b5e6eb5626ff6181e668f8a06cd243802e565a244e035f9feccdec5678bfb723fe9fa28e03cba4c474d140bd786b126aec8ce33694c64e0e0d72773bd070da5058f8985a695791d842d08cbdce9d9c0f99090aa1c67f84f6d827c91e0ffe90282bff9cd990c9ad76bf9a11f07af3f17183744279c7e7fa0f02f30ef4c1b79c22b57cfff2ab690384a8857dd4a9be99dc807eceea844a8c3120560da09df23b2d68fe9e3b780c7a9abd27c430eb687642c14434f13b2e4713cc94571e73190443c0e32c54b2f1ffde32e9aa4c3149e8210f56c94dd41fe85f30579b2f86f03e4ce0182079152de6ae479172cd35160963891dc72ea6bcab708468b18845e736fdb8d7dd369ae6e37f6c864feaab64cb7c1ceccef3be5c17e9a9eefd594166c9cc99ae3b94f7c9033fa018ab01ffb254b466fc10c581c62c0b225f55a622d43c308425143acfb5d5dabf0b116e36494fb572a874967a958ae9c09a9e8165fd6c84bafcf8a039f295068743729b67261821bc62af4ef11a5cdb9fc1e4e9e0ef040285cefaeead1ccd5339977c628f206ee49cfb45d4b19941fac6254c28323289e56c5f5201972ea59cad75137da065e43da1614f2e8ff29d3cfa2ffe18166757a77406ec519082290f80bb0a6d5166e606846edbf93fcd37d1336e2f542cb0a848026177f3953019e68f433afd1b25f422489170a681c69c7f116a9e79f0afd9bea41fa1501d9736bdb89c72ab896ebc0c4944db979277ccc9a88ffe4bca0a9bff23f7079173d524ac06bf6239931d104a5678f764e3879611b4f17062ea940691d12a1ed0ed2be639fe1e816ea3366c96fbbe06a0afb97377416d2886ac854bf5ac5dfeab85dc277152c93b0b63b98d9aeb051146a3999271c47399eb4b1e5a06b15ef0a30a95eec1bcd08f6d44f349e09f69058fa4fb1adac5a0c35ce36970c7ed3555bf3c537194cb42d31ac5de3212fe770118712c158db46683136c308e102d4e3adfe82d695cd70c20691c17e8eb2bb670b4399a812bf29fafdc14fd99ab83846187523351e056b142c912b68d38b6c15c0c3e11614a4c8cf3c8ff56535d20e6dcf8a30c614996d5ab89d266e29af2e910dc7e54aaf3521fbae5c49db28cef65999e8992460fa352cc2f95b22b9b55fd34dba16c345503a1def929d15a005286f20c82ca35edb327beb588f3f78fbf9848c59d889c6aa2af96c745ea24157ed8e491979328effd9147d3fb67f158d26b41bcf7adc5c15040128c722d76173916aa090fa6d9329a7f75999dafd2c0f2d2247279369111110276a2418133de15b393c5357ac5c9d5e71f09a6231ef36051dbc044b73d323f69d449083262fd1fe7174b1b0622acc13701fa4984624a82cc8d2bfa44f9092ecbf60148102a6eaa16952dc106edbac37ef23efc83e23696d9691310a42e1815a2e9850b9cabe98808d2e32ae3be0ecf600a943ee38404be70055ceb30495b6984fdc1f12a0e5691df3ab16e97643bae5c7d1c5cba9436fbcb6ec95bc163897dc2b6e8d03dfa3e0cdde0da1bcb52b3a83eaefa1f1df3a2a1d32d73d31f39574851a1af5552a2abaf60e8665cc4464507155b203601e67d6a4a608709545cd17febdd11ee8767255fea0cc5821c59cc70c289a5a99267cc90308b8d90a5c88e340ad2602d9441a3eb11b3019e5f608f2fa26129e8ae282f8c1c64438eb8f207370e7dbb8ee218cb416efd61ac6c289ffb7a7537f295cd4df2212dbad4ad87f7106b224d18a38b23a9837f97c1d906a5e9ae293af49200b9d7af964a28ebd1b36b96dbd5987d4bcf7bfc8895669238e96af8bdaf634dbfaa509921440f40716275527366d4bcf3a142e94ffd7a548d206789ee19d9da153a1d1293744befc366cb5e389209f65617cb5d42b10cbdb1ca4ab09bc9af6d66a10f58da2efb7d159f409c079e40727b24897edbd0803458d02ce3db741647ed9584b03d66cb07b79864b20b1fbde89ed5e2f3e8fa195f53f0343c1b72c41a22bd1284c5be31b6716d7e5fbba37703a2ca53923d0e57c6838bb5f2c05b542523f14c33d7408a3aca033", 0xff8, 0x4}, {0x0, 0x0, 0x1ff}, {&(0x7f00000013c0)="eb9d24c0512a98bd4ff4b203671c47729949ecbb710c99fc2652aa5ccae24ae8f74cf61ac0e2f3365f4d1ce57ca422f8a9141cd34cb7ce8c1b2eb24fba0be482f9af36ed189847a19ac1d49cac17aa644ad8116002d911ddbdd57a215ae06d0c35234aa3414c08fc12889e6afc8fa8", 0x6f, 0x20}, {0x0, 0x0, 0x1f}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:45:19 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000", 0x17c, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:45:19 executing program 3: pipe2$watch_queue(&(0x7f00000006c0), 0x80) bpf$BPF_LINK_CREATE_XDP(0x1c, 0x0, 0x0) 05:45:19 executing program 0: bpf$BPF_LINK_CREATE(0x1c, &(0x7f0000000380), 0x10) [ 990.210206][ T114] usb 6-1: USB disconnect, device number 6 05:45:19 executing program 2: r0 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) syz_genetlink_get_family_id$netlbl_mgmt(&(0x7f0000000180), r0) 05:45:19 executing program 3: syz_mount_image$affs(&(0x7f00000001c0), &(0x7f0000000200)='./file0\x00', 0x0, 0x2, &(0x7f0000000540)=[{&(0x7f0000000240)="24b55236dde99c606e84e095a0221959d4513c255c8beea27acacf2b2aef585774cd1a9753cd54e834bbf968edcd0291db1a1dc1269375081758c0f6d7aab3be08367f09b61d75a6fac71b3fc444be927d551b0305513f6ce8b64b7b408de35b0f08fcded38d6c902f4ef37bee49aa033a5a3c9db5cbe8034106c5b6261c6c9002b0e743d36b11d9abc8c7d0b3f66d15a9b4", 0x92, 0xffffffffffff806a}, {&(0x7f0000000300)="f8fb8451b729fa40222183c12f03c69bfc031a673c8a24840339eba5e4c0af6b71f77f97fe33041b14eb82e94e1139435d724fe2a82ae359e0723e87423df312fa9698e1a2ffc0f55ad4c173ed6378330439aa772041a7a51a6a2e040f3b942e56653e677ccb97241d68e80a5472c01e323412954104d16419e51167218ba9b33021e8f51e59091b821ac93fd178b28c4f3aab8e8e4d9081ac5dece4f4f942faf104753f080bb92ae7396eb3fa8ccb9f5cba67dd1745976211035c829feec58027437dc381", 0xc5, 0x7fffffffffffffff}], 0x10002, &(0x7f00000005c0)={[{'\x00'}], [{@fsname={'fsname', 0x3d, '\x00'}}]}) [ 990.344896][T13254] loop1: detected capacity change from 0 to 200 [ 990.381172][T13255] loop4: detected capacity change from 0 to 7 05:45:19 executing program 0: openat$damon_kdamond_pid(0xffffffffffffff9c, 0x0, 0x0, 0x0) syz_genetlink_get_family_id$ethtool(0x0, 0xffffffffffffffff) [ 990.450601][T13256] Dev loop4: unable to read RDB block 7 [ 990.457330][T13256] loop4: unable to read partition table [ 990.479771][T13256] loop4: partition table beyond EOD, truncated [ 990.503419][T13254] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 990.568130][T13255] Dev loop4: unable to read RDB block 7 [ 990.574342][T13255] loop4: unable to read partition table [ 990.586387][T13254] NILFS (loop1): invalid segment: Checksum error in segment payload [ 990.594727][T13254] NILFS (loop1): unable to fall back to spare super block [ 990.602010][T13254] NILFS (loop1): error -22 while searching super root [ 990.611173][T13255] loop4: partition table beyond EOD, truncated [ 990.618018][T13255] loop_reread_partitions: partition scan of loop4 () failed (rc=-5) [ 990.686677][ T2845] ldm_validate_partition_table(): Disk read failed. [ 990.693776][ T2845] Dev loop4: unable to read RDB block 0 [ 990.699541][ T2845] loop4: unable to read partition table [ 990.718370][ T2845] loop4: partition table beyond EOD, truncated 05:45:19 executing program 4: syz_read_part_table(0x2, 0x7, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="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", 0xff8, 0x4}, {0x0, 0x0, 0x1ff}, {&(0x7f00000013c0)="eb9d24c0512a98bd4ff4b203671c47729949ecbb710c99fc2652aa5ccae24ae8f74cf61ac0e2f3365f4d1ce57ca422f8a9141cd34cb7ce8c1b2eb24fba0be482f9af36ed189847a19ac1d49cac17aa644ad8116002d911ddbdd57a215ae06d0c35234aa3414c08fc12889e6afc8fa8", 0x6f, 0x20}, {0x0, 0x0, 0x1f}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:45:19 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff0000", 0x23a, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:45:19 executing program 2: r0 = openat$pidfd(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) pidfd_send_signal(r0, 0x0, &(0x7f0000000180), 0x0) [ 990.854019][ T114] usb 6-1: new high-speed USB device number 7 using dummy_hcd [ 990.865174][T13263] loop3: detected capacity change from 0 to 264192 [ 990.968910][T13263] affs: No valid root block on device loop3 [ 991.113143][ T114] usb 6-1: Using ep0 maxpacket: 8 [ 991.114624][T13266] loop4: detected capacity change from 0 to 7 [ 991.141780][T13269] loop1: detected capacity change from 0 to 200 [ 991.190468][T13269] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 991.223650][T13271] Dev loop4: unable to read RDB block 7 [ 991.229541][T13271] loop4: unable to read partition table [ 991.232942][ T114] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 991.245141][ T114] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 991.260241][T13269] NILFS (loop1): invalid segment: Checksum error in segment payload [ 991.268625][T13269] NILFS (loop1): unable to fall back to spare super block [ 991.276083][T13269] NILFS (loop1): error -22 while searching super root [ 991.288954][T13271] loop4: partition table beyond EOD, truncated [ 991.306624][T13266] Dev loop4: unable to read RDB block 7 [ 991.312766][T13266] loop4: unable to read partition table [ 991.321007][ T114] usb 6-1: config 0 descriptor?? [ 991.324310][T13266] loop4: partition table beyond EOD, truncated [ 991.332751][T13266] loop_reread_partitions: partition scan of loop4 () failed (rc=-5) [ 991.583185][ T114] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 992.033256][ T114] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to read reg index 0x0000: -61 [ 992.043845][ T114] asix: probe of 6-1:0.0 failed with error -61 05:45:22 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x18, &(0x7f0000000040), 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:45:22 executing program 0: socket(0x10, 0x3, 0x10001) 05:45:22 executing program 3: r0 = socket$inet6_sctp(0xa, 0x5, 0x84) sendmsg$sock(r0, &(0x7f0000000700)={&(0x7f0000000000)=@xdp, 0x80, &(0x7f0000000840)=[{&(0x7f0000000080)="d0", 0x1}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}], 0x9, &(0x7f00000006c0)=[@timestamping={{0x14}}, @timestamping={{0x14}}], 0x30}, 0x0) 05:45:22 executing program 2: socketpair(0x22, 0x0, 0x1, &(0x7f0000000040)) 05:45:22 executing program 4: syz_read_part_table(0x0, 0x7, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="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", 0xff8, 0x4}, {0x0, 0x0, 0x1ff}, {&(0x7f00000013c0)="eb9d24c0512a98bd4ff4b203671c47729949ecbb710c99fc2652aa5ccae24ae8f74cf61ac0e2f3365f4d1ce57ca422f8a9141cd34cb7ce8c1b2eb24fba0be482f9af36ed189847a19ac1d49cac17aa644ad8116002d911ddbdd57a215ae06d0c35234aa3414c08fc12889e6afc8fa8", 0x6f, 0x20}, {0x0, 0x0, 0x1f}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:45:22 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff0000", 0x23a, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 993.570604][ T9650] usb 6-1: USB disconnect, device number 7 05:45:22 executing program 2: r0 = socket$inet_udplite(0x2, 0x2, 0x88) ioctl$ifreq_SIOCGIFINDEX_team(r0, 0x8933, &(0x7f0000000040)) [ 993.700748][T13282] loop4: detected capacity change from 0 to 7 [ 993.722777][T13283] loop1: detected capacity change from 0 to 200 05:45:22 executing program 0: clock_gettime(0x4, &(0x7f0000000580)) 05:45:22 executing program 3: r0 = socket$l2tp(0x2, 0x2, 0x73) ioctl$ifreq_SIOCGIFINDEX_team(r0, 0x80086601, 0x0) [ 993.774996][T13285] Dev loop4: unable to read RDB block 7 [ 993.780891][T13285] loop4: unable to read partition table [ 993.818004][T13283] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 993.864491][T13285] loop4: partition table beyond EOD, truncated [ 993.888185][T13282] Dev loop4: unable to read RDB block 7 [ 993.894182][T13282] loop4: unable to read partition table [ 993.935027][T13282] loop4: partition table beyond EOD, [ 993.935010][T13283] NILFS (loop1): invalid segment: Checksum error in segment payload [ 993.935108][T13283] NILFS (loop1): unable to fall back to spare super block [ 993.940490][T13282] truncated [ 993.940571][T13282] loop_reread_partitions: partition scan of loop4 () failed (rc=-5) [ 993.948703][T13283] NILFS (loop1): error -22 while searching super root [ 993.977859][ T2845] Dev loop4: unable to read RDB block 7 [ 993.983946][ T2845] loop4: unable to read partition table [ 993.991920][ T2845] loop4: partition table beyond EOD, truncated 05:45:23 executing program 4: syz_read_part_table(0x0, 0x0, 0x0) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:45:23 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff0000", 0x23a, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:45:23 executing program 3: r0 = openat$vicodec0(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) ioctl$VIDIOC_G_AUDOUT(r0, 0xc058565d, &(0x7f0000000040)) [ 994.222800][ T114] usb 6-1: new high-speed USB device number 8 using dummy_hcd [ 994.453770][T13298] loop1: detected capacity change from 0 to 200 [ 994.464376][ T114] usb 6-1: Using ep0 maxpacket: 8 [ 994.500473][T13298] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 994.576831][T13298] NILFS (loop1): invalid segment: Checksum error in segment payload [ 994.585986][T13298] NILFS (loop1): unable to fall back to spare super block [ 994.593436][T13298] NILFS (loop1): error -22 while searching super root [ 994.609871][ T114] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 994.619216][ T114] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 994.667631][ T114] usb 6-1: config 0 descriptor?? [ 994.933346][ T114] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 995.353038][ T114] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to read reg index 0x0000: -61 [ 995.363610][ T114] asix: probe of 6-1:0.0 failed with error -61 05:45:25 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x18, &(0x7f0000000040), 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:45:25 executing program 0: r0 = socket$nl_generic(0x10, 0x3, 0x10) sendmsg$ETHTOOL_MSG_DEBUG_GET(r0, &(0x7f0000000400)={0x0, 0x0, &(0x7f00000003c0)={&(0x7f0000000340)={0x14}, 0x21}}, 0x0) 05:45:25 executing program 2: pselect6(0x2a00, 0x0, &(0x7f0000001a40), &(0x7f0000001a80), &(0x7f0000001b00), 0x0) 05:45:25 executing program 3: syz_clone(0x46200400, 0x0, 0x0, 0x0, 0x0, 0x0) syz_clone3(&(0x7f0000000340)={0x0, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 05:45:25 executing program 4: syz_read_part_table(0x0, 0x0, 0x0) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:45:25 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff00", 0x299, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 996.923785][ T9650] usb 6-1: USB disconnect, device number 8 [ 997.048209][T13311] loop1: detected capacity change from 0 to 200 05:45:26 executing program 0: syz_clone(0x80204080, 0x0, 0x0, 0x0, 0x0, 0x0) 05:45:26 executing program 2: openat$dlm_monitor(0xffffffffffffff9c, &(0x7f0000000100), 0x1e142, 0x0) [ 997.280147][T13311] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 997.303192][T13311] NILFS (loop1): invalid segment: Checksum error in segment payload [ 997.311394][T13311] NILFS (loop1): unable to fall back to spare super block [ 997.318764][T13311] NILFS (loop1): error -22 while searching super root 05:45:26 executing program 4: syz_read_part_table(0x0, 0x0, 0x0) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:45:26 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff00", 0x299, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 997.484005][ T9650] usb 6-1: new high-speed USB device number 9 using dummy_hcd 05:45:26 executing program 3: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) r0 = getpid() sched_setscheduler(r0, 0x1, &(0x7f0000001700)=0x2) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, 0x0, 0x0) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, 0x0, 0x0, 0x2, 0x0) syz_mount_image$tmpfs(0x0, &(0x7f0000000080)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) r3 = openat$fb0(0xffffffffffffff9c, &(0x7f0000000840), 0x0, 0x0) ioctl$FBIOPUT_VSCREENINFO(r3, 0x4601, &(0x7f0000000000)={0x20, 0x30, 0x140, 0xa0}) [ 997.723959][ T9650] usb 6-1: Using ep0 maxpacket: 8 05:45:26 executing program 2: r0 = socket$l2tp(0x2, 0x2, 0x73) ioctl$ifreq_SIOCGIFINDEX_team(r0, 0x8903, &(0x7f0000000000)) [ 997.844310][ T9650] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 997.853860][ T9650] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 997.881946][T13329] loop1: detected capacity change from 0 to 200 [ 997.934917][ T9650] usb 6-1: config 0 descriptor?? [ 997.994075][T13329] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 998.059979][T13329] NILFS (loop1): invalid segment: Checksum error in segment payload [ 998.068531][T13329] NILFS (loop1): unable to fall back to spare super block [ 998.076029][T13329] NILFS (loop1): error -22 while searching super root [ 998.193863][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 998.643776][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to read reg index 0x0000: -61 [ 998.654300][ T9650] asix: probe of 6-1:0.0 failed with error -61 05:45:29 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:45:29 executing program 0: r0 = socket$inet(0x2, 0xa, 0x0) sendmsg$sock(r0, &(0x7f00000002c0)={&(0x7f0000000040)=@pppol2tpv3in6={0x18, 0x1, {0x0, 0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0, {0xa, 0x0, 0x0, @mcast2}}}, 0x80, 0x0}, 0x0) 05:45:29 executing program 4: syz_read_part_table(0x0, 0x6, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="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", 0xff8, 0x4}, {0x0, 0x0, 0x1ff}, {&(0x7f00000013c0)="eb9d24c0512a98bd4ff4b203671c47729949ecbb710c99fc2652aa5ccae24ae8f74cf61ac0e2f3365f4d1ce57ca422f8a9141cd34cb7ce8c1b2eb24fba0be482f9af36ed189847a19ac1d49cac17aa644ad8116002d911ddbdd57a215ae06d0c35234aa3414c08fc12889e6afc8fa8", 0x6f, 0x20}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:45:29 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff00", 0x299, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:45:29 executing program 2: r0 = socket$inet6_udp(0xa, 0x2, 0x0) sendmsg$sock(r0, &(0x7f0000000000)={&(0x7f00000003c0)=@in6={0xa, 0x4e1f, 0x0, @private1}, 0x80, 0x0}, 0x0) 05:45:29 executing program 3: socketpair(0x22, 0x0, 0x8000000b, &(0x7f0000000740)) [ 1000.188946][ T9650] usb 6-1: USB disconnect, device number 9 [ 1000.308446][T13347] loop1: detected capacity change from 0 to 200 [ 1000.317691][T13344] loop4: detected capacity change from 0 to 7 05:45:29 executing program 3: syz_open_dev$vcsa(&(0x7f0000001080), 0x1f, 0x0) 05:45:29 executing program 2: r0 = openat$binderfs(0xffffffffffffff9c, &(0x7f0000000180)='./binderfs/binder1\x00', 0x0, 0x0) ioctl$BINDER_SET_CONTEXT_MGR(r0, 0x40046207, 0x0) 05:45:29 executing program 0: r0 = socket$phonet_pipe(0x23, 0x5, 0x2) sendmsg$sock(r0, &(0x7f0000000480)={0x0, 0x0, 0x0}, 0x0) [ 1000.368273][T13348] Dev loop4: unable to read RDB block 7 [ 1000.374360][T13348] loop4: unable to read partition table [ 1000.405485][T13348] loop4: partition table beyond EOD, truncated [ 1000.433465][T13344] Dev loop4: unable to read RDB block 7 [ 1000.439359][T13344] loop4: unable to read partition table [ 1000.491294][T13344] loop4: partition table beyond EOD, truncated [ 1000.498816][T13344] loop_reread_partitions: partition scan of loop4 () failed (rc=-5) [ 1000.523753][T13347] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1000.586779][T13347] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1000.595113][T13347] NILFS (loop1): unable to fall back to spare super block [ 1000.602577][T13347] NILFS (loop1): error -22 while searching super root 05:45:29 executing program 4: syz_read_part_table(0x0, 0x6, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="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", 0xff8, 0x4}, {0x0, 0x0, 0x1ff}, {&(0x7f00000013c0)="eb9d24c0512a98bd4ff4b203671c47729949ecbb710c99fc2652aa5ccae24ae8f74cf61ac0e2f3365f4d1ce57ca422f8a9141cd34cb7ce8c1b2eb24fba0be482f9af36ed189847a19ac1d49cac17aa644ad8116002d911ddbdd57a215ae06d0c35234aa3414c08fc12889e6afc8fa8", 0x6f, 0x20}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:45:29 executing program 0: r0 = socket$l2tp(0x2, 0x2, 0x73) ioctl$ifreq_SIOCGIFINDEX_team(r0, 0x890b, 0x0) 05:45:29 executing program 3: syz_emit_ethernet(0x22, &(0x7f0000000040)={@local, @link_local, @val={@void, {0x4305}}, {@can={0xc, {{}, 0x0, 0x0, 0x0, 0x0, "b73f9c371b688360"}}}}, 0x0) [ 1000.912701][ T9650] usb 6-1: new high-speed USB device number 10 using dummy_hcd [ 1000.994213][T13359] loop4: detected capacity change from 0 to 7 [ 1001.047738][ T2845] Dev loop4: unable to read RDB block 7 [ 1001.053796][ T2845] loop4: unable to read partition table [ 1001.069476][ T2845] loop4: partition table beyond EOD, truncated [ 1001.087204][T13359] Dev loop4: unable to read RDB block 7 [ 1001.093481][T13359] loop4: unable to read partition table [ 1001.111351][T13359] loop4: partition table beyond EOD, truncated [ 1001.118217][T13359] loop_reread_partitions: partition scan of loop4 () failed (rc=-5) [ 1001.138242][T13361] Dev loop4: unable to read RDB block 7 [ 1001.144731][T13361] loop4: unable to read partition table [ 1001.153105][ T9650] usb 6-1: Using ep0 maxpacket: 8 [ 1001.191100][T13361] loop4: partition table beyond EOD, truncated [ 1001.275154][ T9650] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1001.284738][ T9650] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1001.321995][ T9650] usb 6-1: config 0 descriptor?? [ 1001.603775][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 1004.263221][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1004.273610][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1004.286216][ T9650] asix: probe of 6-1:0.0 failed with error -71 [ 1004.315458][ T9650] usb 6-1: USB disconnect, device number 10 05:45:33 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:45:33 executing program 2: r0 = syz_clone(0x46200400, 0x0, 0x0, 0x0, 0x0, 0x0) syz_clone3(&(0x7f0000000340)={0x2000000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, &(0x7f0000000300)=[r0], 0x1}, 0x58) 05:45:33 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff0000000000000000270000000000000002", 0x2c9, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:45:33 executing program 0: r0 = socket$inet6_udp(0xa, 0x2, 0x0) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(r0, 0x89f0, &(0x7f00000002c0)={'ip6gre0\x00', &(0x7f0000000240)={'ip6tnl0\x00', 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @local, @mcast1, 0x700}}) 05:45:33 executing program 3: rt_sigqueueinfo(0x0, 0x0, &(0x7f00000000c0)) 05:45:33 executing program 4: syz_read_part_table(0x0, 0x5, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="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", 0xff8, 0x4}, {0x0, 0x0, 0x1ff}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1004.850985][T13370] loop4: detected capacity change from 0 to 7 [ 1004.881834][T13371] loop1: detected capacity change from 0 to 200 05:45:34 executing program 3: clock_gettime(0x0, &(0x7f0000001ac0)={0x0, 0x0}) pselect6(0x40, &(0x7f0000001a00), &(0x7f0000001a40), &(0x7f0000001a80)={0x1ff}, &(0x7f0000001b00)={0x0, r0+10000000}, &(0x7f0000001b80)={&(0x7f0000001b40)={[0x1]}, 0x8}) 05:45:34 executing program 0: r0 = openat$vicodec0(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) ioctl$VIDIOC_G_AUDOUT(r0, 0x5421, &(0x7f0000000040)) [ 1004.955682][T13374] Dev loop4: unable to read RDB block 7 [ 1004.961511][T13374] loop4: unable to read partition table [ 1004.991378][T13371] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1005.040657][T13374] loop4: partition table beyond EOD, truncated [ 1005.089970][T13371] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1005.098734][T13371] NILFS (loop1): unable to fall back to spare super block [ 1005.106188][T13371] NILFS (loop1): error -22 while searching super root [ 1005.130573][T13370] Dev loop4: unable to read RDB block 7 [ 1005.136542][T13370] loop4: unable to read partition table [ 1005.189075][T13370] loop4: partition table beyond EOD, truncated [ 1005.195774][T13370] loop_reread_partitions: partition scan of loop4 () failed (rc=-5) 05:45:34 executing program 3: r0 = openat$vsock(0xffffffffffffff9c, &(0x7f0000002200), 0x0, 0x0) fsconfig$FSCONFIG_SET_PATH(r0, 0x3, &(0x7f0000000000)='*@-}\'\xaf(%.\x00', &(0x7f0000000040)='./file0\x00', 0xffffffffffffff9c) [ 1005.350614][ T2845] I/O error, dev loop4, sector 0 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 1005.360451][ T2845] Buffer I/O error on dev loop4, logical block 0, async page read [ 1005.368934][ T2845] ldm_validate_partition_table(): Disk read failed. [ 1005.375835][ T2845] Dev loop4: unable to read RDB block 0 [ 1005.381596][ T2845] loop4: unable to read partition table [ 1005.387701][ T114] usb 6-1: new high-speed USB device number 11 using dummy_hcd 05:45:34 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff0000000000000000270000000000000002", 0x2c9, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:45:34 executing program 0: r0 = socket$l2tp(0x2, 0x2, 0x73) ioctl$sock_SIOCGIFINDEX(r0, 0x8933, &(0x7f0000000500)) [ 1005.463590][ T2845] loop4: partition table beyond EOD, truncated 05:45:34 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="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", 0xff8, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1005.633216][ T114] usb 6-1: Using ep0 maxpacket: 8 [ 1005.763165][ T114] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1005.772564][ T114] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1005.794568][T13389] loop1: detected capacity change from 0 to 200 [ 1005.844597][ T114] usb 6-1: config 0 descriptor?? [ 1005.883060][T13391] loop4: detected capacity change from 0 to 7 [ 1005.894171][T13389] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1005.916263][T13389] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1005.924569][T13389] NILFS (loop1): unable to fall back to spare super block [ 1005.931854][T13389] NILFS (loop1): error -22 while searching super root [ 1005.965638][T13391] Dev loop4: unable to read RDB block 7 [ 1005.971558][T13391] loop4: unable to read partition table [ 1006.069581][T13391] loop4: partition table beyond EOD, truncated [ 1006.076383][T13391] loop_reread_partitions: partition scan of loop4 () failed (rc=-5) [ 1006.093923][ T114] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 1006.191852][ T2845] Dev loop4: unable to read RDB block 7 [ 1006.197807][ T2845] loop4: unable to read partition table [ 1006.221714][ T2845] loop4: partition table beyond EOD, truncated [ 1008.712864][ T114] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1008.723542][ T114] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1008.735494][ T114] asix: probe of 6-1:0.0 failed with error -71 [ 1008.766284][ T114] usb 6-1: USB disconnect, device number 11 05:45:38 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:45:38 executing program 3: bpf$PROG_LOAD_XDP(0x5, &(0x7f0000000340)={0x6, 0x1, &(0x7f0000000040)=@raw=[@ldst={0x0, 0x0, 0x1}], &(0x7f0000000080)='syzkaller\x00', 0x3, 0x88, &(0x7f00000000c0)=""/136, 0x0, 0x0, '\x00', 0x0, 0x25, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) 05:45:38 executing program 2: bpf$MAP_CREATE(0x0, &(0x7f00000003c0)=@base={0x1}, 0x48) 05:45:38 executing program 0: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000040), 0x0, 0x0) ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) openat$vicodec0(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) 05:45:38 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff0000000000000000270000000000000002", 0x2c9, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:45:38 executing program 4: syz_read_part_table(0x0, 0x3, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:45:38 executing program 2: r0 = socket$l2tp(0x2, 0x2, 0x73) ioctl$ifreq_SIOCGIFINDEX_team(r0, 0x8917, &(0x7f0000000000)) [ 1009.322905][T13401] loop1: detected capacity change from 0 to 200 05:45:38 executing program 3: r0 = openat$sequencer(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) ioctl$SNDCTL_SEQ_GETINCOUNT(r0, 0x80045105, 0x0) [ 1009.493345][T13401] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) 05:45:38 executing program 4: syz_read_part_table(0x0, 0x3, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1009.592149][T13401] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1009.600699][T13401] NILFS (loop1): unable to fall back to spare super block [ 1009.608160][T13401] NILFS (loop1): error -22 while searching super root 05:45:38 executing program 2: r0 = socket$l2tp(0x2, 0x2, 0x73) ioctl$ifreq_SIOCGIFINDEX_team(r0, 0x5421, &(0x7f0000000000)) recvfrom(r0, 0x0, 0x0, 0x0, 0x0, 0x0) 05:45:38 executing program 0: r0 = socket$l2tp(0x2, 0x2, 0x73) ioctl$ifreq_SIOCGIFINDEX_team(r0, 0x8921, &(0x7f0000000000)) 05:45:38 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028", 0x2e1, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 1009.902563][ T9650] usb 6-1: new high-speed USB device number 12 using dummy_hcd [ 1010.152654][ T9650] usb 6-1: Using ep0 maxpacket: 8 [ 1010.275344][T13423] loop1: detected capacity change from 0 to 200 [ 1010.289324][ T9650] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1010.298760][ T9650] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1010.320491][T13423] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1010.321697][ T9650] usb 6-1: config 0 descriptor?? [ 1010.365160][T13423] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1010.373552][T13423] NILFS (loop1): unable to fall back to spare super block [ 1010.380835][T13423] NILFS (loop1): error -22 while searching super root [ 1010.623178][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 1011.632182][ T1194] ieee802154 phy0 wpan0: encryption failed: -22 [ 1011.638892][ T1194] ieee802154 phy1 wpan1: encryption failed: -22 [ 1013.252937][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1013.263344][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1013.275960][ T9650] asix: probe of 6-1:0.0 failed with error -71 [ 1013.295422][ T9650] usb 6-1: USB disconnect, device number 12 05:45:42 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:45:42 executing program 3: r0 = socket$pppoe(0x18, 0x1, 0x0) ioctl$ifreq_SIOCGIFINDEX_team(r0, 0x8933, 0x0) 05:45:42 executing program 2: pipe2$watch_queue(&(0x7f0000000080)={0xffffffffffffffff, 0xffffffffffffffff}, 0x80) ioctl$IOC_WATCH_QUEUE_SET_FILTER(r0, 0x5761, &(0x7f00000000c0)={0x1, 0x0, [{0x0, 0x0, 0x6}]}) 05:45:42 executing program 4: syz_read_part_table(0x0, 0x3, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:45:42 executing program 0: r0 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$nl802154(&(0x7f0000000340), 0xffffffffffffffff) sendmsg$NL802154_CMD_SET_SEC_PARAMS(r0, &(0x7f0000000400)={0x0, 0x0, &(0x7f00000003c0)={&(0x7f0000000380)={0x20, r1, 0x1, 0x0, 0x0, {}, [@NL802154_ATTR_WPAN_DEV={0xc, 0x6, 0x100000001}]}, 0x20}, 0x1, 0x0, 0x0, 0x8001}, 0x0) 05:45:42 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028", 0x2e1, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 1013.877942][T13433] loop1: detected capacity change from 0 to 200 05:45:43 executing program 2: r0 = openat$sequencer(0xffffffffffffff9c, &(0x7f0000000000), 0x8281, 0x0) ioctl$SNDCTL_SEQ_GETINCOUNT(r0, 0x80045105, 0x0) 05:45:43 executing program 0: syz_open_dev$vcsa(&(0x7f00000000c0), 0x0, 0x0) [ 1013.973979][T13433] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) 05:45:43 executing program 3: r0 = socket$l2tp(0x2, 0x2, 0x73) ioctl$ifreq_SIOCGIFINDEX_team(r0, 0x4020940d, &(0x7f0000000000)) [ 1014.075467][T13433] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1014.083825][T13433] NILFS (loop1): unable to fall back to spare super block [ 1014.091118][T13433] NILFS (loop1): error -22 while searching super root 05:45:43 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {0x0, 0x0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:45:43 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028", 0x2e1, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:45:43 executing program 2: pselect6(0x40, &(0x7f0000000000)={0x4}, &(0x7f0000000040)={0x2}, 0x0, &(0x7f00000000c0)={0x0, 0x3938700}, 0x0) [ 1014.403309][ T9650] usb 6-1: new high-speed USB device number 13 using dummy_hcd [ 1014.643115][ T9650] usb 6-1: Using ep0 maxpacket: 8 [ 1014.728466][T13449] loop1: detected capacity change from 0 to 200 [ 1014.763660][ T9650] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1014.773111][ T9650] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1014.812223][ T9650] usb 6-1: config 0 descriptor?? [ 1014.820461][T13449] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1014.864493][T13449] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1014.873158][T13449] NILFS (loop1): unable to fall back to spare super block [ 1014.880454][T13449] NILFS (loop1): error -22 while searching super root [ 1015.083185][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 1017.743033][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1017.753384][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1017.765358][ T9650] asix: probe of 6-1:0.0 failed with error -71 [ 1017.780943][ T9650] usb 6-1: USB disconnect, device number 13 05:45:47 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:45:47 executing program 3: r0 = socket(0x25, 0x5, 0x0) sendmsg$TIPC_CMD_SET_NODE_ADDR(r0, &(0x7f0000000380)={&(0x7f00000002c0), 0xc, &(0x7f0000000340)={0x0}}, 0x0) 05:45:47 executing program 0: r0 = add_key(&(0x7f0000000000)='keyring\x00', &(0x7f0000000040)={'syz', 0x3}, 0x0, 0x0, 0xfffffffffffffffc) request_key(&(0x7f0000000140)='id_resolver\x00', &(0x7f0000000180)={'syz', 0x0}, &(0x7f00000001c0)='syz', r0) 05:45:47 executing program 2: syz_io_uring_setup(0xa2d, &(0x7f0000000480)={0x0, 0x8f4b, 0x8}, &(0x7f0000ffd000/0x3000)=nil, &(0x7f0000ffb000/0x2000)=nil, &(0x7f0000000500), 0x0) syz_clone(0x0, 0x0, 0x0, 0x0, 0x0, 0x0) 05:45:47 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {0x0, 0x0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:45:47 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000", 0x2ed, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 1018.361539][T13455] loop1: detected capacity change from 0 to 200 05:45:47 executing program 3: r0 = socket$l2tp(0x2, 0x2, 0x73) ioctl$ifreq_SIOCGIFINDEX_team(r0, 0x8946, &(0x7f0000000000)) 05:45:47 executing program 0: r0 = socket$can_raw(0x1d, 0x3, 0x1) sendmsg$sock(r0, &(0x7f0000000380)={&(0x7f0000000040)=@isdn, 0x80, 0x0}, 0x0) 05:45:47 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {0x0, 0x0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:45:47 executing program 2: r0 = openat$vhost_vsock(0xffffffffffffff9c, &(0x7f00000000c0), 0x2, 0x0) ioctl$VHOST_VSOCK_SET_RUNNING(r0, 0x4004af61, &(0x7f0000000100)=0x1) [ 1018.514266][T13455] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1018.675639][T13455] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1018.683975][T13455] NILFS (loop1): unable to fall back to spare super block [ 1018.691267][T13455] NILFS (loop1): error -22 while searching super root [ 1018.873091][ T9650] usb 6-1: new high-speed USB device number 14 using dummy_hcd 05:45:48 executing program 3: r0 = openat$damon_kdamond_pid(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) accept4$vsock_stream(r0, 0x0, 0x0, 0x80400) 05:45:48 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000", 0x2ed, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 1019.122819][ T9650] usb 6-1: Using ep0 maxpacket: 8 [ 1019.244004][ T9650] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1019.253506][ T9650] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1019.315522][ T9650] usb 6-1: config 0 descriptor?? [ 1019.357549][T13477] loop1: detected capacity change from 0 to 200 [ 1019.400792][T13477] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1019.454576][T13477] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1019.463005][T13477] NILFS (loop1): unable to fall back to spare super block [ 1019.471342][T13477] NILFS (loop1): error -22 while searching super root [ 1019.573243][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 1022.212911][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1022.223302][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1022.235422][ T9650] asix: probe of 6-1:0.0 failed with error -71 [ 1022.250932][ T9650] usb 6-1: USB disconnect, device number 14 05:45:51 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:45:51 executing program 0: mmap$KVM_VCPU(&(0x7f0000ffe000/0x1000)=nil, 0x930, 0x0, 0x10, 0xffffffffffffffff, 0x0) 05:45:51 executing program 2: ioctl$sock_inet6_SIOCDELRT(0xffffffffffffffff, 0x890c, 0x0) syz_genetlink_get_family_id$ethtool(0x0, 0xffffffffffffffff) bpf$PROG_LOAD_XDP(0x5, &(0x7f0000000e00)={0x6, 0x4, &(0x7f0000000ac0)=@raw=[@initr0={0x18, 0x0, 0x0, 0x0, 0xc99, 0x0, 0x0, 0x0, 0x95}, @exit, @generic={0x4, 0x6, 0x4, 0x8, 0x5}], &(0x7f0000000b00)='GPL\x00', 0x80000000, 0xe1, &(0x7f0000000b40)=""/225, 0x41000, 0xc, '\x00', 0x0, 0x25, 0xffffffffffffffff, 0x8, &(0x7f0000000c40)={0x8, 0x2}, 0x8, 0x10, &(0x7f0000000c80)={0x0, 0xb, 0xf72}, 0x10}, 0x80) 05:45:51 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300), 0x0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:45:51 executing program 3: r0 = socket(0x11, 0x2, 0x0) sendmsg$SMC_PNETID_DEL(r0, &(0x7f0000000100)={&(0x7f0000000040), 0xc, &(0x7f00000000c0)={0x0}}, 0x0) 05:45:51 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000", 0x2ed, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:45:51 executing program 0: r0 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) sendmsg$NLBL_MGMT_C_ADDDEF(r0, &(0x7f0000001200)={0x0, 0x0, &(0x7f00000011c0)={&(0x7f0000001180)={0x14}, 0x14}}, 0x0) 05:45:51 executing program 3: r0 = socket$l2tp(0x2, 0x2, 0x73) ioctl$ifreq_SIOCGIFINDEX_team(r0, 0xc020660b, 0x0) [ 1022.840265][T13487] loop1: detected capacity change from 0 to 200 05:45:52 executing program 2: pselect6(0x40, &(0x7f0000001a00), 0x0, &(0x7f0000001a80)={0x1ff}, 0x0, 0x0) [ 1022.964589][T13487] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) 05:45:52 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300), 0x0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1023.045243][T13487] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1023.053782][T13487] NILFS (loop1): unable to fall back to spare super block [ 1023.061077][T13487] NILFS (loop1): error -22 while searching super root 05:45:52 executing program 3: openat$cgroup_ro(0xffffffffffffffff, 0x0, 0x0, 0x0) r0 = openat$kvm(0xffffffffffffff9c, &(0x7f00000000c0), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, 0x0) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_NMI(r2, 0xae9a) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f0000000380)={[], 0x0, 0x102383}) ioctl$KVM_RUN(r2, 0xae80, 0x0) 05:45:52 executing program 2: r0 = openat$sequencer(0xffffffffffffff9c, &(0x7f0000000140), 0x0, 0x0) ioctl$SNDCTL_SEQ_GETTIME(r0, 0x80045113, &(0x7f0000000380)) [ 1023.323948][ T9650] usb 6-1: new high-speed USB device number 15 using dummy_hcd [ 1023.563365][ T9650] usb 6-1: Using ep0 maxpacket: 8 [ 1023.686567][ T9650] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1023.697248][ T9650] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1023.823360][ T9650] usb 6-1: config 0 descriptor?? [ 1024.074316][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 1026.743055][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1026.754865][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1026.766787][ T9650] asix: probe of 6-1:0.0 failed with error -71 [ 1026.789847][ T9650] usb 6-1: USB disconnect, device number 15 05:45:56 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:45:56 executing program 0: r0 = openat$vim2m(0xffffffffffffff9c, &(0x7f00000005c0), 0x2, 0x0) ioctl$vim2m_VIDIOC_ENUM_FRAMESIZES(r0, 0xc0d05604, &(0x7f0000000600)={0x4}) 05:45:56 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffff", 0x2f3, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:45:56 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300), 0x0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:45:56 executing program 2: openat$sequencer(0xffffffffffffff9c, &(0x7f0000000180), 0x800, 0x0) 05:45:56 executing program 3: add_key(&(0x7f00000000c0)='user\x00', 0x0, 0x0, 0x0, 0xfffffffffffffff8) [ 1027.338152][T13515] loop1: detected capacity change from 0 to 200 05:45:56 executing program 3: request_key(&(0x7f0000000000)='pkcs7_test\x00', &(0x7f0000000040)={'syz', 0x0}, &(0x7f0000000080)='\x00', 0xfffffffffffffffa) 05:45:56 executing program 2: openat$sequencer(0xffffffffffffff9c, &(0x7f0000000000), 0x40102, 0x0) 05:45:56 executing program 0: r0 = socket$l2tp(0x2, 0x2, 0x73) ioctl$ifreq_SIOCGIFINDEX_team(r0, 0x8906, 0x0) 05:45:56 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84f4611a6ab2c706a76069f99e75055b881531c7da9ab09d051b6a1bf6773372a3370d7058473510aadea9e13b0782fc8d02eb797f7631cc16bc39c732e975bc439952b7795259e7e571c39ddbe7088b036a5b35c6e523c416fb9a18ad37057e94f07f5aeb3a27c1593c780c6dbfd064ece8d7ed451119305587f8843273dd22f3fdc7bae27c0ece8d67698ee4c15a58385e36bbfa352884200a4f9872b205dfe6a279952648da5f870ee9e28dfa447347340136ba1cd2411bfa066556194657c8c8a8064bf798acf0a606b8eb991ebae6428903a72d3afa2bf3d2346ebb0c12ba16a2c8ed177455d7b6c04ed715b44cf635e9cb1c001438724b904ef99ad3dd5e711c861f5ec3258c7bb8e000bc5bb5ae4fba6b0dd31db4f552fda6a3c0969bc098f15979b174972b1a49e1e9d22f27daaf4e399a9a02bf7e5a0456da5f3418c274bcd70cf001e2565881290018a1df026c17a8b63b36d650cb8716621917295ac897e9f71a686d4642180bf9fe3aa001ea3f91973476b1590c2e10dadf90102a819238b699906192a2ed2b9976ed8de94b585a9e629c30c0c78a56702301153c292939fee7bc49fa1816b40522c213974814f0caabcbb52603e42eee16106793fcec022e550bfcf65e364a119b9d9a556f27ecff1c9dc77fe0a3de3fee59387b022d3a03a59c6aed3414cb55dfe8ecb612e270d269ee4e3a54175003c780c2291d930c116c3fb8c1d1810eb42b9d57eb5fe4ed643d6016a532cb925047b85b80a26bcf8991a05b56bc1ba7bb54f4215e8225d823c04945154b7fc9b435b1173b74274027f029639d46c98e2d7cb95da1984a73b580ac05221df35f7569e825d46386815a7e41dd36b9c5268b68749f3f8b907184a8b86d89b05d0f832c70ded80e5b97d9cd45208f02ee69175ddb1e1315fb12aee766a71dcc6a1f140e3331273b03d2adf84a82a28364663d79ae0580985ef01e21acd2449531c3ec0ba1570316a2002bf16c25f29e1051edbe7bfc4f6bea321348856083efe369bdeebe91981d2a09690dea2b2ee86f889f9a479296b1bd286f2b2abd743ccc867d5bbfcf8f2fa709b8adc8755d2436a54b473bc7f62b544d28e3ae5bb2c737d727fb83651f936630e5fcea50bcabebb72c6f029f9d0aa512fdc48bcc4f28f08a62c767ae37004b13f0971621fcd69a57de6981a84d253f43403c289caf2d95c229005a18cfbe1f6ad278a53981dafef31cd01e96758ecf935578323730346068e72203353830e50922f840e387d29247e2b2544b8d2648f531db8cc47b9359c8c94c759e92cfed0803b0cabcff9ff56a38b6ee369d3ee4b90de4ce9b4d299ac67f55a309cd1781550b878ef2155ca12ac227aca24143a83a35a1a2c53221aeeb317ecea67ee1a3a1cba3a91803711a7de0ab7ca7dcf078c86c063eaaf2b93fdfaba1b083bbc0ebbb102c7425d68bf1d2a9406e5618a41f72b48462ee79425224bc5bafcec4e22d83a333e0a5b9e8114873c595d8d54780654d83c3693160892ba586a87da1ca44a8b8992e780064f446694bb31dc11a701e7cdee6507613269d1e5e2baac6e03150b080f4e96e303c49eec6584578b4ab83a7328e4a6c4c6d4f3bd42b9f39e05e5925f5d488f34cdb1bbcdb4361b75e0908ca6b3d552e079c477c94ca8fc0b46770e65b3f2a0a4421864583aa1737dd42a388afb5ecdaf2ff6ad420dcc29923c03f384ec20555124f252ef4f5e2945e8905fec3f417a3736c2457330585b09ddb81087bc131c8218ac6480d9ce1bc79f45288314bc6e46d902f8c13dca3e3dfc325c1b788e02e571f7d595aa6311c1ab10adcbcffb9ba074fdedd4b97726dbba44a6321aa558d8a933fe33757fe39ae1440cb289463949d52cf3631562df6ff51ea7337aef152a1e828c5ec183f2f5ff3b4d4e257a3b5cceb72a1291b424c692329cb45534374acb971e5592621d61b2e59345fdba6b0a5076344712c78d9dee4917f92834af657039abe3e73c8aadff25b1db0c124b1e4d73ee2238a7b610371f9a0e08d392bc95e7ab0fe3d18b5fff8a7ac0ef8ee589f064a87a09b79d55647141b2ee836c7d8e61b3bf2b6515068b716b76a86f239b57a374832292d137967abfe3ea77cea35ba4ed7ab7f92abf9920d5d943f3cc521e95413beab2fb1aa81f70253ee4a66dad8c9e57a4a7ae2c899d1098f52d50ac81e9fd2fc6474499d70bc916baf14165b3111058aedd9b515f6d9eb06e7dc763c75c5be", 0x7fc, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1027.493311][T13515] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1027.547150][T13515] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1027.555579][T13515] NILFS (loop1): unable to fall back to spare super block [ 1027.563004][T13515] NILFS (loop1): error -22 while searching super root 05:45:56 executing program 3: request_key(&(0x7f0000000000)='pkcs7_test\x00', &(0x7f0000000040)={'syz', 0x0}, &(0x7f0000000080)='\x00', 0xfffffffffffffffa) 05:45:56 executing program 0: r0 = socket$inet6_udplite(0xa, 0x2, 0x88) ioctl$sock_inet6_SIOCDELRT(r0, 0x890c, &(0x7f0000000340)={@private1, @dev, @rand_addr=' \x01\x00', 0x0, 0x0, 0x1}) [ 1027.850576][T13526] loop4: detected capacity change from 0 to 4 [ 1027.903098][ T9885] usb 6-1: new high-speed USB device number 16 using dummy_hcd [ 1027.929753][T13527] Dev loop4: unable to read RDB block 4 [ 1027.936065][T13527] loop4: unable to read partition table [ 1027.974184][T13527] loop4: partition table beyond EOD, truncated [ 1028.018311][T13526] Dev loop4: unable to read RDB block 4 [ 1028.025032][T13526] loop4: unable to read partition table [ 1028.063963][T13526] loop4: partition table beyond EOD, truncated [ 1028.070405][T13526] loop_reread_partitions: partition scan of loop4 () failed (rc=-5) [ 1028.142608][ T9885] usb 6-1: Using ep0 maxpacket: 8 [ 1028.263634][ T9885] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1028.273282][ T9885] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1028.331078][ T9885] usb 6-1: config 0 descriptor?? [ 1028.594197][ T9885] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 1031.255905][ T9885] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1031.266214][ T9885] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1031.278604][ T9885] asix: probe of 6-1:0.0 failed with error -71 [ 1031.296342][ T9885] usb 6-1: USB disconnect, device number 16 05:46:00 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:46:00 executing program 2: r0 = socket$inet_udplite(0x2, 0x2, 0x88) ioctl$sock_SIOCGIFINDEX(r0, 0x8933, &(0x7f0000000000)={'veth1_to_batadv\x00'}) 05:46:00 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffff", 0x2f3, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:46:00 executing program 0: syz_usb_connect$hid(0x0, 0x36, &(0x7f0000000040)={{0x12, 0x1, 0x110, 0x0, 0x0, 0x0, 0x10, 0x1477, 0x1024, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x1, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x2, 0x3, 0x1, 0x4, 0x5, {0x9, 0x21, 0x1ff}, {{{0x9, 0x5, 0x81, 0x3, 0x3ff, 0x5, 0x2, 0x7}}}}}]}}]}}, &(0x7f00000003c0)={0xa, &(0x7f0000000080)={0xa, 0x6, 0x0, 0xc0, 0x40, 0x0, 0xa9d2ee5a89b51773, 0x5}, 0x1d, &(0x7f00000005c0)={0x5, 0xf, 0x1d, 0x4, [@wireless={0xb, 0x10, 0x1, 0x0, 0x4, 0x81, 0x0, 0x30fe, 0x7f}, @generic={0x3, 0x10, 0x1}, @ext_cap={0x7, 0x10, 0x2, 0x0, 0x9, 0x0, 0x4}, @ptm_cap={0x3}]}, 0x5, [{0x4, &(0x7f0000000240)=@lang_id={0x4, 0x3, 0x843}}, {0x13, &(0x7f0000000440)=@string={0x13, 0x3, "f359eeabdc9c9e905767384baaa5fe11d7"}}, {0x67, &(0x7f00000002c0)=@string={0x67, 0x3, "779aa759aafbf4f9b12123f5f1c7a09be0c408c526b6a252ef0d00343ee20cda19684531612fd3b2cfc8f13913dcdc905a7e1e6acfea11ee594f2a88374d4166112d32db5fccecbdcb7a6940e9ee6cc0d95c275d958ef31133435f404ef66c3b1665b65756"}}, {0x0, 0x0}, {0x0, 0x0}]}) 05:46:00 executing program 3: readlinkat(0xffffffffffffffff, 0x0, &(0x7f0000000080)=""/245, 0xf5) 05:46:00 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="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", 0x7fc, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1031.829782][T13538] loop1: detected capacity change from 0 to 200 [ 1031.897148][T13541] loop4: detected capacity change from 0 to 4 [ 1031.932084][T13538] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) 05:46:01 executing program 3: syz_mount_image$affs(&(0x7f0000000080), &(0x7f00000000c0)='./file0\x00', 0x0, 0x0, 0x0, 0x0, &(0x7f0000000780)=ANY=[]) unlinkat(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x200) [ 1031.954287][T13538] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1031.962628][T13538] NILFS (loop1): unable to fall back to spare super block [ 1031.969913][T13538] NILFS (loop1): error -22 while searching super root 05:46:01 executing program 2: r0 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route_sched(r0, &(0x7f0000000380)={0x0, 0x0, &(0x7f0000000340)={&(0x7f00000002c0)=@getchain={0x24}, 0x24}}, 0x0) [ 1032.017317][T13542] Dev loop4: unable to read RDB block 4 [ 1032.024250][T13542] loop4: unable to read partition table [ 1032.083786][T13542] loop4: partition table beyond EOD, truncated [ 1032.113707][ T114] usb 1-1: new high-speed USB device number 6 using dummy_hcd [ 1032.127166][T13541] Dev loop4: unable to read RDB block 4 05:46:01 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffff", 0x2f3, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 1032.133132][T13541] loop4: unable to read partition table [ 1032.145461][T13541] loop4: partition table beyond EOD, truncated [ 1032.151973][T13541] loop_reread_partitions: partition scan of loop4 () failed (rc=-5) [ 1032.303489][ T2845] ldm_validate_partition_table(): Disk read failed. [ 1032.310337][ T2845] Dev loop4: unable to read RDB block 0 [ 1032.316229][ T2845] loop4: unable to read partition table [ 1032.346257][ T2845] loop4: partition table beyond EOD, truncated [ 1032.362912][ T114] usb 1-1: Using ep0 maxpacket: 16 05:46:01 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="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", 0x7fc, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:01 executing program 2: r0 = socket$inet_udp(0x2, 0x2, 0x0) ioctl$sock_ipv6_tunnel_SIOCGET6RD(r0, 0x89f8, &(0x7f0000000000)={'tunl0\x00', &(0x7f0000000180)={'tunl0\x00', 0x0, 0x0, 0x0, 0x0, 0x0, {{0x5, 0x4, 0x0, 0x0, 0x14, 0x0, 0x0, 0x0, 0x0, 0x0, @dev}}}}) [ 1032.434895][T13550] loop1: detected capacity change from 0 to 200 [ 1032.452762][ T9842] usb 6-1: new high-speed USB device number 17 using dummy_hcd 05:46:01 executing program 3: openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0xa02001, 0x0) [ 1032.484384][ T114] usb 1-1: config 1 interface 0 altsetting 0 has 1 endpoint descriptor, different from the interface descriptor's value: 2 [ 1032.517949][T13550] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1032.601428][T13550] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1032.610698][T13550] NILFS (loop1): unable to fall back to spare super block [ 1032.618119][T13550] NILFS (loop1): error -22 while searching super root [ 1032.714363][ T9842] usb 6-1: Using ep0 maxpacket: 8 [ 1032.834351][ T9842] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1032.843997][ T9842] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1032.864738][T13554] loop4: detected capacity change from 0 to 4 [ 1032.873868][ T114] usb 1-1: New USB device found, idVendor=1477, idProduct=1024, bcdDevice= 0.40 [ 1032.883283][ T114] usb 1-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 1032.891479][ T114] usb 1-1: Product: 驷妧ﮪ林↱쟱鮠쓠씈똦劢෯㐀栙ㅅ⽡닓죏㧱郜繚樞余蠪䴷晁ⴑ챟뷬立䁩쁬峙崧躕ᇳ䌳䁟㭬攖垶 [ 1032.908162][ T114] usb 1-1: Manufacturer: 姳꯮鳜邞杗䬸ꖪᇾ [ 1032.943125][ T9842] usb 6-1: config 0 descriptor?? [ 1032.978177][T13557] Dev loop4: unable to read RDB block 4 [ 1032.984828][T13557] loop4: unable to read partition table [ 1033.003950][T13557] loop4: partition table beyond EOD, truncated [ 1033.041293][T13554] Dev loop4: unable to read RDB block 4 [ 1033.047666][T13554] loop4: unable to read partition table [ 1033.127914][T13554] loop4: partition table beyond EOD, truncated [ 1033.134603][T13554] loop_reread_partitions: partition scan of loop4 () failed (rc=-5) [ 1033.213571][ T9842] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 1033.354846][ T114] usbhid 1-1:1.0: can't add hid device: -22 [ 1033.361400][ T114] usbhid: probe of 1-1:1.0 failed with error -22 [ 1033.420768][ T114] usb 1-1: USB disconnect, device number 6 [ 1035.833045][ T9842] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1035.843417][ T9842] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1035.855372][ T9842] asix: probe of 6-1:0.0 failed with error -71 [ 1035.891654][ T9842] usb 6-1: USB disconnect, device number 17 05:46:05 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:46:05 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffff", 0x2f6, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:46:05 executing program 3: r0 = socket$inet6_udp(0xa, 0x2, 0x0) ioctl$ifreq_SIOCGIFINDEX_team(r0, 0x8933, &(0x7f0000000cc0)) 05:46:05 executing program 2: r0 = socket$inet6_udp(0xa, 0x2, 0x0) sendmsg$sock(r0, &(0x7f00000004c0)={&(0x7f00000003c0)=@in6={0x2, 0x4e21, 0x0, @remote}, 0x80, 0x0}, 0x0) 05:46:05 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300), 0x0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:05 executing program 0: r0 = socket$inet6_udp(0xa, 0x2, 0x0) sendmsg$sock(r0, &(0x7f00000004c0)={&(0x7f00000003c0)=@in6={0xa, 0x4e21, 0x0, @remote, 0x900}, 0x80, 0x0}, 0x0) [ 1036.446056][T13565] loop1: detected capacity change from 0 to 200 05:46:05 executing program 3: r0 = socket$inet6_udp(0xa, 0x2, 0x0) sendmsg$sock(r0, &(0x7f00000004c0)={&(0x7f00000003c0)=@in6={0xa, 0x4e21, 0x0, @rand_addr=' \x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x01'}, 0x80, 0x0}, 0x0) 05:46:05 executing program 0: openat$damon_kdamond_pid(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) openat$damon_kdamond_pid(0xffffffffffffff9c, &(0x7f0000000340), 0x8481, 0x0) 05:46:05 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0xa, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d0000000000000060000000000000001000000000000000010000000100000001000000010000081000000050000000000000000000000000000000000000000000000450100000000000001000000000100000000000000000000080000000000008005000000000010000000100000001000000000000000000000000000000000000000000000000000000000000000007a2886669ddc4cfdb08b0d3631aae316", 0x11b, 0x10000}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}], 0x0, &(0x7f000001ec00)) [ 1036.603998][T13565] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) 05:46:05 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300), 0x0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1036.698667][T13565] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1036.707638][T13565] NILFS (loop1): unable to fall back to spare super block [ 1036.715055][T13565] NILFS (loop1): error -22 while searching super root 05:46:05 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffff", 0x2f6, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:46:06 executing program 0: bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000400)={0x11, 0x3, &(0x7f0000000100)=@framed, &(0x7f0000000140)='syzkaller\x00', 0x0, 0x5, &(0x7f0000000340)=""/5, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) [ 1036.942833][ T9842] usb 6-1: new high-speed USB device number 18 using dummy_hcd [ 1037.009825][T13577] loop2: detected capacity change from 0 to 256 [ 1037.101964][T13577] BTRFS info (device loop2): using xxhash64 (xxhash64-generic) checksum algorithm [ 1037.111980][T13577] BTRFS error (device loop2): superblock checksum mismatch [ 1037.169786][T13577] BTRFS error (device loop2): open_ctree failed [ 1037.193096][ T9842] usb 6-1: Using ep0 maxpacket: 8 [ 1037.271022][T13582] loop1: detected capacity change from 0 to 200 [ 1037.323823][ T9842] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1037.333320][ T9842] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1037.391632][T13582] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1037.421411][ T9842] usb 6-1: config 0 descriptor?? [ 1037.456782][T13582] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1037.465851][T13582] NILFS (loop1): unable to fall back to spare super block [ 1037.473283][T13582] NILFS (loop1): error -22 while searching super root [ 1037.693561][ T9842] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 1040.332853][ T9842] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1040.343215][ T9842] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1040.355124][ T9842] asix: probe of 6-1:0.0 failed with error -71 [ 1040.381993][ T9842] usb 6-1: USB disconnect, device number 18 05:46:09 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:46:09 executing program 3: set_mempolicy(0x4005, &(0x7f0000000080)=0x8, 0xc9e) r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) 05:46:09 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300), 0x0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:09 executing program 0: syz_mount_image$msdos(&(0x7f0000000a80), &(0x7f0000000ac0)='./file0\x00', 0x0, 0x0, &(0x7f0000002fc0), 0x0, &(0x7f00000030c0)={[{@dots}]}) 05:46:09 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0xa, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d0000000000000060000000000000001000000000000000010000000100000001000000010000081000000050000000000000000000000000000000000000000000000450100000000000001000000000100000000000000000000080000000000008005000000000010000000100000001000000000000000000000000000000000000000000000000000000000000000007a2886669ddc4cfdb08b0d3631aae316", 0x11b, 0x10000}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}], 0x0, &(0x7f000001ec00)) 05:46:09 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffff", 0x2f6, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 1040.910164][T13589] loop2: detected capacity change from 0 to 256 [ 1040.918794][T13588] loop1: detected capacity change from 0 to 200 [ 1040.970027][T13588] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1040.992289][T13591] FAT-fs (loop0): bogus number of reserved sectors [ 1040.999698][T13591] FAT-fs (loop0): Can't find a valid FAT filesystem [ 1041.021374][T13589] BTRFS info (device loop2): using xxhash64 (xxhash64-generic) checksum algorithm [ 1041.031184][T13589] BTRFS error (device loop2): superblock checksum mismatch [ 1041.054849][T13588] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1041.063249][T13588] NILFS (loop1): unable to fall back to spare super block [ 1041.070541][T13588] NILFS (loop1): error -22 while searching super root [ 1041.090032][T13589] BTRFS error (device loop2): open_ctree failed 05:46:10 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="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", 0x3fe, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:10 executing program 0: syz_mount_image$msdos(&(0x7f0000000a80), &(0x7f0000000ac0)='./file0\x00', 0x0, 0x1, &(0x7f0000002fc0)=[{&(0x7f0000000c00), 0x0, 0x6}], 0x0, &(0x7f00000030c0)) 05:46:10 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0xa, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d0000000000000060000000000000001000000000000000010000000100000001000000010000081000000050000000000000000000000000000000000000000000000450100000000000001000000000100000000000000000000080000000000008005000000000010000000100000001000000000000000000000000000000000000000000000000000000000000000007a2886669ddc4cfdb08b0d3631aae316", 0x11b, 0x10000}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}], 0x0, &(0x7f000001ec00)) 05:46:10 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffff", 0x2f7, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:46:10 executing program 3: syz_mount_image$msdos(0x0, &(0x7f0000000ac0)='./file0\x00', 0x0, 0x3, &(0x7f0000002fc0)=[{&(0x7f0000000b80)="01e4d5", 0x3}, {&(0x7f0000000c00)="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", 0x679, 0x6}, {&(0x7f0000001d80)='+', 0x1, 0x7c21}], 0x0, 0x0) [ 1041.464679][T13599] loop4: detected capacity change from 0 to 2 [ 1041.553184][T13604] FAT-fs (loop0): bogus number of reserved sectors [ 1041.560057][T13604] FAT-fs (loop0): Can't find a valid FAT filesystem [ 1041.574406][T13601] loop2: detected capacity change from 0 to 256 [ 1041.586575][T13608] loop3: detected capacity change from 0 to 124 [ 1041.593542][ T9842] usb 6-1: new high-speed USB device number 19 using dummy_hcd [ 1041.599545][T13609] loop1: detected capacity change from 0 to 200 [ 1041.605799][T13607] Dev loop4: unable to read RDB block 2 [ 1041.615042][T13607] loop4: unable to read partition table 05:46:10 executing program 3: syz_mount_image$msdos(0x0, &(0x7f0000000ac0)='./file0\x00', 0x0, 0x3, &(0x7f0000002fc0)=[{&(0x7f0000000b00)="c6", 0x1, 0x5}, {&(0x7f0000000c00)="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", 0xffb, 0x6}, {&(0x7f0000001d80)='+', 0x1, 0x7c21}], 0x0, 0x0) bpf$MAP_CREATE(0x0, 0x0, 0x0) [ 1041.659129][T13607] loop4: partition table beyond EOD, truncated [ 1041.688503][T13599] Dev loop4: unable to read RDB block 2 [ 1041.694860][T13599] loop4: unable to read partition table [ 1041.703430][T13601] BTRFS info (device loop2): using xxhash64 (xxhash64-generic) checksum algorithm [ 1041.713097][T13601] BTRFS error (device loop2): superblock checksum mismatch [ 1041.724227][T13609] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1041.754355][T13599] loop4: partition table beyond EOD, truncated [ 1041.760917][T13599] loop_reread_partitions: partition scan of loop4 () failed (rc=-5) [ 1041.806373][T13609] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1041.814688][T13609] NILFS (loop1): unable to fall back to spare super block [ 1041.821984][T13609] NILFS (loop1): error -22 while searching super root [ 1041.834652][T13601] BTRFS error (device loop2): open_ctree failed [ 1041.866031][ T9842] usb 6-1: Using ep0 maxpacket: 8 [ 1041.984277][ T9842] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1041.993719][ T9842] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1042.052833][ T9842] usb 6-1: config 0 descriptor?? [ 1042.092998][T13611] loop3: detected capacity change from 0 to 124 [ 1042.303728][ T9842] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 1044.955399][ T9842] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1044.965693][ T9842] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1044.977606][ T9842] asix: probe of 6-1:0.0 failed with error -71 [ 1045.019169][ T9842] usb 6-1: USB disconnect, device number 19 05:46:14 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:46:14 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300), 0x0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:14 executing program 0: r0 = syz_clone(0x6010a580, &(0x7f0000000240)="1705a9da6d5e4a52177a0e0382058f213859e69c1ab0dd95e4da238680695e29823331eb37b43f00fdc24574552876cad4a82239629db69a3cba83cd8b0a667be3f00b9c2d50f13ed25644814a2fa1df177fc18f6a68c7d2a6800cf8ec04ce4d34e6b429f73d79a38fe2b48d85aa58a7", 0x70, &(0x7f0000000180), &(0x7f00000001c0), &(0x7f0000000340)) gettid() sched_rr_get_interval(r0, &(0x7f00000005c0)) timer_create(0x7, &(0x7f0000000200)={0x0, 0x0, 0x2, @thr={&(0x7f0000000040)="29b7a3a8dcda724c0121b9d6199da9333a5d4378010da1a1c4089c9c867e2fc80ee5de7b712bd67788ac2148365dc1da33d4d40ddabd5d4f7662f931b5a83ae9c784f52f112f9a17beb83ff498e399fc60251a820511e521aed810914850c4f052b8c0e1dd47", &(0x7f00000000c0)="b78fde4be1387ad1c3ada9bd5a5f3f741b7594378eb3f173bdc25ce36b227f0f64e72847535fdbf9acae54"}}, &(0x7f0000000000)) openat$proc_capi20(0xffffffffffffff9c, &(0x7f0000000640), 0x0, 0x0) syz_genetlink_get_family_id$batadv(0x0, 0xffffffffffffffff) r1 = openat$proc_capi20(0xffffffffffffff9c, &(0x7f0000000640), 0x0, 0x0) syz_genetlink_get_family_id$batadv(&(0x7f0000000800), r1) bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000400)={0x11, 0x5, &(0x7f0000000100)=@framed={{0x18, 0x0, 0x0, 0x0, 0x1ff, 0x0, 0x0, 0x0, 0x3}, [@map_val]}, &(0x7f0000000140)='syzkaller\x00', 0x800, 0x5, &(0x7f0000000340)=""/5, 0x40f00, 0x25, '\x00', 0x0, 0x0, r1, 0x8, &(0x7f0000000380)={0x1, 0x1}, 0x8, 0x10, &(0x7f00000003c0)={0x1, 0xf, 0x1, 0x8}, 0x10}, 0x80) r2 = openat$proc_capi20(0xffffffffffffff9c, &(0x7f0000000640), 0x0, 0x0) syz_genetlink_get_family_id$batadv(&(0x7f0000000800), r2) syz_clone(0xa080e080, &(0x7f0000000480)="8c8f08313c26a49093aa02b178bfc6de2d8399d327d08bfd95a1f96ee8f920f8d37c7296b19b85a5066b8d2aa10f62", 0x2f, &(0x7f0000000500), &(0x7f0000000540), &(0x7f0000000680)="ef8dc42c6077574477a23f240cff2d4fe865034e093894063819e73e3d0b89fc8810490103344dd960bf59ac9dd1da7111ec923a0c9a19eaafec9acc2156a3b165c34591e5add6b0c9bea6b9f82a6ce713d7aeb4ba3b422007d52ea4029df0e0a5ce38016a0e427df7609524f57baba973259dbc2bc0236fc82a4daa92fa37355e290dce0cb3b0c395d07e3d0f5d0427096e067c72ac22dfa43d22637f2ab9770dc5243ddef23793654e91daf9d03004efc770b8197efd7214f97f203c6ce4f7bccd361a7dd25df8653217bb91ef04da18c1843fab1c018e1d") 05:46:14 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0xa, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d0000000000000060000000000000001000000000000000010000000100000001000000010000081000000050000000000000000000000000000000000000000000000450100000000000001000000000100000000000000000000080000000000008005000000000010000000100000001000000000000000000000000000000000000000000000000000000000000000007a2886669ddc4cfdb08b0d3631aae316", 0x11b, 0x10000}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}], 0x0, &(0x7f000001ec00)) 05:46:14 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffff", 0x2f7, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:46:14 executing program 3: getresuid(&(0x7f0000000000), 0x0, &(0x7f0000000080)) syz_mount_image$msdos(&(0x7f0000000a80), &(0x7f0000000ac0)='./file0\x00', 0x0, 0x5, &(0x7f0000002fc0)=[{&(0x7f0000000b00)="c6e29e2782f6f7ebe4dcfe3a91783dd68d2771a95f134239c99f09bdd7d0ae97db6d37128633c023e0bc73e9ff3a3cd2f7ac4b8ebfa7467a99", 0x39}, {&(0x7f0000000c00)="6ba750b705bcb87c87fd553ac94014083c2ba2d2fddc8b7eb8c7dc39259317443f43a093d864b54e2006308bf294438ac917613cd5c0b5e8f4cd5624508a2d5ee6fdc365cf5797fe338d510f967f0827786cf679dce898fbc5c6793a44d8e2088aa9b8954bf1014806c8fc0f7c96408c8c11b7807853fea04c6739dc6c44b3f902846ca9a44cffc3bd6ff2b08ce09e00fe6d689f5cb0b2855a60cb4c8d77fcbc2a74824b2fd15f0a8db391cec60e7c483cd31d0595539686618f39016514ed5a0220517ffe8daa8ba2bf4eee9b3e001fc47d872460661ba57a2a636b8aa75ca93bda1f3a19a528035dd22cf244ea681cd004797fcd1065d7fd1bbbf16adc6e3940f03472928086eb352980dfaeec940ad893653f296f0defbff723b4fcee6b1c05c8f55e7143d75914b18c9cc16151f89b34a293b289f605995a0aef2ebed20635bfd7274551f8ea513fe65a087b3b6f0ea19b688a0b70497dd3bce13155071f76f7975c6b2776f378be6caafc07a51f14368c5a418c4be44bbce97efc13659bc5bed8e0472e3a7537d311e851baa5e8888ee6b253ffe308eefce24280b504c209b805cf4551619f2c52a0178be7bc62939cade6bac3f7787a9855b1b1b26b3d8dbb20cc8cee965c6800b6bcf75d00d137005ae3f73c6337b31369ae02de77cabece3b5ef50ffef713b23dea9f4aca3d65aec31f75567720afa8", 0x1fa, 0x6}, {0x0}, {0x0}, {0x0}], 0x0, &(0x7f00000030c0)={[{@dots}], [{@smackfsfloor={'smackfsfloor', 0x3d, '\x00'}}, {@rootcontext={'rootcontext', 0x3d, 'user_u'}}, {@fowner_eq}, {@audit}]}) [ 1045.548625][T13623] loop3: detected capacity change from 0 to 1 [ 1045.581300][T13626] loop1: detected capacity change from 0 to 200 [ 1045.613537][T13624] loop2: detected capacity change from 0 to 256 [ 1045.648219][T13623] Dev loop3: unable to read RDB block 1 [ 1045.654598][T13623] loop3: unable to read partition table [ 1045.676032][T13626] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1045.676032][T13624] BTRFS info (device loop2): using xxhash64 (xxhash64-generic) checksum algorithm [ 1045.676189][T13624] BTRFS error (device loop2): superblock checksum mismatch [ 1045.733745][T13623] loop3: partition table beyond EOD, truncated [ 1045.740516][T13623] FAT-fs (loop3): Unrecognized mount option "smackfsfloor=" or missing value [ 1045.764780][T13624] BTRFS error (device loop2): open_ctree failed 05:46:14 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300), 0x0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1045.796183][T13626] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1045.804478][T13626] NILFS (loop1): unable to fall back to spare super block [ 1045.811765][T13626] NILFS (loop1): error -22 while searching super root 05:46:15 executing program 3: syz_mount_image$msdos(&(0x7f0000000a80), &(0x7f0000000ac0)='./file0\x00', 0x0, 0x1, &(0x7f0000002fc0)=[{0x0}], 0x0, 0x0) 05:46:15 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffff", 0x2f7, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:46:15 executing program 2: syz_mount_image$btrfs(0x0, &(0x7f0000000100)='./file0\x00', 0x0, 0xa, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d0000000000000060000000000000001000000000000000010000000100000001000000010000081000000050000000000000000000000000000000000000000000000450100000000000001000000000100000000000000000000080000000000008005000000000010000000100000001000000000000000000000000000000000000000000000000000000000000000007a2886669ddc4cfdb08b0d3631aae316", 0x11b, 0x10000}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}], 0x0, &(0x7f000001ec00)) [ 1046.252793][ T9650] usb 6-1: new high-speed USB device number 20 using dummy_hcd 05:46:15 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300), 0x0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1046.310689][T13641] loop2: detected capacity change from 0 to 256 [ 1046.340026][T13642] loop1: detected capacity change from 0 to 200 05:46:15 executing program 0: r0 = socket$inet_sctp(0x2, 0x5, 0x84) connect$inet(r0, &(0x7f0000000040)={0x10, 0x2}, 0x10) r1 = socket$inet6_sctp(0x1c, 0x5, 0x84) r2 = dup2(r0, r1) getsockopt$inet_sctp_SCTP_GET_ASSOC_ID_LIST(r2, 0x84, 0x105, &(0x7f0000000100)={0x1, [0x0]}, &(0x7f0000000000)=0x8) r3 = socket$inet_sctp(0x2, 0x5, 0x84) r4 = socket$inet6_sctp(0x1c, 0x5, 0x84) r5 = dup2(r3, r4) getsockopt$inet_sctp_SCTP_GET_ASSOC_ID_LIST(r5, 0x84, 0x105, &(0x7f0000000100)={0x1, [0x0]}, &(0x7f0000000000)=0x8) getsockopt$inet_sctp_SCTP_ASSOCINFO(r0, 0x84, 0x2, &(0x7f0000000080)={r6}, &(0x7f00000000c0)=0x14) getsockopt$inet_sctp_SCTP_PR_ASSOC_STATUS(r0, 0x84, 0x108, &(0x7f0000000140)={r7, 0x0, 0xf}, &(0x7f0000000180)=0x18) [ 1046.443194][T13642] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1046.503373][ T9650] usb 6-1: Using ep0 maxpacket: 8 [ 1046.529124][T13642] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1046.538325][T13642] NILFS (loop1): unable to fall back to spare super block [ 1046.545738][T13642] NILFS (loop1): error -22 while searching super root [ 1046.633403][ T9650] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1046.643366][ T9650] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1046.695046][ T9650] usb 6-1: config 0 descriptor?? [ 1046.957356][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 1049.643439][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1049.654712][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1049.666686][ T9650] asix: probe of 6-1:0.0 failed with error -71 [ 1049.696434][ T9650] usb 6-1: USB disconnect, device number 20 05:46:19 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:46:19 executing program 3: getresuid(&(0x7f0000000000), &(0x7f0000000040)=0x0, &(0x7f0000000080)) mount$binderfs(&(0x7f00000000c0), &(0x7f0000000100)='./binderfs\x00', &(0x7f0000000140), 0x1010000, &(0x7f0000000180)={[{}, {@max={'max', 0x3d, 0x8}}], [{@rootcontext={'rootcontext', 0x3d, 'staff_u'}}, {@euid_gt}]}) mount$binderfs(0x0, &(0x7f0000000240)='./binderfs\x00', &(0x7f0000000280), 0x1000, &(0x7f00000002c0)={[{@max={'max', 0x3d, 0xea}}, {@stats}, {@stats}, {@max={'max', 0x3d, 0xffffffff}}, {@max={'max', 0x3d, 0x9}}, {@max={'max', 0x3d, 0x80000000000000}}, {@max={'max', 0x3d, 0x4}}, {@max={'max', 0x3d, 0x3}}], [{@dont_measure}, {@permit_directio}, {@euid_eq}, {@hash}, {@subj_role={'subj_role', 0x3d, 'binder\x00'}}]}) syz_io_uring_submit(0x0, 0x0, &(0x7f0000000480)=@IORING_OP_MADVISE={0x19, 0x1, 0x0, 0x0, 0x0, &(0x7f0000ffa000/0x3000)=nil, 0x3000, 0x2}, 0x5) mount$binderfs(&(0x7f00000004c0), &(0x7f0000000500)='./binderfs\x00', &(0x7f0000000540), 0x10, &(0x7f0000000580)={[{@stats}, {@stats}, {@max={'max', 0x3d, 0x1}}, {@stats}], [{@euid_eq={'euid', 0x3d, r0}}, {@dont_hash}, {@audit}, {@dont_measure}, {@fsname={'fsname', 0x3d, ',:&&'}}]}) sendmsg$DCCPDIAG_GETSOCK(0xffffffffffffffff, &(0x7f0000000940)={&(0x7f0000000680)={0x10, 0x0, 0x0, 0x40000000}, 0xc, &(0x7f0000000900)={0x0}}, 0x8004) syz_mount_image$msdos(&(0x7f0000000a80), &(0x7f0000000ac0)='./file0\x00', 0xd4, 0x9, &(0x7f0000002fc0)=[{&(0x7f0000000b00)="c6e29e2782f6f7ebe4dcfe3a91783dd68d2771a95f134239c99f09bdd7d0ae97db6d37128633c023e0bc73e9ff3a3cd2f7ac4b8ebfa7467a996ae1328bbd638eb24354", 0x43, 0x5}, {&(0x7f0000000b80)="01e4d57c7cc10bf7e3ccfee09f27d146aa089fc8f87a5fb0d9eefcae2be550c9fda5969665059f9642c71e2bfffa127ce1aece931433bc3b21525d6c6d986110bd9c01c24bb5e9", 0x47, 0x9}, {&(0x7f0000000c00), 0x0, 0x6}, {&(0x7f0000001c00)="b45fce1fa774297bba22eb32eebb24bafaa578bcdad9f541c62e4523a6f755b286812d9ca13ca96b5927d0e082fe63826fcf3f53ee09bfc2ce9428ad02d5a62baebd793307a16c95e0d6284c18800b9e6d4000520fac806e873d83aaa5ebbb8f6afcd319ad50fc1cb4317b16f68a7caa2a10137d4fa7389f24d950a27a052a2f391d23087ebc5c5cda0c6e4d225cfde975db8909a1022fe4601918888d30a7f3e49281daaf4b1e7c72a5029dd21f9a3b880d949edf80e36bb08c6cac19406a04e363207dd3e664e60dc49abcb31cd0482b231a16929939465e734863b2036fc33a166d371d6f51f7b42467d8fbc9116830b3408414", 0xf5}, {0x0, 0x0, 0x2}, {&(0x7f0000001d80)="2bff5dd644a61ca6542571286d0d8c1ed53578f4693330efd90f50fd6c7ade90", 0x20, 0x7c21}, {&(0x7f0000001dc0)="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", 0xf40, 0x54}, {&(0x7f0000002e80)="47e786fb64573228cf0b63581f06c71c87f6fde31adc8b1f9b327f0224a7a06be5e9169959cfb2b865b60a18dda1ccf8d5d9df73fa1a451c35d771df76e179b9acf8a87d82e4624f2c7a4310aa80bfe54e99d310f93aa0b1a416064dafcf3c8128bb6a8858c11f85cd5b511526dbe61b690bd0248edeb7dce90a3669a9aebc933cec97a794b4e1c7a2bae4abcc897f5a9b351156892131289b834a254269488f445f45fd6aa5df9713972cb41587ec19", 0xb0, 0x80}, {&(0x7f0000002f40)="3b9bf488602212009ab1f7ee66e7caa7a4adc652990027a8ac321446abc530be4b45733b35996101bbee63a41b0a0bfb89", 0x31, 0x3f}], 0x0, &(0x7f00000030c0)={[{@dots}, {@fat=@dmask={'dmask', 0x3d, 0x6}}], [{@smackfsfloor={'smackfsfloor', 0x3d, '\x00'}}, {@rootcontext={'rootcontext', 0x3d, 'user_u'}}, {@fowner_eq={'fowner', 0x3d, r0}}, {@seclabel}, {@seclabel}]}) bpf$MAP_CREATE(0x0, &(0x7f00000044c0)=@base={0x8, 0x2, 0x9, 0x5, 0xa00, 0xffffffffffffffff, 0x6}, 0x48) 05:46:19 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84f4611a6ab2c706a76069f99e75055b881531c7da9ab09d051b6a1bf6773372a3370d7058473510aadea9e13b0782fc8d02eb797f7631cc16bc39c732e975bc", 0x1ff, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:19 executing program 2: syz_mount_image$btrfs(0x0, &(0x7f0000000100)='./file0\x00', 0x0, 0xa, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d0000000000000060000000000000001000000000000000010000000100000001000000010000081000000050000000000000000000000000000000000000000000000450100000000000001000000000100000000000000000000080000000000008005000000000010000000100000001000000000000000000000000000000000000000000000000000000000000000007a2886669ddc4cfdb08b0d3631aae316", 0x11b, 0x10000}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}], 0x0, &(0x7f000001ec00)) 05:46:19 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:46:19 executing program 0: pipe2$watch_queue(&(0x7f00000025c0)={0xffffffffffffffff, 0xffffffffffffffff}, 0x80) write$FUSE_ATTR(r0, &(0x7f0000004640)={0x78}, 0x78) [ 1050.197766][T13659] binder: Unknown parameter 'rootcontext' [ 1050.217461][T13659] binder: Unknown parameter 'euid' [ 1050.230282][T13659] loop3: detected capacity change from 0 to 124 [ 1050.235481][T13658] loop2: detected capacity change from 0 to 256 05:46:19 executing program 0: r0 = openat$bsg(0xffffffffffffff9c, &(0x7f0000002500), 0x0, 0x0) read$FUSE(r0, 0x0, 0x0) [ 1050.256373][T13661] loop4: detected capacity change from 0 to 1 [ 1050.276737][T13660] loop1: detected capacity change from 0 to 200 [ 1050.296694][T13659] FAT-fs (loop3): Unrecognized mount option "smackfsfloor=" or missing value [ 1050.359157][T13662] Dev loop4: unable to read RDB block 1 [ 1050.360737][T13660] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1050.365104][T13662] loop4: unable to read partition table [ 1050.383840][T13662] loop4: partition table beyond EOD, truncated [ 1050.401011][T13661] Dev loop4: unable to read RDB block 1 [ 1050.407523][T13661] loop4: unable to read partition table 05:46:19 executing program 2: syz_mount_image$btrfs(0x0, &(0x7f0000000100)='./file0\x00', 0x0, 0xa, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d0000000000000060000000000000001000000000000000010000000100000001000000010000081000000050000000000000000000000000000000000000000000000450100000000000001000000000100000000000000000000080000000000008005000000000010000000100000001000000000000000000000000000000000000000000000000000000000000000007a2886669ddc4cfdb08b0d3631aae316", 0x11b, 0x10000}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}], 0x0, &(0x7f000001ec00)) 05:46:19 executing program 3: prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$sndmidi(&(0x7f0000000040), 0x2, 0x141101) r1 = dup(r0) write$6lowpan_enable(r1, &(0x7f0000000000)='0', 0xfffffd2c) r2 = socket$inet6(0xa, 0x40000080806, 0x0) socket$inet6_udplite(0xa, 0x2, 0x88) fcntl$lock(0xffffffffffffffff, 0x0, 0x0) bind$inet6(r2, &(0x7f000047b000)={0xa, 0x404e20, 0x0, @empty}, 0x1c) listen(r2, 0x400000001ffffffd) r3 = socket$inet6(0xa, 0x6, 0x0) connect$inet6(r3, &(0x7f0000000000)={0xa, 0x4e20, 0x0, @loopback}, 0x19) r4 = accept4(r2, 0x0, 0x0, 0x0) syz_mount_image$vxfs(&(0x7f00000001c0), 0x0, 0x0, 0x0, 0x0, 0x20400, &(0x7f00000004c0)=ANY=[@ANYBLOB='\x00,/dev/snd/m']) sendmmsg(r4, &(0x7f0000003d40)=[{{0x0, 0xffffffbf, 0x0, 0x0, 0x0, 0x0, 0xe}, 0x10}], 0x4000000000000d0, 0x0) [ 1050.487186][T13660] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1050.496084][T13660] NILFS (loop1): unable to fall back to spare super block [ 1050.503573][T13660] NILFS (loop1): error -22 while searching super root [ 1050.511468][T13661] loop4: partition table beyond EOD, truncated [ 1050.518634][T13661] loop_reread_partitions: partition scan of loop4 () failed (rc=-5) 05:46:19 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84f4611a6ab2c706a76069f99e75055b881531c7da9ab09d051b6a1bf6773372a3370d7058473510aadea9e13b0782fc8d02eb797f7631cc16bc39c732e975bc", 0x1ff, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:19 executing program 0: r0 = socket$inet6_sctp(0xa, 0x1, 0x84) ioctl$ifreq_SIOCGIFINDEX_batadv_mesh(r0, 0x8933, &(0x7f0000000300)) 05:46:19 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 1050.799338][T13670] loop2: detected capacity change from 0 to 256 [ 1050.882743][ T9842] usb 6-1: new high-speed USB device number 21 using dummy_hcd [ 1051.065006][T13674] loop4: detected capacity change from 0 to 1 [ 1051.122731][ T9842] usb 6-1: Using ep0 maxpacket: 8 [ 1051.136508][T13674] Dev loop4: unable to read RDB block 1 [ 1051.142390][T13674] loop4: unable to read partition table [ 1051.176328][T13674] loop4: partition table beyond EOD, truncated [ 1051.183062][T13674] loop_reread_partitions: partition scan of loop4 () failed (rc=-5) [ 1051.198275][ T2845] Dev loop4: unable to read RDB block 1 [ 1051.204280][ T2845] loop4: unable to read partition table [ 1051.233572][ T2845] loop4: partition table beyond EOD, truncated [ 1051.245876][ T9842] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1051.255528][ T9842] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1051.323836][ T9842] usb 6-1: config 0 descriptor?? [ 1051.475889][T13679] loop1: detected capacity change from 0 to 200 [ 1051.566748][T13679] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1051.584694][ T9842] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 1051.670014][T13679] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1051.678339][T13679] NILFS (loop1): unable to fall back to spare super block [ 1051.685779][T13679] NILFS (loop1): error -22 while searching super root [ 1054.222875][ T9842] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1054.233163][ T9842] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1054.246257][ T9842] asix: probe of 6-1:0.0 failed with error -71 [ 1054.266083][ T9842] usb 6-1: USB disconnect, device number 21 05:46:23 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:46:23 executing program 0: openat$sysfs(0xffffffffffffff9c, &(0x7f00000006c0)='/sys/block/loop1', 0x0, 0x0) 05:46:23 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300), 0x0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:23 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), 0x0, 0x0, 0xa, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d0000000000000060000000000000001000000000000000010000000100000001000000010000081000000050000000000000000000000000000000000000000000000450100000000000001000000000100000000000000000000080000000000008005000000000010000000100000001000000000000000000000000000000000000000000000000000000000000000007a2886669ddc4cfdb08b0d3631aae316", 0x11b, 0x10000}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}], 0x0, &(0x7f000001ec00)) 05:46:23 executing program 3: r0 = socket$nl_generic(0x10, 0x3, 0x10) sendmsg$BATADV_CMD_SET_MESH(r0, &(0x7f0000000240)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000180)={0x14}, 0x14}}, 0x0) syz_genetlink_get_family_id$l2tp(&(0x7f00000002c0), 0xffffffffffffffff) 05:46:23 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 1054.797807][T13685] loop2: detected capacity change from 0 to 256 [ 1054.798827][T13687] loop1: detected capacity change from 0 to 200 05:46:23 executing program 3: r0 = socket$inet6_tcp(0x1c, 0x1, 0x0) bind(r0, &(0x7f0000000040)=@in={0x10, 0x2}, 0x10) [ 1054.880930][T13687] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) 05:46:24 executing program 0: r0 = openat$bsg(0xffffffffffffff9c, &(0x7f00000000c0), 0x0, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(r0, 0xd000943d, 0x0) 05:46:24 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300), 0x0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:24 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), 0x0, 0x0, 0xa, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d0000000000000060000000000000001000000000000000010000000100000001000000010000081000000050000000000000000000000000000000000000000000000450100000000000001000000000100000000000000000000080000000000008005000000000010000000100000001000000000000000000000000000000000000000000000000000000000000000007a2886669ddc4cfdb08b0d3631aae316", 0x11b, 0x10000}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}], 0x0, &(0x7f000001ec00)) [ 1055.005607][T13687] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1055.013916][T13687] NILFS (loop1): unable to fall back to spare super block [ 1055.021199][T13687] NILFS (loop1): error -22 while searching super root 05:46:24 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x25, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 1055.343174][ T9650] usb 6-1: new high-speed USB device number 22 using dummy_hcd 05:46:24 executing program 0: syz_mount_image$afs(&(0x7f00000023c0), &(0x7f0000002400)='./file0\x00', 0x3, 0x4, &(0x7f00000029c0)=[{&(0x7f0000002440)='z', 0x1}, {&(0x7f00000024c0)="f0ed0382ae3f210f9b5e8aa28412d491c6f6aa383b9b2a8c9372d91b31a243cc4bf462c60aed7040dfe3ba84feda83d4b1fde735e042fc4a5f51722a77fc437db5bbde42ee44edc61f73f0a573c972870dffda0054277fc4df4ca08c04f0cf56a7db7b4debe529b0c42a2ac28fa86e2ed4bd17b29d21b1b90d1070e36a3f15f6a24e84573f8270c0bf8605d31bb9d11819299adf48193ac3a8b9030725e9bccc944cdbab8b77397fc9de2d80abc6ec844f5e062553de23452d0bd945a0bd1bf4454a3209f5b2eab1a304b688e56a88331fb4ea", 0xd3, 0x6}, {0x0, 0x0, 0x400}, {&(0x7f0000002840)="9c", 0x1, 0x80000000}], 0x1000, &(0x7f0000002a80)={[{@dyn}]}) [ 1055.396478][T13702] loop2: detected capacity change from 0 to 256 [ 1055.582991][ T9650] usb 6-1: Using ep0 maxpacket: 8 [ 1055.642110][T13705] loop1: detected capacity change from 0 to 200 [ 1055.705350][ T9650] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1055.707529][T13707] loop0: detected capacity change from 0 to 264192 [ 1055.714635][ T9650] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1055.727255][ T9650] usb 6-1: config 0 descriptor?? [ 1055.762343][T13705] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1055.785183][T13705] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1055.793601][T13705] NILFS (loop1): unable to fall back to spare super block [ 1055.800878][T13705] NILFS (loop1): error -22 while searching super root [ 1055.997103][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 1058.633020][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1058.643376][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1058.657684][ T9650] asix: probe of 6-1:0.0 failed with error -71 [ 1058.675561][ T9650] usb 6-1: USB disconnect, device number 22 05:46:28 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:46:28 executing program 3: r0 = socket$igmp(0x2, 0x3, 0x2) setsockopt$MRT_INIT(r0, 0x0, 0x23, 0x0, 0x0) 05:46:28 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), 0x0, 0x0, 0xa, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d0000000000000060000000000000001000000000000000010000000100000001000000010000081000000050000000000000000000000000000000000000000000000450100000000000001000000000100000000000000000000080000000000008005000000000010000000100000001000000000000000000000000000000000000000000000000000000000000000007a2886669ddc4cfdb08b0d3631aae316", 0x11b, 0x10000}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}], 0x0, &(0x7f000001ec00)) 05:46:28 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300), 0x0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:28 executing program 0: r0 = socket$inet_sctp(0x2, 0x5, 0x84) sendmsg$inet_sctp(r0, &(0x7f00000014c0)={&(0x7f0000000040)=@in={0x10, 0x2}, 0x10, &(0x7f0000001440)=[{&(0x7f0000000080)="950fbd3d008600ec603324a3058ff553efdb9e6923abf227007bce9aee61ebc473856cf187ca26223e89359fa600f5c2ac7083cceeb7d7bc03bffa643744b3bfd36fe9c46ef98f1aa95f6e2cb32d7cd0", 0x50}, {&(0x7f0000000100)="6f1dd6a0e3a8d79a773802b951ae49a65dabb97f4f7a451d72a8404a121fc8cf257d3a59da35faea9292a4c02be9a5f0d02a0546614a218b8122b07a8f664c3524ad97fd56f79ee8", 0x48}, {&(0x7f0000000180)="26fbe54dcdadd5d8be61ba854d43790896899c4291bdb8d316f810ee69bdf0de7047d1ffcd2d2acae95203f2e64b0ffb2b2be44f0f91696227bcdb40834dd4d5b957839077bead9d045188fa20b2bba0ac8b67c1692e2105b5388f1158736455685799e11779a68690f59d286c655b400849924c302ab9bac0803d09675d4f52e9003e600bf050fa0520dd8459378c335a0016259a57d8afd372bdead37ae211a621a68d188dabbfb27164b3e3f6e2575001fab8a4d60147d4c603394fb3c99ad92d6c2594c0f2796beb9b5f23ff5f6b2ca0860006c5ee5048731d", 0xdb}, {&(0x7f0000000280)="9a71f757eecf7fce00", 0x9}, {&(0x7f00000002c0)="313c4d22fa7d082197d1c9336a56b94d5804c787f2a353edf24ac7e7dc906295ca941f80cb96ffe89152af851aa39eccd2013070f967176948b1bb915402be5de8932710", 0x44}, {&(0x7f0000000340)="404ce03523bb87b825db052b3129e1381e1e0476efcccfa93290373fba19d6c3982eb13d8f980a9b8e506fd8f3037c3fe0895d7eab5cde58b86795dbf0c1507d0e48e2335dc4190bfbbb90d4bbc55194fff3b721284db4dd9f8bb81775131269558b3c0b51eadb31d18137f31bf44f666df8ad846b1ff2e515b4cbd17926bc57b8897e64d55e0115e28953f003f692a8e610b7f6a45c023435f928dc5542beeec9080a8eb76ce5a06b6669fa12614a2f9f26f2f18d90147386fc5292b10a9034a4c8719b6d85d8da76032ca08567ae3ddb918196372cf97062adc7317bb56e73f8c2", 0xe2}, {&(0x7f0000000440)="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", 0xd4f}], 0x7}, 0x0) 05:46:28 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x25, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 1059.210740][T13717] loop1: detected capacity change from 0 to 200 [ 1059.228969][T13709] loop2: detected capacity change from 0 to 256 05:46:28 executing program 3: shmctl$SHM_LOCK(0x0, 0xb) shmctl$SHM_LOCK(0x0, 0xb) getuid() shmctl$IPC_SET(0xffffffffffffffff, 0x1, &(0x7f0000001100)={{0x0, 0xee01, 0xee01, 0x0, 0xffffffffffffffff}, 0x0, 0x3, 0x0, 0x0, 0xffffffffffffffff, 0xffffffffffffffff}) syz_open_dev$vcsa(&(0x7f0000001180), 0x0, 0x0) 05:46:28 executing program 0: syz_usb_connect(0x0, 0x58, &(0x7f00000001c0)=ANY=[@ANYBLOB="1201000036ee3808d30b550d6a694000000109024600018000000009040000000e0100000824"], 0x0) [ 1059.376360][T13717] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) 05:46:28 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bb", 0x100, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:28 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, &(0x7f000001ec00)) [ 1059.427945][T13717] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1059.437242][T13717] NILFS (loop1): unable to fall back to spare super block [ 1059.444657][T13717] NILFS (loop1): error -22 while searching super root 05:46:28 executing program 3: syz_usb_connect$hid(0x0, 0x36, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x0, 0x0, 0x0, 0x8, 0x1aad, 0xf, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x1, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0x3, 0x1, 0x0, 0x7, {0x9}, {{{0x9, 0x5, 0x81, 0x3, 0x20}}}}}]}}]}}, 0x0) syz_usb_connect$hid(0x0, 0x36, &(0x7f0000000080)={{0x12, 0x1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x45e, 0x48, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x1, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0x3, 0x1, 0x0, 0x0, {0x9}}}]}}]}}, 0x0) [ 1059.733992][ T9885] usb 6-1: new high-speed USB device number 23 using dummy_hcd 05:46:28 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, &(0x7f000001ec00)) [ 1059.948144][ T9650] usb 1-1: new high-speed USB device number 7 using dummy_hcd [ 1059.972825][ T9885] usb 6-1: Using ep0 maxpacket: 8 [ 1060.094802][ T9885] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1060.104474][ T9885] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1060.134155][ T9885] usb 6-1: config 0 descriptor?? [ 1060.202932][ T9650] usb 1-1: Using ep0 maxpacket: 8 [ 1060.323166][ T9650] usb 1-1: config 128 has an invalid descriptor of length 0, skipping remainder of the config [ 1060.363357][ T6] usb 4-1: new high-speed USB device number 5 using dummy_hcd [ 1060.393458][ T9885] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 1060.433510][ T9650] usb 1-1: New USB device found, idVendor=0bd3, idProduct=0d55, bcdDevice=69.6a [ 1060.443417][ T9650] usb 1-1: New USB device strings: Mfr=64, Product=0, SerialNumber=0 [ 1060.451698][ T9650] usb 1-1: Manufacturer: syz [ 1060.540847][ T9650] usb 1-1: Found UVC 0.00 device (0bd3:0d55) [ 1060.548051][ T9650] usb 1-1: No valid video chain found. [ 1060.623533][ T6] usb 4-1: Using ep0 maxpacket: 8 [ 1060.740245][ T9650] usb 1-1: USB disconnect, device number 7 [ 1060.765649][ T6] usb 4-1: config 1 interface 0 altsetting 0 has 1 endpoint descriptor, different from the interface descriptor's value: 0 [ 1060.942988][ T6] usb 4-1: New USB device found, idVendor=1aad, idProduct=000f, bcdDevice= 0.40 [ 1060.952500][ T6] usb 4-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 1060.960872][ T6] usb 4-1: Product: syz [ 1060.965518][ T6] usb 4-1: Manufacturer: syz [ 1060.970381][ T6] usb 4-1: SerialNumber: syz [ 1061.219969][T13733] UDC core: USB Raw Gadget: couldn't find an available UDC or it's busy [ 1061.229714][T13733] misc raw-gadget: fail, usb_gadget_register_driver returned -16 [ 1061.289913][ T6] usbhid 4-1:1.0: couldn't find an input interrupt endpoint [ 1061.325574][ T6] usb 4-1: USB disconnect, device number 5 [ 1063.083134][ T9885] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1063.093823][ T9885] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1063.105718][ T9885] asix: probe of 6-1:0.0 failed with error -71 [ 1063.136324][ T9885] usb 6-1: USB disconnect, device number 23 05:46:32 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:46:32 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x25, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:46:32 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, &(0x7f000001ec00)) 05:46:32 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bb", 0x100, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:32 executing program 0: syz_usb_connect$hid(0x0, 0x36, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x0, 0x0, 0x0, 0x8, 0x1aad, 0xf, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x1, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0x3, 0x1, 0x0, 0x0, {0x9}}}]}}]}}, &(0x7f0000000340)={0x0, 0x0, 0x5, 0x0, 0x1, [{0x0, 0x0}]}) 05:46:32 executing program 3: syz_usb_connect(0x0, 0x3f, &(0x7f00000005c0)=ANY=[@ANYBLOB="1201000092908108ac05158258710000000109022d00010000000009040000030b080b0009058d400d00010000090505"], 0x0) [ 1063.630761][T13738] loop1: detected capacity change from 0 to 200 [ 1063.704153][T13738] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1063.752267][T13738] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1063.760631][T13738] NILFS (loop1): unable to fall back to spare super block [ 1063.768128][T13738] NILFS (loop1): error -22 while searching super root 05:46:32 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x9, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d0000000000000060000000000000001000000000000000010000000100000001000000010000081000000050000000000000000000000000000000000000000000000450100000000000001000000000100000000000000000000080000000000008005000000000010000000100000001000000000000000000000000000000000000000000000000000000000000000007a2886669ddc4cfdb08b0d3631aae316", 0x11b, 0x10000}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}], 0x0, &(0x7f000001ec00)) 05:46:33 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bb", 0x100, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1063.933142][ T6] usb 4-1: new high-speed USB device number 6 using dummy_hcd [ 1063.963752][ T9885] usb 1-1: new high-speed USB device number 8 using dummy_hcd 05:46:33 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {0x0, 0x0, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 1064.173278][ T6] usb 4-1: Using ep0 maxpacket: 8 [ 1064.194130][ T9650] usb 6-1: new high-speed USB device number 24 using dummy_hcd [ 1064.210741][T13748] loop2: detected capacity change from 0 to 256 [ 1064.218249][ T9885] usb 1-1: Using ep0 maxpacket: 8 [ 1064.293662][ T6] usb 4-1: config 0 has an invalid descriptor of length 0, skipping remainder of the config [ 1064.304335][ T6] usb 4-1: config 0 interface 0 altsetting 0 endpoint 0x5 has invalid wMaxPacketSize 0 [ 1064.314484][ T6] usb 4-1: config 0 interface 0 altsetting 0 has 2 endpoint descriptors, different from the interface descriptor's value: 3 [ 1064.328050][ T6] usb 4-1: New USB device found, idVendor=05ac, idProduct=8215, bcdDevice=71.58 [ 1064.337621][ T6] usb 4-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1064.353726][ T9885] usb 1-1: config 1 interface 0 altsetting 0 has 1 endpoint descriptor, different from the interface descriptor's value: 0 [ 1064.374212][T13748] BTRFS info (device loop2): using xxhash64 (xxhash64-generic) checksum algorithm [ 1064.385277][T13748] BTRFS error (device loop2): superblock checksum mismatch [ 1064.420620][T13752] loop1: detected capacity change from 0 to 200 [ 1064.423842][T13748] BTRFS error (device loop2): open_ctree failed [ 1064.473401][ T9650] usb 6-1: Using ep0 maxpacket: 8 05:46:33 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbff", 0x180, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1064.519558][ T6] usb 4-1: config 0 descriptor?? [ 1064.562062][T13752] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1064.593580][ T9650] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1064.603556][ T9650] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1064.614402][ T9885] usb 1-1: string descriptor 0 read error: -22 [ 1064.621157][ T9885] usb 1-1: New USB device found, idVendor=1aad, idProduct=000f, bcdDevice= 0.40 [ 1064.630629][ T9885] usb 1-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 1064.639338][T13752] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1064.647636][T13752] NILFS (loop1): unable to fall back to spare super block [ 1064.655013][T13752] NILFS (loop1): error -22 while searching super root 05:46:33 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x8, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d0000000000000060000000000000001000000000000000010000000100000001000000010000081000000050000000000000000000000000000000000000000000000450100000000000001000000000100000000000000000000080000000000008005000000000010000000100000001000000000000000000000000000000000000000000000000000000000000000007a2886669ddc4cfdb08b0d3631aae316", 0x11b, 0x10000}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}], 0x0, &(0x7f000001ec00)) [ 1064.705344][ T9650] usb 6-1: config 0 descriptor?? [ 1064.793560][ T9842] usb 4-1: USB disconnect, device number 6 [ 1064.831565][ T9885] usbhid 1-1:1.0: couldn't find an input interrupt endpoint 05:46:34 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {0x0, 0x0, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 1064.963740][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 1064.992220][T13757] loop2: detected capacity change from 0 to 256 [ 1065.075636][ T114] usb 1-1: USB disconnect, device number 8 [ 1065.082910][T13757] BTRFS info (device loop2): using xxhash64 (xxhash64-generic) checksum algorithm [ 1065.093100][T13757] BTRFS error (device loop2): superblock checksum mismatch [ 1065.122892][T13757] BTRFS error (device loop2): open_ctree failed [ 1065.366612][T13759] loop1: detected capacity change from 0 to 200 [ 1065.434834][T13759] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1065.520597][T13759] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1065.531273][T13759] NILFS (loop1): unable to fall back to spare super block [ 1065.538711][T13759] NILFS (loop1): error -22 while searching super root [ 1067.673035][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1067.684207][ T9650] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1067.696089][ T9650] asix: probe of 6-1:0.0 failed with error -71 [ 1067.710831][ T9650] usb 6-1: USB disconnect, device number 24 05:46:37 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:46:37 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {0x0, 0x0, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:46:37 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbff", 0x180, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:37 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x7, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d0000000000000060000000000000001000000000000000010000000100000001000000010000081000000050000000000000000000000000000000000000000000000450100000000000001000000000100000000000000000000080000000000008005000000000010000000100000001000000000000000000000000000000000000000000000000000000000000000007a2886669ddc4cfdb08b0d3631aae316", 0x11b, 0x10000}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}], 0x0, &(0x7f000001ec00)) 05:46:37 executing program 3: syz_usb_connect(0x0, 0x3f, &(0x7f00000005c0)=ANY=[@ANYBLOB="1201000092908108ac05158258710000000109022d00010000000009040000030b080b0009058d400d00010000090505"], 0x0) 05:46:37 executing program 0: syz_usb_connect$hid(0x0, 0x36, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x0, 0x0, 0x0, 0x8, 0x1aad, 0xf, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x1, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x2, 0x3, 0x1, 0x0, 0x0, {0x9}}}]}}]}}, 0x0) [ 1068.248435][T13764] loop2: detected capacity change from 0 to 256 [ 1068.293113][T13766] loop1: detected capacity change from 0 to 200 [ 1068.335353][T13766] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1068.384916][T13764] BTRFS info (device loop2): using xxhash64 (xxhash64-generic) checksum algorithm [ 1068.394719][T13764] BTRFS error (device loop2): superblock checksum mismatch [ 1068.411438][T13766] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1068.420024][T13766] NILFS (loop1): unable to fall back to spare super block [ 1068.427633][T13766] NILFS (loop1): error -22 while searching super root 05:46:37 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbff", 0x180, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1068.454621][T13764] BTRFS error (device loop2): open_ctree failed 05:46:37 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00), 0x0, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 1068.553118][ T9650] usb 1-1: new high-speed USB device number 9 using dummy_hcd [ 1068.553157][ T114] usb 4-1: new high-speed USB device number 7 using dummy_hcd 05:46:37 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x6, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d0000000000000060000000000000001000000000000000010000000100000001000000010000081000000050000000000000000000000000000000000000000000000450100000000000001000000000100000000000000000000080000000000008005000000000010000000100000001000000000000000000000000000000000000000000000000000000000000000007a2886669ddc4cfdb08b0d3631aae316", 0x11b, 0x10000}, {0x0}, {0x0}, {0x0}, {0x0}, {0x0}], 0x0, &(0x7f000001ec00)) [ 1068.722791][ T6] usb 6-1: new high-speed USB device number 25 using dummy_hcd 05:46:37 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84", 0x1c0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1068.823227][ T9650] usb 1-1: Using ep0 maxpacket: 8 [ 1068.833489][ T114] usb 4-1: Using ep0 maxpacket: 8 [ 1068.949989][T13778] loop2: detected capacity change from 0 to 256 [ 1068.953618][ T114] usb 4-1: config 0 has an invalid descriptor of length 0, skipping remainder of the config [ 1068.958050][ T9650] usb 1-1: config 1 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 0, changing to 7 [ 1068.966776][ T114] usb 4-1: config 0 interface 0 altsetting 0 endpoint 0x5 has invalid wMaxPacketSize 0 [ 1068.977877][ T9650] usb 1-1: config 1 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 1068.987842][ T114] usb 4-1: config 0 interface 0 altsetting 0 has 2 endpoint descriptors, different from the interface descriptor's value: 3 [ 1068.997645][ T9650] usb 1-1: config 1 interface 0 altsetting 0 has 1 endpoint descriptor, different from the interface descriptor's value: 2 [ 1069.010626][ T114] usb 4-1: New USB device found, idVendor=05ac, idProduct=8215, bcdDevice=71.58 [ 1069.025033][ T6] usb 6-1: Using ep0 maxpacket: 8 [ 1069.033392][ T114] usb 4-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1069.133768][T13779] loop1: detected capacity change from 0 to 200 [ 1069.193770][ T6] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1069.203323][ T6] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1069.208573][T13778] BTRFS info (device loop2): using xxhash64 (xxhash64-generic) checksum algorithm [ 1069.221203][T13778] BTRFS error (device loop2): superblock checksum mismatch [ 1069.233646][ T6] usb 6-1: config 0 descriptor?? [ 1069.259582][T13779] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1069.305245][T13778] BTRFS error (device loop2): open_ctree failed [ 1069.356161][T13779] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1069.364696][T13779] NILFS (loop1): unable to fall back to spare super block [ 1069.371998][T13779] NILFS (loop1): error -22 while searching super root [ 1069.383991][ T9650] usb 1-1: New USB device found, idVendor=1aad, idProduct=000f, bcdDevice= 0.40 [ 1069.393830][ T9650] usb 1-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 1069.402031][ T9650] usb 1-1: Product: syz [ 1069.406555][ T9650] usb 1-1: Manufacturer: syz [ 1069.411331][ T9650] usb 1-1: SerialNumber: syz [ 1069.483626][ T6] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random 05:46:38 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x5, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d0000000000000060000000000000001000000000000000010000000100000001000000010000081000000050000000000000000000000000000000000000000000000450100000000000001000000000100000000000000000000080000000000008005000000000010000000100000001000000000000000000000000000000000000000000000000000000000000000007a2886669ddc4cfdb08b0d3631aae316", 0x11b, 0x10000}, {0x0}, {0x0}, {0x0}, {0x0}], 0x0, &(0x7f000001ec00)) [ 1069.524966][ T114] usb 4-1: config 0 descriptor?? 05:46:38 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84", 0x1c0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1069.845177][T11145] usb 4-1: USB disconnect, device number 7 [ 1069.874173][ T9650] usbhid 1-1:1.0: can't add hid device: -22 [ 1069.880656][ T9650] usbhid: probe of 1-1:1.0 failed with error -22 [ 1069.910870][T13785] loop2: detected capacity change from 0 to 256 [ 1069.945947][ T9650] usb 1-1: USB disconnect, device number 9 [ 1070.037784][T13785] BTRFS info (device loop2): using xxhash64 (xxhash64-generic) checksum algorithm [ 1070.047823][T13785] BTRFS error (device loop2): superblock checksum mismatch [ 1070.134118][T13785] BTRFS error (device loop2): open_ctree failed [ 1072.153002][ T6] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1072.163617][ T6] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1072.176606][ T6] asix: probe of 6-1:0.0 failed with error -71 [ 1072.193236][ T6] usb 6-1: USB disconnect, device number 25 05:46:41 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:46:41 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00), 0x0, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:46:41 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84", 0x1c0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:41 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x4, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d0000000000000060000000000000001000000000000000010000000100000001000000010000081000000050000000000000000000000000000000000000000000000450100000000000001000000000100000000000000000000080000000000008005000000000010000000100000001000000000000000000000000000000000000000000000000000000000000000007a2886669ddc4cfdb08b0d3631aae316", 0x11b, 0x10000}, {0x0}, {0x0}, {0x0}], 0x0, &(0x7f000001ec00)) 05:46:41 executing program 0: r0 = openat$vcsu(0xffffffffffffff9c, &(0x7f0000003400), 0x0, 0x0) io_setup(0x9, &(0x7f0000002100)=0x0) r2 = openat$vcsu(0xffffffffffffff9c, &(0x7f0000003400), 0x0, 0x0) io_submit(r1, 0x3, &(0x7f00000035c0)=[&(0x7f0000002300)={0x0, 0x0, 0x0, 0x0, 0x0, r2, 0x0, 0x0, 0x0, 0x0, 0x3, r0}, 0x0, 0x0]) 05:46:41 executing program 3: r0 = socket$inet6(0xa, 0x1, 0x8010000000000084) bind$inet6(r0, &(0x7f0000000080)={0xa, 0x4e21, 0x0, @empty}, 0x1c) connect$inet6(r0, &(0x7f0000000000)={0xa, 0x4e21, 0x0, @ipv4={'\x00', '\xff\xff', @dev={0xac, 0x14, 0x14, 0x28}}}, 0x1c) setsockopt$inet_sctp6_SCTP_PEER_ADDR_PARAMS(r0, 0x84, 0x9, &(0x7f0000000300)={0x0, @in6={{0xa, 0x0, 0x0, @empty}}, 0x0, 0x2}, 0x9c) [ 1072.752884][T13798] loop2: detected capacity change from 0 to 256 [ 1072.775451][T13797] loop1: detected capacity change from 0 to 200 [ 1072.831485][T13798] BTRFS info (device loop2): using xxhash64 (xxhash64-generic) checksum algorithm [ 1072.841439][T13798] BTRFS error (device loop2): superblock checksum mismatch [ 1072.922181][T13797] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1072.926536][T13798] BTRFS error (device loop2): open_ctree failed 05:46:42 executing program 0: r0 = socket$inet6_sctp(0x1c, 0x5, 0x84) r1 = dup2(r0, r0) setsockopt$inet_sctp_SCTP_PEER_ADDR_THLDS(r1, 0x84, 0x23, &(0x7f0000000280), 0x90) 05:46:42 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84f4611a6ab2c706a76069f99e75055b881531c7da9ab09d051b6a1bf6773372a3", 0x1e0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1072.985137][T13797] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1072.993479][T13797] NILFS (loop1): unable to fall back to spare super block [ 1073.000763][T13797] NILFS (loop1): error -22 while searching super root [ 1073.075082][ T1194] ieee802154 phy0 wpan0: encryption failed: -22 [ 1073.081696][ T1194] ieee802154 phy1 wpan1: encryption failed: -22 05:46:42 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x3, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d0000000000000060000000000000001000000000000000010000000100000001000000010000081000000050000000000000000000000000000000000000000000000450100000000000001000000000100000000000000000000080000000000008005000000000010000000100000001000000000000000000000000000000000000000000000000000000000000000007a2886669ddc4cfdb08b0d3631aae316", 0x11b, 0x10000}, {0x0}, {0x0}], 0x0, &(0x7f000001ec00)) 05:46:42 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00), 0x0, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:46:42 executing program 3: prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$sndmidi(&(0x7f0000000040), 0x2, 0x141105) r1 = dup(r0) write$6lowpan_enable(r1, &(0x7f0000000000)='0', 0xfffffd2c) r2 = socket$inet6(0xa, 0x1, 0x8010000000000084) socket$netlink(0x10, 0x3, 0x0) socket(0x10, 0x803, 0x0) memfd_create(0x0, 0x0) bind$inet6(r2, &(0x7f0000000080)={0xa, 0x4e21, 0x0, @empty}, 0x1c) connect$inet6(r2, &(0x7f0000000000)={0xa, 0x4e21, 0x0, @ipv4={'\x00', '\xff\xff', @dev={0xac, 0x14, 0x14, 0x28}}, 0xfffffffe}, 0x1c) setsockopt$inet_sctp6_SCTP_PEER_ADDR_PARAMS(r2, 0x84, 0x9, &(0x7f0000000300)={0x0, @in6={{0xa, 0x0, 0x0, @empty}}, 0x0, 0x0, 0x0, 0x4, 0xb3550aa4ba878354}, 0x9c) [ 1073.244195][ T114] usb 6-1: new high-speed USB device number 26 using dummy_hcd [ 1073.482684][ T114] usb 6-1: Using ep0 maxpacket: 8 [ 1073.557011][T13813] loop2: detected capacity change from 0 to 256 05:46:42 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84f4611a6ab2c706a76069f99e75055b881531c7da9ab09d051b6a1bf6773372a3", 0x1e0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1073.612846][T13815] loop1: detected capacity change from 0 to 200 [ 1073.623185][ T114] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1073.632609][ T114] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1073.688794][ T114] usb 6-1: config 0 descriptor?? [ 1073.729825][T13815] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1073.918247][T13815] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1073.927786][T13815] NILFS (loop1): unable to fall back to spare super block [ 1073.935326][T13815] NILFS (loop1): error -22 while searching super root [ 1073.958379][T13813] BTRFS info (device loop2): using xxhash64 (xxhash64-generic) checksum algorithm [ 1073.967930][T13813] BTRFS error (device loop2): superblock checksum mismatch [ 1073.974376][ T114] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 1074.054247][T13813] BTRFS error (device loop2): open_ctree failed [ 1076.632994][ T114] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1076.643768][ T114] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1076.655664][ T114] asix: probe of 6-1:0.0 failed with error -71 [ 1076.680605][ T114] usb 6-1: USB disconnect, device number 26 05:46:46 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:46:46 executing program 0: pipe2(&(0x7f0000000080)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) dup2(r1, r0) poll(&(0x7f0000000000)=[{r1, 0x1}], 0x1, 0x0) 05:46:46 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:46:46 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x2, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d0000000000000060000000000000001000000000000000010000000100000001000000010000081000000050000000000000000000000000000000000000000000000450100000000000001000000000100000000000000000000080000000000008005000000000010000000100000001000000000000000000000000000000000000000000000000000000000000000007a2886669ddc4cfdb08b0d3631aae316", 0x11b, 0x10000}, {0x0}], 0x0, &(0x7f000001ec00)) 05:46:46 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84f4611a6ab2c706a76069f99e75055b881531c7da9ab09d051b6a1bf6773372a3", 0x1e0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:46 executing program 3: prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$sndmidi(&(0x7f0000000040), 0x2, 0x141105) r1 = dup(r0) write$6lowpan_enable(r1, &(0x7f0000000000)='0', 0xfffffd2c) r2 = socket$inet6(0xa, 0x1, 0x8010000000000084) socket$netlink(0x10, 0x3, 0x0) socket(0x10, 0x803, 0x0) memfd_create(0x0, 0x0) bind$inet6(r2, &(0x7f0000000080)={0xa, 0x4e21, 0x0, @empty}, 0x1c) connect$inet6(r2, &(0x7f0000000000)={0xa, 0x4e21, 0x0, @ipv4={'\x00', '\xff\xff', @dev={0xac, 0x14, 0x14, 0x28}}, 0xfffffffe}, 0x1c) setsockopt$inet_sctp6_SCTP_PEER_ADDR_PARAMS(r2, 0x84, 0x9, &(0x7f0000000300)={0x0, @in6={{0xa, 0x0, 0x0, @empty}}, 0x0, 0x0, 0x0, 0x4, 0xb3550aa4ba878354}, 0x9c) [ 1077.223452][T13827] loop1: detected capacity change from 0 to 200 [ 1077.250032][T13830] loop2: detected capacity change from 0 to 256 [ 1077.370487][T13830] BTRFS info (device loop2): using xxhash64 (xxhash64-generic) checksum algorithm [ 1077.380577][T13830] BTRFS error (device loop2): superblock checksum mismatch 05:46:46 executing program 0: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_TIMEOUT_DEFAULT_GET(r0, &(0x7f0000000100)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000040)={0x24, 0x4, 0x8, 0x801, 0x0, 0x0, {}, [@CTA_TIMEOUT_L3PROTO={0x6}, @CTA_TIMEOUT_L4PROTO={0x4}]}, 0x24}}, 0x0) [ 1077.420981][T13830] BTRFS error (device loop2): open_ctree failed 05:46:46 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84f4611a6ab2c706a76069f99e75055b881531c7da9ab09d051b6a1bf6773372a3370d7058473510aadea9e13b0782fc8d", 0x1f0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1077.571358][T13827] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1077.651170][T13827] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1077.660184][T13827] NILFS (loop1): unable to fall back to spare super block [ 1077.667627][T13827] NILFS (loop1): error -22 while searching super root 05:46:46 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x1, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d0000000000000060000000000000001000000000000000010000000100000001000000010000081000000050000000000000000000000000000000000000000000000450100000000000001000000000100000000000000000000080000000000008005000000000010000000100000001000000000000000000000000000000000000000000000000000000000000000007a2886669ddc4cfdb08b0d3631aae316", 0x11b, 0x10000}], 0x0, &(0x7f000001ec00)) [ 1077.742987][ T6] usb 6-1: new high-speed USB device number 27 using dummy_hcd [ 1077.790985][T13838] netlink: 'syz-executor.0': attribute type 3 has an invalid length. [ 1077.936261][T13842] loop2: detected capacity change from 0 to 256 05:46:47 executing program 0: r0 = socket$inet6(0xa, 0x1, 0x8010000000000084) bind$inet6(r0, &(0x7f0000000080)={0xa, 0x4e21, 0x0, @empty}, 0x1c) connect$inet6(r0, &(0x7f0000000000)={0xa, 0x4e21, 0x0, @ipv4={'\x00', '\xff\xff', @dev={0xac, 0x14, 0x14, 0x28}}}, 0x1c) setsockopt$inet_sctp6_SCTP_PEER_ADDR_PARAMS(r0, 0x84, 0x25, &(0x7f0000000300)={0x0, @in6={{0xa, 0x0, 0x0, @empty}}}, 0x9c) [ 1077.984178][ T6] usb 6-1: Using ep0 maxpacket: 8 05:46:47 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 1078.104402][ T6] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1078.114385][ T6] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1078.235401][ T6] usb 6-1: config 0 descriptor?? [ 1078.245761][T13842] BTRFS info (device loop2): using xxhash64 (xxhash64-generic) checksum algorithm [ 1078.255737][T13842] BTRFS error (device loop2): superblock checksum mismatch [ 1078.282843][T13842] BTRFS error (device loop2): open_ctree failed [ 1078.493321][ T6] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random 05:46:47 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84f4611a6ab2c706a76069f99e75055b881531c7da9ab09d051b6a1bf6773372a3370d7058473510aadea9e13b0782fc8d", 0x1f0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1078.813832][T13848] loop1: detected capacity change from 0 to 200 [ 1078.907949][T13848] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1079.028242][T13848] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1079.036542][T13848] NILFS (loop1): unable to fall back to spare super block [ 1079.043954][T13848] NILFS (loop1): error -22 while searching super root [ 1081.152907][ T6] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1081.164238][ T6] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1081.176361][ T6] asix: probe of 6-1:0.0 failed with error -71 [ 1081.201391][ T6] usb 6-1: USB disconnect, device number 27 05:46:50 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:46:50 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x0, &(0x7f0000000200), 0x0, &(0x7f000001ec00)) 05:46:50 executing program 0: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000280), 0x0, 0x0) r1 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) ioctl$KVM_CREATE_IRQCHIP(r1, 0xae60) r2 = ioctl$KVM_CREATE_VCPU(r1, 0xae41, 0x0) ioctl$KVM_SET_USER_MEMORY_REGION(r1, 0x4020ae46, &(0x7f0000bf7000)={0x0, 0x0, 0x0, 0x2000, &(0x7f0000000000/0x2000)=nil}) ioctl$KVM_CREATE_PIT2(r1, 0x4040ae77, &(0x7f0000000040)) ioctl$KVM_SET_REGS(r2, 0x4090ae82, &(0x7f00000002c0)={[0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1003], 0x10000}) ioctl$KVM_SET_PIT2(r1, 0x4070aea0, &(0x7f00000001c0)={[], 0x1}) syz_kvm_setup_cpu$x86(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000fe8000/0x18000)=nil, &(0x7f0000000080)=[@text32={0x20, &(0x7f00000000c0)="66ba4000b0c2ee66bad104b829280000efea0e0000000a00b8050000000f23d80f21f835c00000a00f23f8653e65f30f21c62e0fae2b0f01c2b8060000000f23d00f21f835200000000f23f8c4c3a1cf830000000002d9f7", 0x58}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_RUN(r2, 0xae80, 0x0) 05:46:50 executing program 3: prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$sndmidi(&(0x7f0000000040), 0x2, 0x141105) r1 = dup(r0) write$6lowpan_enable(r1, &(0x7f0000000000)='0', 0xfffffd2c) r2 = socket$inet6(0xa, 0x1, 0x8010000000000084) socket$netlink(0x10, 0x3, 0x0) socket(0x10, 0x803, 0x0) memfd_create(0x0, 0x0) bind$inet6(r2, &(0x7f0000000080)={0xa, 0x4e21, 0x0, @empty}, 0x1c) connect$inet6(r2, &(0x7f0000000000)={0xa, 0x4e21, 0x0, @ipv4={'\x00', '\xff\xff', @dev={0xac, 0x14, 0x14, 0x28}}, 0xfffffffe}, 0x1c) setsockopt$inet_sctp6_SCTP_PEER_ADDR_PARAMS(r2, 0x84, 0x9, &(0x7f0000000300)={0x0, @in6={{0xa, 0x0, 0x0, @empty}}, 0x0, 0x0, 0x0, 0x4, 0xb3550aa4ba878354}, 0x9c) 05:46:50 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84f4611a6ab2c706a76069f99e75055b881531c7da9ab09d051b6a1bf6773372a3370d7058473510aadea9e13b0782fc8d", 0x1f0, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:50 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900)="03", 0x1, 0xab00}, {&(0x7f0000014a00)="01", 0x1}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 1081.721851][T13859] loop1: detected capacity change from 0 to 200 [ 1081.753399][T13859] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1081.801993][T13859] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1081.810271][T13859] NILFS (loop1): unable to fall back to spare super block [ 1081.817752][T13859] NILFS (loop1): error -22 while searching super root 05:46:51 executing program 0: syz_genetlink_get_family_id$smc(&(0x7f0000000a40), 0xffffffffffffffff) 05:46:51 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x0, &(0x7f0000000200), 0x0, &(0x7f000001ec00)) 05:46:51 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84f4611a6ab2c706a76069f99e75055b881531c7da9ab09d051b6a1bf6773372a3370d7058473510aadea9e13b0782fc8d02eb797f7631cc16", 0x1f8, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:51 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x25, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:46:51 executing program 0: r0 = socket$inet6(0xa, 0x1, 0x8010000000000084) bind$inet6(r0, &(0x7f0000000080)={0xa, 0x4e21, 0x0, @empty}, 0x1c) connect$inet6(r0, &(0x7f0000000000)={0xa, 0x4e21, 0x0, @ipv4={'\x00', '\xff\xff', @dev={0xac, 0x14, 0x14, 0x28}}}, 0x1c) setsockopt$inet_sctp6_SCTP_PEER_ADDR_PARAMS(r0, 0x84, 0x9, &(0x7f0000000300)={0x0, @in6={{0xa, 0x0, 0x0, @empty}}, 0x0, 0xf401}, 0x9c) [ 1082.522899][ T6] usb 6-1: new high-speed USB device number 28 using dummy_hcd [ 1082.617539][T13879] loop1: detected capacity change from 0 to 200 [ 1082.656879][T13879] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1082.679319][T13879] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1082.687708][T13879] NILFS (loop1): unable to fall back to spare super block [ 1082.695071][T13879] NILFS (loop1): error -22 while searching super root [ 1082.782985][ T6] usb 6-1: Using ep0 maxpacket: 8 05:46:51 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x0, &(0x7f0000000200), 0x0, &(0x7f000001ec00)) [ 1082.914187][ T6] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1082.924876][ T6] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1082.977293][ T6] usb 6-1: config 0 descriptor?? [ 1083.253684][ T6] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 1085.912885][ T6] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1085.923489][ T6] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1085.937669][ T6] asix: probe of 6-1:0.0 failed with error -71 [ 1085.953503][ T6] usb 6-1: USB disconnect, device number 28 05:46:55 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x25, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:46:55 executing program 3: prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$sndmidi(&(0x7f0000000040), 0x2, 0x141105) r1 = dup(r0) write$6lowpan_enable(r1, &(0x7f0000000000)='0', 0xfffffd2c) r2 = socket$inet6(0xa, 0x1, 0x8010000000000084) socket$netlink(0x10, 0x3, 0x0) socket(0x10, 0x803, 0x0) memfd_create(0x0, 0x0) bind$inet6(r2, &(0x7f0000000080)={0xa, 0x4e21, 0x0, @empty}, 0x1c) connect$inet6(r2, &(0x7f0000000000)={0xa, 0x4e21, 0x0, @ipv4={'\x00', '\xff\xff', @dev={0xac, 0x14, 0x14, 0x28}}, 0xfffffffe}, 0x1c) setsockopt$inet_sctp6_SCTP_PEER_ADDR_PARAMS(r2, 0x84, 0x9, &(0x7f0000000300)={0x0, @in6={{0xa, 0x0, 0x0, @empty}}, 0x0, 0x0, 0x0, 0x4, 0xb3550aa4ba878354}, 0x9c) 05:46:55 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84f4611a6ab2c706a76069f99e75055b881531c7da9ab09d051b6a1bf6773372a3370d7058473510aadea9e13b0782fc8d02eb797f7631cc16", 0x1f8, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:55 executing program 0: socket$nl_route(0x10, 0x3, 0x0) r0 = socket$nl_route(0x10, 0x3, 0x0) r1 = socket(0x11, 0x800000003, 0x0) getsockname$packet(r1, &(0x7f0000000040)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @dev}, &(0x7f0000001880)=0x14) sendmsg$nl_route(r0, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000000)={&(0x7f00000001c0)=ANY=[@ANYBLOB="3000000010000100"/20, @ANYRES32=0x0, @ANYBLOB='\x00\x00\x00\x00\x00\x00\x00\x00\b\x00\r\x00', @ANYRES32=r2, @ANYBLOB="08001b"], 0x30}}, 0x0) 05:46:55 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:46:55 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x1, &(0x7f0000000200)=[{0x0, 0x0, 0x10000}], 0x0, &(0x7f000001ec00)) [ 1086.487250][T13888] loop2: detected capacity change from 0 to 256 [ 1086.505301][T13890] loop1: detected capacity change from 0 to 200 [ 1086.722533][T13890] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1086.772420][T13890] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1086.780802][T13890] NILFS (loop1): unable to fall back to spare super block [ 1086.788240][T13890] NILFS (loop1): error -22 while searching super root [ 1086.869165][T13894] IPv6: ADDRCONF(NETDEV_CHANGE): vcan0: link becomes ready 05:46:56 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84f4611a6ab2c706a76069f99e75055b881531c7da9ab09d051b6a1bf6773372a3370d7058473510aadea9e13b0782fc8d02eb797f7631cc16", 0x1f8, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:56 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x1, &(0x7f0000000200)=[{0x0, 0x0, 0x10000}], 0x0, &(0x7f000001ec00)) 05:46:56 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x25, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 1087.073801][ T9842] usb 6-1: new high-speed USB device number 29 using dummy_hcd 05:46:56 executing program 0: socket$nl_route(0x10, 0x3, 0x0) r0 = socket$nl_route(0x10, 0x3, 0x0) r1 = socket(0x11, 0x800000003, 0x0) getsockname$packet(r1, &(0x7f0000000040)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @dev}, &(0x7f0000001880)=0x14) sendmsg$nl_route(r0, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000000)={&(0x7f00000001c0)=ANY=[@ANYBLOB="3000000010000100"/20, @ANYRES32=0x0, @ANYBLOB='\x00\x00\x00\x00\x00\x00\x00\x00\b\x00\r\x00', @ANYRES32=r2, @ANYBLOB="08001b"], 0x30}}, 0x0) [ 1087.313064][ T9842] usb 6-1: Using ep0 maxpacket: 8 [ 1087.442975][ T9842] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1087.452353][ T9842] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1087.505239][ T9842] usb 6-1: config 0 descriptor?? [ 1087.507283][T13905] loop2: detected capacity change from 0 to 256 05:46:56 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84f4611a6ab2c706a76069f99e75055b881531c7da9ab09d051b6a1bf6773372a3370d7058473510aadea9e13b0782fc8d02eb797f7631cc16bc39c732", 0x1fc, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1087.577842][T13906] loop1: detected capacity change from 0 to 200 [ 1087.691660][T13908] IPv6: ADDRCONF(NETDEV_CHANGE): vcan0: link becomes ready 05:46:56 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x1, &(0x7f0000000200)=[{0x0, 0x0, 0x10000}], 0x0, &(0x7f000001ec00)) [ 1087.763418][ T9842] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 1087.775817][T13906] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1087.837406][T13906] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1087.845656][T13906] NILFS (loop1): unable to fall back to spare super block [ 1087.853039][T13906] NILFS (loop1): error -22 while searching super root 05:46:56 executing program 0: r0 = socket$inet6(0xa, 0x1, 0x8010000000000084) bind$inet6(r0, &(0x7f0000000080)={0xa, 0x4e21, 0x0, @empty}, 0x1c) connect$inet6(r0, &(0x7f0000000000)={0xa, 0x4e21, 0x0, @ipv4={'\x00', '\xff\xff', @dev={0xac, 0x14, 0x14, 0x28}}}, 0x1c) setsockopt$inet_sctp6_SCTP_PEER_ADDR_PARAMS(r0, 0x84, 0x9, &(0x7f0000000300)={0x0, @in6={{0xa, 0x0, 0x0, @empty}}}, 0x9c) r1 = openat$sysfs(0xffffff9c, &(0x7f0000000080)='/sys/kernel/profiling', 0x2, 0x0) copy_file_range(r1, &(0x7f0000000100)=0x4, r1, &(0x7f0000000140)=0xb3, 0x8, 0x0) getsockopt$inet_sctp6_SCTP_ENABLE_STREAM_RESET(r0, 0x84, 0x76, &(0x7f0000000040)={0x0, 0x5}, &(0x7f00000000c0)=0x8) setsockopt$inet_sctp6_SCTP_STREAM_SCHEDULER(r1, 0x84, 0x7b, &(0x7f0000000100)={r2, 0xee2}, 0x8) [ 1087.894579][T13910] loop4: detected capacity change from 0 to 1 [ 1087.966151][T13910] Dev loop4: unable to read RDB block 1 [ 1087.972185][T13910] loop4: unable to read partition table [ 1088.057571][T13910] loop4: partition table beyond EOD, truncated [ 1088.064274][T13910] loop_reread_partitions: partition scan of loop4 () failed (rc=-5) [ 1088.248256][T13917] loop2: detected capacity change from 0 to 256 [ 1090.413100][ T9842] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1090.424054][ T9842] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1090.435997][ T9842] asix: probe of 6-1:0.0 failed with error -71 [ 1090.468578][ T9842] usb 6-1: USB disconnect, device number 29 05:46:59 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:46:59 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {0x0, 0x0, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:46:59 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x1, &(0x7f0000000200)=[{&(0x7f0000010000), 0x0, 0x10000}], 0x0, &(0x7f000001ec00)) 05:46:59 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84f4611a6ab2c706a76069f99e75055b881531c7da9ab09d051b6a1bf6773372a3370d7058473510aadea9e13b0782fc8d02eb797f7631cc16bc39c732", 0x1fc, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:46:59 executing program 3: r0 = socket$inet_udplite(0x2, 0x2, 0x88) ioctl$sock_ipv4_tunnel_SIOCCHGTUNNEL(r0, 0x4020940d, 0x0) 05:46:59 executing program 0: r0 = socket$inet6(0xa, 0x1, 0x8010000000000084) bind$inet6(r0, &(0x7f0000000080)={0xa, 0x4e21, 0x0, @empty}, 0x1c) connect$inet6(r0, &(0x7f0000000000)={0xa, 0x4e21, 0x0, @ipv4={'\x00', '\xff\xff', @dev={0xac, 0x14, 0x14, 0x28}}}, 0x1c) setsockopt$inet_sctp6_SCTP_PEER_ADDR_PARAMS(r0, 0x84, 0x9, &(0x7f0000000300)={0x0, @in6={{0xa, 0x0, 0x0, @empty}}}, 0x9c) r1 = openat$sysfs(0xffffff9c, &(0x7f0000000080)='/sys/kernel/profiling', 0x2, 0x0) copy_file_range(r1, &(0x7f0000000100)=0x4, r1, &(0x7f0000000140)=0xb3, 0x8, 0x0) getsockopt$inet_sctp6_SCTP_ENABLE_STREAM_RESET(r0, 0x84, 0x76, &(0x7f0000000040)={0x0, 0x5}, &(0x7f00000000c0)=0x8) setsockopt$inet_sctp6_SCTP_STREAM_SCHEDULER(r1, 0x84, 0x7b, &(0x7f0000000100)={r2, 0xee2}, 0x8) [ 1090.974474][T13923] loop2: detected capacity change from 0 to 256 [ 1091.059322][T13926] loop1: detected capacity change from 0 to 200 [ 1091.066747][T13929] loop4: detected capacity change from 0 to 1 [ 1091.115201][T13932] Dev loop4: unable to read RDB block 1 [ 1091.121045][T13932] loop4: unable to read partition table 05:47:00 executing program 3: bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000280)={0x9, 0x1, &(0x7f0000000000)=@raw=[@kfunc], &(0x7f0000000080)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) [ 1091.184731][T13926] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) 05:47:00 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x1, &(0x7f0000000200)=[{&(0x7f0000010000), 0x0, 0x10000}], 0x0, &(0x7f000001ec00)) [ 1091.259166][T13932] loop4: partition table beyond EOD, truncated [ 1091.292423][T13926] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1091.300801][T13926] NILFS (loop1): unable to fall back to spare super block [ 1091.308283][T13926] NILFS (loop1): error -22 while searching super root [ 1091.332994][T13929] Dev loop4: unable to read RDB block 1 [ 1091.340381][T13929] loop4: unable to read partition table [ 1091.364217][T13929] loop4: partition table beyond EOD, truncated [ 1091.370846][T13929] loop_reread_partitions: partition scan of loop4 () failed (rc=-5) [ 1091.423011][ T6] usb 6-1: new high-speed USB device number 30 using dummy_hcd 05:47:00 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {0x0, 0x0, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) 05:47:00 executing program 0: r0 = socket$inet6(0xa, 0x1, 0x8010000000000084) bind$inet6(r0, &(0x7f0000000080)={0xa, 0x4e21, 0x0, @empty}, 0x1c) connect$inet6(r0, &(0x7f0000000000)={0xa, 0x4e21, 0x0, @ipv4={'\x00', '\xff\xff', @dev={0xac, 0x14, 0x14, 0x28}}}, 0x1c) setsockopt$inet_sctp6_SCTP_PEER_ADDR_PARAMS(r0, 0x84, 0x9, &(0x7f0000000300)={0x0, @in6={{0xa, 0x0, 0x0, @empty}}}, 0x9c) r1 = openat$sysfs(0xffffff9c, &(0x7f0000000080)='/sys/kernel/profiling', 0x2, 0x0) copy_file_range(r1, &(0x7f0000000100)=0x4, r1, &(0x7f0000000140)=0xb3, 0x8, 0x0) getsockopt$inet_sctp6_SCTP_ENABLE_STREAM_RESET(r0, 0x84, 0x76, &(0x7f0000000040)={0x0, 0x5}, &(0x7f00000000c0)=0x8) setsockopt$inet_sctp6_SCTP_STREAM_SCHEDULER(r1, 0x84, 0x7b, &(0x7f0000000100)={r2, 0xee2}, 0x8) 05:47:00 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84f4611a6ab2c706a76069f99e75055b881531c7da9ab09d051b6a1bf6773372a3370d7058473510aadea9e13b0782fc8d02eb797f7631cc16bc", 0x1f9, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:47:00 executing program 3: openat$fuse(0xffffff9c, &(0x7f0000004140), 0x2, 0x0) [ 1091.602986][T13940] loop2: detected capacity change from 0 to 256 [ 1091.672798][ T6] usb 6-1: Using ep0 maxpacket: 8 [ 1091.793539][ T6] usb 6-1: New USB device found, idVendor=1557, idProduct=7720, bcdDevice=b7.eb [ 1091.803732][ T6] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 1091.838222][T13944] loop1: detected capacity change from 0 to 200 [ 1091.895117][ T6] usb 6-1: config 0 descriptor?? [ 1091.954928][T13944] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) [ 1092.056627][T13944] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1092.065995][T13944] NILFS (loop1): unable to fall back to spare super block [ 1092.073462][T13944] NILFS (loop1): error -22 while searching super root [ 1092.153920][ T6] asix 6-1:0.0 (unnamed net_device) (uninitialized): invalid hw address, using random [ 1094.783162][ T6] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write reg index 0x0000: -71 [ 1094.794568][ T6] asix 6-1:0.0 (unnamed net_device) (uninitialized): Failed to write Medium Mode mode to 0x0306: ffffffb9 [ 1094.806678][ T6] asix: probe of 6-1:0.0 failed with error -71 [ 1094.830408][ T6] usb 6-1: USB disconnect, device number 30 05:47:04 executing program 5: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000580)=ANY=[@ANYBLOB="120100004f92b90857152077ebb7000000010902120001000000000904"], 0x0) syz_usb_control_io(r0, 0x0, &(0x7f00000002c0)={0x8d74a88d6f962bcd, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000b00)={0x40, 0x13, 0x6}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000017c0)={0x2c, &(0x7f0000000080)=ANY=[@ANYBLOB="040006"], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, &(0x7f0000000000)={0x2c, &(0x7f0000000040)={0x0, 0x0, 0x1, "d2"}, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r0, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(0xffffffffffffffff, 0x0, 0x0) syz_usb_control_io(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, 0x0) 05:47:04 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x1, &(0x7f0000000200)=[{&(0x7f0000010000), 0x0, 0x10000}], 0x0, &(0x7f000001ec00)) 05:47:04 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84f4611a6ab2c706a76069f99e75055b881531c7da9ab09d051b6a1bf6773372a3370d7058473510aadea9e13b0782fc8d02eb797f7631cc16bc", 0x1f9, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) 05:47:04 executing program 3: prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) syz_open_dev$sndmidi(0x0, 0x2, 0x0) dup(0xffffffffffffffff) write$6lowpan_enable(0xffffffffffffffff, 0x0, 0x0) r0 = socket$inet6(0xa, 0x1, 0x8010000000000084) bind$inet6(r0, &(0x7f0000000080)={0xa, 0x4e21, 0x0, @empty}, 0x1c) connect$inet6(r0, &(0x7f0000000000)={0xa, 0x4e21, 0x0, @ipv4={'\x00', '\xff\xff', @dev={0xac, 0x14, 0x14, 0x28}}}, 0x1c) setsockopt$inet_sctp6_SCTP_PEER_ADDR_PARAMS(r0, 0x84, 0x9, &(0x7f0000000300)={0x0, @in6={{0xa, 0x0, 0x0, @empty}}, 0x0, 0x0, 0x0, 0x0, 0xb3550aa4ba878354}, 0x9c) 05:47:04 executing program 0: r0 = socket$inet6(0xa, 0x1, 0x8010000000000084) bind$inet6(r0, &(0x7f0000000080)={0xa, 0x4e21, 0x0, @empty}, 0x1c) connect$inet6(r0, &(0x7f0000000000)={0xa, 0x4e21, 0x0, @ipv4={'\x00', '\xff\xff', @dev={0xac, 0x14, 0x14, 0x28}}}, 0x1c) setsockopt$inet_sctp6_SCTP_PEER_ADDR_PARAMS(r0, 0x84, 0x9, &(0x7f0000000300)={0x0, @in6={{0xa, 0x0, 0x0, @empty}}}, 0x9c) r1 = openat$sysfs(0xffffff9c, &(0x7f0000000080)='/sys/kernel/profiling', 0x2, 0x0) copy_file_range(r1, &(0x7f0000000100)=0x4, r1, &(0x7f0000000140)=0xb3, 0x8, 0x0) getsockopt$inet_sctp6_SCTP_ENABLE_STREAM_RESET(r0, 0x84, 0x76, &(0x7f0000000040)={0x0, 0x5}, &(0x7f00000000c0)=0x8) setsockopt$inet_sctp6_SCTP_STREAM_SCHEDULER(r1, 0x84, 0x7b, &(0x7f0000000100)={r2, 0xee2}, 0x8) 05:47:04 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {0x0, 0x0, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 1095.331875][T13955] loop2: detected capacity change from 0 to 256 [ 1095.427273][T13960] loop1: detected capacity change from 0 to 200 [ 1095.537818][T13960] NILFS (loop1): broken superblock, retrying with spare superblock (blocksize = 1024) 05:47:04 executing program 3: r0 = openat$sysfs(0xffffff9c, &(0x7f0000000080)='/sys/kernel/profiling', 0x2, 0x0) copy_file_range(r0, 0x0, r0, &(0x7f0000000140)=0xb3, 0x8, 0x0) 05:47:04 executing program 2: syz_mount_image$btrfs(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x1, &(0x7f0000000200)=[{&(0x7f0000010000)="1e3b823093709a3900000000000000000000000000000000000000000000000001eedacb5ef5438f9aa20181c07f6d81000001000000000001000000000000005f42485266535f4d07000000000000000000d00100000000001050010000000000000000000000000000000000000000000000080000000000d00000000000000600000000000000010000000000", 0x8e, 0x10000}], 0x0, &(0x7f000001ec00)) 05:47:04 executing program 4: syz_read_part_table(0x0, 0x4, &(0x7f0000001580)=[{0x0, 0x0, 0x8}, {&(0x7f0000000280)="a1d298ce83e2c8f879c4f23cd661b190977bc4dbd6c5b8388d102194665c4241f6", 0x21}, {&(0x7f00000002c0)="833815fde838979ee6e9d455784475", 0xf}, {&(0x7f0000000300)="b9c2d63d5979d0e4b13505652c6a9084dc26240f5cf98bf0db567d06209be124c5449a05d826ba8eef5386129cbfae73ea42be0f8b171c755db5306ea511f761868bdf066030aa0e3fe5432f7296e000174dd431a40d26e2e2e9b1878a10fc3b044793b148a7e6b1d177781866de0f4bdecbc50af3696becefab9c3da92221956410db28b1d7d9e78d152e76cddcb7f3680b162c2a42ba896db026acf8242c95c6e6b9ec93708ab08941c5ef39e5b86a45287e56ffc1e47c69e51653c43d3021cfc52c8dc254824a812c1d70e051029a82564f123d493b8a97b2f297cd72d040c695aa60c8537f47624d6632144479afc7585a93e4801f22f13923ede23f40bbe4f0cb0242ed872075f70b656db0100db7617276348483dbc67cd5440b8915221fb5b700f79bef2ab5433c43ab458453fea75e44f8d0a52fd2f0fdd78602bf1ac01bebb0fffe6f2ff30820f820702de0a357ccce097bd6d9e47c608fb4ef6a0ddc265d2346503fa3885f357d653202830d0173d1dd1e01527fa54fda7047fbffcbf41b151d84cd3ea2c6c9aa14b1338ac2c28ce7c94798d17d1f647fd34bdee8149978f2ed558f61dec76e8ad7a25a2988d5d6b7dd73bb0340c79ade4b69cf84f4611a6ab2c706a76069f99e75055b881531c7da9ab09d051b6a1bf6773372a3370d7058473510aadea9e13b0782fc8d02eb797f7631cc16bc", 0x1f9, 0x4}]) r0 = syz_open_procfs(0x0, &(0x7f00000009c0)='cmdline\x00') ioctl$AUTOFS_DEV_IOCTL_READY(r0, 0xc0189376, 0x0) syz_read_part_table(0x1ff, 0x0, 0x0) [ 1095.724646][T13960] NILFS (loop1): invalid segment: Checksum error in segment payload [ 1095.733033][T13960] NILFS (loop1): unable to fall back to spare super block [ 1095.740338][T13960] NILFS (loop1): error -22 while searching super root 05:47:05 executing program 1: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="0200000000003434180100007a4a7934002fbc5e000000001f000000000000000000200000000000040000000000000040000000050000000200000000000000100000000000000000000000000000004007000000000000561b675f00000000571b675f00000000571b675f000000000100320001000100561b675f00000000004eed0000000000000000000b00000080002000c00010000b3c4c9002054d87bd03fdd952ce8fed", 0xa8, 0x400}, {&(0x7f0000011d00)="c1f99c61e313f9db11faaf1e400007000000000000000000571b675f000000004000000000000000230000000b000000100300000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c0000000000000000000000000000100000000000000002000000000000000a0000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e00000000000000060000000000000010000000000000000700000000000000110000000000000008000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000090000000800000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200000000000000000000000000000001", 0x309, 0x4000}, {&(0x7f0000012100)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c6531", 0x49, 0x4400}, {&(0x7f0000012200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c64", 0xad, 0x4800}, {&(0x7f0000012300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal', 0x41a, 0x4c00}, {&(0x7f0000012800)='/tmp/syz-imagegen111129508/file0/file0', 0x26, 0x5400}, {&(0x7f0000012900)='syzkallers', 0xa, 0x5800}, {&(0x7f0000012a00)="000109000000000000000000000000000000000000000000010000000000000002000000000000000300000000000000040000000000000005000000000000000600000000000000070000000000000008", 0x51, 0x8000}, {&(0x7f0000012b00)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e00000000000000100000000000000011", 0x49, 0x8200}, {&(0x7f0000012c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers', 0x64, 0x8400}, {&(0x7f0000012d00)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff03", 0x403, 0x8800}, {&(0x7f0000013200)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9080}, {&(0x7f0000013300)="01000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed41030000000000000000000000000012", 0x41, 0x9100}, {&(0x7f0000000140)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9380}, {&(0x7f00000000c0)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9400}, {&(0x7f0000013600)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9480}, {&(0x7f0000013700)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001", 0x33, 0x9500}, {&(0x7f0000013800)="00000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000a48101", 0x33, 0x9580}, {&(0x7f0000013900)="02000000000000000004000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed4102000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a66d79d20000000004000000000000001a04000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000014000000000000011400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a76d79d20000000002000000000000002600000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000eda101000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a86d79d20000000002000000000000000a00000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810100000000000000000000000000001c000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a96d79d20000000014000000000000002823000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d000000000000000000000000000000aa6d79d20000000002000000000000006400000000000000571b675f00000000571b675f00000000a705701ba705701b0000000000000000ed8101000000000000000000000000000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab6d79d2", 0x2fc, 0x9600}, {&(0x7f0000013c00)="02", 0x1, 0x9c00}, {&(0x7f0000013d00)="0000000000000000000000000000000000000000000000000100000000000000561b675f000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000561b675f00000000561b675f000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000571b675f0000000023000000000000000800000000000000160000000000000006000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001300000000000000140000000000000015000000000000001600000000000000011800000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000003", 0x199, 0x9cc0}, {&(0x7f0000013f00)="02000000000000000000000000000000000000000000000004", 0x19, 0x9f00}, {&(0x7f0000014000)="1d0000000000000002000000000000001e000000000000000000000000000000571b675f000000002f0000000300000000000000000000000000000003", 0x3d, 0xa000}, {&(0x7f0000014100)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff01", 0x404, 0xa400}, {&(0x7f0000014600)="01", 0x1, 0xa880}, {&(0x7f0000014700)="01", 0x1, 0xaa00}, {&(0x7f0000014800)="03", 0x1, 0xaa80}, {&(0x7f0000014900), 0x0, 0xab00}, {&(0x7f0000014a00)="01", 0x1, 0xab80}, {&(0x7f0000014b00)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000020000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000022000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff", 0x2f8, 0xac20}, {&(0x7f0000014e00)="21000000000000000200000000000000ffffffffffffffff", 0x18, 0xb000}, {&(0x7f0000014f00)="11000000000000000200000000000000ffffffffffffffff", 0x18, 0xb400}, {&(0x7f0000015000)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff", 0x38, 0xb800}, {&(0x7f0000015100)="15000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff", 0x38, 0xbc00}, {&(0x7f0000015200)="16000000000000000200000000000000ffffffffffffffff", 0x18, 0xc000}, {&(0x7f0000015300)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e2", 0x49, 0xc400}, {&(0x7f0000015400)='\x00\x00\x00\x00\x00\x00\x00\x00)\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x000', 0x41, 0xc600}, {&(0x7f0000015500)="ee4c5e0290010000571b675f000000000f000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f0000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000561b675f00000000561b675f00000000000000000000000000000000000000000080010000000000000000000000000018", 0x151, 0xc800}], 0x0, &(0x7f0000000080)={[{@nodiscard}]}) [ 1095.943808][ T6] usb 6-1: new high-speed USB device number 31 using dummy_hcd 05:47:05 executing program 0: r0 = socket$inet6(0xa, 0x1, 0x8010000000000084) bind$inet6(r0, &(0x7f0000000080)={0xa, 0x4e21, 0x0, @empty}, 0x1c) connect$inet6(r0, &(0x7f0000000000)={0xa, 0x4e21, 0x0, @ipv4={'\x00', '\xff\xff', @dev={0xac, 0x14, 0x14, 0x28}}}, 0x1c) setsockopt$inet_sctp6_SCTP_PEER_ADDR_PARAMS(r0, 0x84, 0x9, &(0x7f0000000300)={0x0, @in6={{0xa, 0x0, 0x0, @empty}}}, 0x9c) r1 = openat$sysfs(0xffffff9c, &(0x7f0000000080)='/sys/kernel/profiling', 0x2, 0x0) copy_file_range(r1, &(0x7f0000000100)=0x4, r1, &(0x7f0000000140)=0xb3, 0x8, 0x0) getsockopt$inet_sctp6_SCTP_ENABLE_STREAM_RESET(r0, 0x84, 0x76, &(0x7f0000000040)={0x0, 0x5}, &(0x7f00000000c0)=0x8) [ 1096.169788][T13971] loop2: detected capacity change from 0 to 256 [ 1096.178747][T13972] kernel profiling enabled (shift: 0) [ 1096.195198][ T6] usb 6-1: Using ep0 maxpacket: 8 [ 1096.272514][ C0] ===================================================== [ 1096.279677][ C0] BUG: KMSAN: uninit-value in profile_tick+0x1ca/0x1d0 [ 1096.286669][ C0] profile_tick+0x1ca/0x1d0 [ 1096.291302][ C0] tick_sched_timer+0x5e4/0x700 [ 1096.296257][ C0] __run_hrtimer+0x298/0x910 [ 1096.300970][ C0] hrtimer_interrupt+0x78d/0x1440 [ 1096.306115][ C0] __sysvec_apic_timer_interrupt+0x14b/0x5b0 [ 1096.312216][ C0] sysvec_apic_timer_interrupt+0x95/0xc0 [ 1096.318055][ C0] asm_sysvec_apic_timer_interrupt+0x1b/0x20 [ 1096.324176][ C0] _raw_spin_unlock_irqrestore+0x2f/0x50 [ 1096.329939][ C0] hrtimer_start_range_ns+0xaba/0xb50 [ 1096.335437][ C0] hrtimer_sleeper_start_expires+0x69/0xa0 [ 1096.341389][ C0] futex_wait_queue+0x76/0x2f0 [ 1096.346272][ C0] futex_wait+0x23a/0x6a0 [ 1096.350709][ C0] do_futex+0x3d6/0x4c0 [ 1096.354969][ C0] __se_sys_futex_time32+0x13d/0x680 [ 1096.360980][ C0] __ia32_sys_futex_time32+0x115/0x190 [ 1096.366555][ C0] __do_fast_syscall_32+0xa2/0x100 [ 1096.371770][ C0] do_fast_syscall_32+0x33/0x70 [ 1096.376720][ C0] do_SYSENTER_32+0x1b/0x20 [ 1096.381337][ C0] entry_SYSENTER_compat_after_hwframe+0x70/0x82 [ 1096.387822][ C0] [ 1096.390190][ C0] Local variable namespaces_event created at: [ 1096.396312][ C0] perf_event_namespaces+0x49/0x1130 [ 1096.401789][ C0] perf_event_fork+0x1dd/0x220 [ 1096.406661][ C0] [ 1096.409040][ C0] CPU: 0 PID: 13969 Comm: syz-executor.4 Not tainted 6.0.0-rc4-syzkaller-48205-g4367d178d9eb #0 [ 1096.419563][ C0] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/26/2022 [ 1096.429699][ C0] ===================================================== [ 1096.436682][ C0] Disabling lock debugging due to kernel taint [ 1096.442892][ C0] Kernel panic - not syncing: kmsan.panic set ... [ 1096.449365][ C0] CPU: 0 PID: 13969 Comm: syz-executor.4 Tainted: G B 6.0.0-rc4-syzkaller-48205-g4367d178d9eb #0 [ 1096.461369][ C0] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 08/26/2022 [ 1096.471508][ C0] Call Trace: [ 1096.475802][ C0] [ 1096.478703][ C0] dump_stack_lvl+0x1c8/0x256 [ 1096.483534][ C0] dump_stack+0x1a/0x1c [ 1096.487812][ C0] panic+0x4d3/0xc69 [ 1096.491845][ C0] kmsan_report+0x2cc/0x2d0 [ 1096.496496][ C0] ? kmsan_get_shadow_origin_ptr+0x49/0xa0 [ 1096.502450][ C0] ? __msan_warning+0x92/0x110 [ 1096.507369][ C0] ? profile_tick+0x1ca/0x1d0 [ 1096.512183][ C0] ? tick_sched_timer+0x5e4/0x700 [ 1096.517315][ C0] ? __run_hrtimer+0x298/0x910 [ 1096.522204][ C0] ? hrtimer_interrupt+0x78d/0x1440 [ 1096.527528][ C0] ? __sysvec_apic_timer_interrupt+0x14b/0x5b0 [ 1096.533809][ C0] ? sysvec_apic_timer_interrupt+0x95/0xc0 [ 1096.539735][ C0] ? asm_sysvec_apic_timer_interrupt+0x1b/0x20 [ 1096.546032][ C0] ? _raw_spin_unlock_irqrestore+0x2f/0x50 [ 1096.551965][ C0] ? hrtimer_start_range_ns+0xaba/0xb50 [ 1096.557641][ C0] ? hrtimer_sleeper_start_expires+0x69/0xa0 [ 1096.563758][ C0] ? futex_wait_queue+0x76/0x2f0 [ 1096.568815][ C0] ? futex_wait+0x23a/0x6a0 [ 1096.573603][ C0] ? do_futex+0x3d6/0x4c0 [ 1096.578040][ C0] ? __se_sys_futex_time32+0x13d/0x680 [ 1096.583622][ C0] ? __ia32_sys_futex_time32+0x115/0x190 [ 1096.589378][ C0] ? __do_fast_syscall_32+0xa2/0x100 [ 1096.594771][ C0] ? do_fast_syscall_32+0x33/0x70 [ 1096.599894][ C0] ? do_SYSENTER_32+0x1b/0x20 [ 1096.604671][ C0] ? entry_SYSENTER_compat_after_hwframe+0x70/0x82 [ 1096.611329][ C0] ? kmsan_get_shadow_origin_ptr+0x49/0xa0 [ 1096.617287][ C0] ? kmsan_get_shadow_origin_ptr+0x49/0xa0 [ 1096.623232][ C0] ? run_posix_cpu_timers+0x4f4/0x8a0 [ 1096.628738][ C0] ? hrtimer_start_range_ns+0xaba/0xb50 [ 1096.634414][ C0] ? kmsan_get_shadow_origin_ptr+0x49/0xa0 [ 1096.640363][ C0] ? kmsan_get_shadow_origin_ptr+0x49/0xa0 [ 1096.646312][ C0] __msan_warning+0x92/0x110 [ 1096.651022][ C0] profile_tick+0x1ca/0x1d0 [ 1096.656435][ C0] ? hrtimer_start_range_ns+0xaba/0xb50 [ 1096.662122][ C0] tick_sched_timer+0x5e4/0x700 [ 1096.667089][ C0] ? tick_setup_sched_timer+0x750/0x750 [ 1096.672754][ C0] __run_hrtimer+0x298/0x910 [ 1096.677489][ C0] hrtimer_interrupt+0x78d/0x1440 [ 1096.682680][ C0] ? hrtimer_init+0x370/0x370 [ 1096.687478][ C0] ? hrtimer_init+0x370/0x370 [ 1096.692278][ C0] __sysvec_apic_timer_interrupt+0x14b/0x5b0 [ 1096.698388][ C0] sysvec_apic_timer_interrupt+0x95/0xc0 [ 1096.704150][ C0] [ 1096.707135][ C0] [ 1096.710123][ C0] asm_sysvec_apic_timer_interrupt+0x1b/0x20 [ 1096.716247][ C0] RIP: 0010:_raw_spin_unlock_irqrestore+0x2f/0x50 [ 1096.722802][ C0] Code: 56 53 49 89 f6 48 89 fb e8 9e 0a 64 f4 48 89 df e8 56 fd 63 f4 c6 00 00 c6 03 00 41 f7 c6 00 02 00 00 74 01 fb bf 01 00 00 00 9c 6b a5 f3 65 8b 05 7d 25 3c 72 85 c0 74 05 5b 41 5e 5d c3 0f [ 1096.742538][ C0] RSP: 0018:ffff88808b2eba70 EFLAGS: 00000206 [ 1096.748704][ C0] RAX: ffff88823fdcfb40 RBX: ffff88813fc1db40 RCX: 0000000000b3f3f8 [ 1096.756768][ C0] RDX: ffff88823fd98b40 RSI: 000000023fd98b40 RDI: 0000000000000001 [ 1096.764830][ C0] RBP: ffff88808b2eba80 R08: 0000160000000000 R09: ffffea000000000f [ 1096.772900][ C0] R10: 0000000000000270 R11: ffff8881bfc1db40 R12: 0000000000000000 [ 1096.780960][ C0] R13: 0000000000000000 R14: 0000000000000286 R15: 0000000000000000 [ 1096.789036][ C0] hrtimer_start_range_ns+0xaba/0xb50 [ 1096.794564][ C0] hrtimer_sleeper_start_expires+0x69/0xa0 [ 1096.800520][ C0] futex_wait_queue+0x76/0x2f0 [ 1096.805413][ C0] futex_wait+0x23a/0x6a0 [ 1096.809888][ C0] ? __run_hrtimer+0x910/0x910 [ 1096.814792][ C0] do_futex+0x3d6/0x4c0 [ 1096.819068][ C0] __se_sys_futex_time32+0x13d/0x680 [ 1096.824499][ C0] __ia32_sys_futex_time32+0x115/0x190 [ 1096.830101][ C0] __do_fast_syscall_32+0xa2/0x100 [ 1096.835336][ C0] do_fast_syscall_32+0x33/0x70 [ 1096.840291][ C0] do_SYSENTER_32+0x1b/0x20 [ 1096.844893][ C0] entry_SYSENTER_compat_after_hwframe+0x70/0x82 [ 1096.851378][ C0] RIP: 0023:0xf7ff4549 [ 1096.855523][ C0] Code: 03 74 c0 01 10 05 03 74 b8 01 10 06 03 74 b4 01 10 07 03 74 b0 01 10 08 03 74 d8 01 00 00 00 00 00 51 52 55 89 e5 0f 34 cd 80 <5d> 5a 59 c3 90 90 90 90 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 44 00 [ 1096.875253][ C0] RSP: 002b:00000000ffb4770c EFLAGS: 00000206 ORIG_RAX: 00000000000000f0 [ 1096.883783][ C0] RAX: ffffffffffffffda RBX: 00000000f6f5b054 RCX: 0000000000000080 [ 1096.891842][ C0] RDX: 0000000000000000 RSI: 00000000ffb478b0 RDI: 0000000000000000 [ 1096.899897][ C0] RBP: 00000000000003e8 R08: 0000000000000000 R09: 0000000000000000 [ 1096.907950][ C0] R10: 0000000000000000 R11: 0000000000000202 R12: 0000000000000000 [ 1096.916003][ C0] R13: 0000000000000000 R14: 0000000000000000 R15: 0000000000000000 [ 1096.924073][ C0] [ 1096.927323][ C0] Kernel Offset: disabled [ 1096.931693][ C0] Rebooting in 86400 seconds..