Warning: Permanently added '10.128.0.202' (ECDSA) to the list of known hosts. 2020/10/12 02:43:11 fuzzer started 2020/10/12 02:43:11 dialing manager at 10.128.0.105:36153 2020/10/12 02:43:11 syscalls: 306 2020/10/12 02:43:11 code coverage: enabled 2020/10/12 02:43:11 comparison tracing: enabled 2020/10/12 02:43:11 extra coverage: enabled 2020/10/12 02:43:11 setuid sandbox: support is not implemented in syzkaller 2020/10/12 02:43:11 namespace sandbox: support is not implemented in syzkaller 2020/10/12 02:43:11 Android sandbox: support is not implemented in syzkaller 2020/10/12 02:43:11 fault injection: enabled 2020/10/12 02:43:11 leak checking: support is not implemented in syzkaller 2020/10/12 02:43:11 net packet injection: support is not implemented in syzkaller 2020/10/12 02:43:11 net device setup: support is not implemented in syzkaller 2020/10/12 02:43:11 concurrency sanitizer: support is not implemented in syzkaller 2020/10/12 02:43:11 devlink PCI setup: support is not implemented in syzkaller 2020/10/12 02:43:11 USB emulation: enabled 2020/10/12 02:43:11 hci packet injection: support is not implemented in syzkaller 2020/10/12 02:43:11 wifi device emulation: support is not implemented in syzkaller 02:43:20 executing program 0: r0 = semget(0x2, 0x1, 0x303) semctl$SETALL(r0, 0x0, 0x11, &(0x7f0000000000)) semctl$IPC_RMID(r0, 0x0, 0x0) 02:43:21 executing program 1: r0 = semget$private(0x0, 0x5, 0x0) semctl$IPC_SET(r0, 0x0, 0x1, &(0x7f0000000440)={{0x0, 0x0, 0x0, 0xee00}}) 02:43:21 executing program 2: socket(0x2, 0x0, 0x401) 02:43:21 executing program 4: socketpair$unix(0x1, 0xb240d71d55f222fe, 0x0, 0x0) 02:43:21 executing program 3: semget(0x3, 0x0, 0x6a0) 02:43:21 executing program 5: socketpair$unix(0x1, 0x5, 0x0, &(0x7f0000000600)={0xffffffffffffffff}) socket$unix(0x1, 0x5, 0x0) sendmsg$unix(r0, &(0x7f0000002c40)={0x0, 0x0, 0x0, 0x0, &(0x7f0000002b40)=[@rights], 0x18}, 0x0) 02:43:28 executing program 4: socket(0x10, 0x0, 0x101) 02:43:28 executing program 1: syz_usb_connect$hid(0x2, 0x36, &(0x7f0000000280)={{0x12, 0x1, 0x0, 0x0, 0x0, 0x0, 0x20, 0x46d, 0xc53a, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x1, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x1, 0x3, 0x1, 0x0, 0x0, {0x9}}}]}}]}}, 0x0) 02:43:28 executing program 3: syz_usb_connect$uac1(0x0, 0x82, &(0x7f0000000240)=ANY=[@ANYBLOB="12010000000000086b1d01010900010203010902700003010000000904000000010100000a2401000000020102092404"], 0x0) 02:43:29 executing program 5: syz_usb_connect$hid(0x0, 0x36, &(0x7f0000000280)={{0x12, 0x1, 0x0, 0x0, 0x0, 0x0, 0x20, 0x46d, 0xc53a, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x1, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x1, 0x3, 0x1, 0x0, 0x0, {0x9}}}]}}]}}, 0x0) 02:43:29 executing program 0: syz_usb_connect$uac1(0x0, 0x71, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x0, 0x0, 0x0, 0x8, 0x1d6b, 0x101, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x5f, 0x3, 0x1, 0x0, 0x0, 0x0, {{}, {}, {0x9, 0x4, 0x1, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {}, {{0x9, 0x5, 0x1, 0x9, 0x0, 0x0, 0x0, 0x0, {0x7}}}}, {}, {0x9, 0x4, 0x2, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {}, {{0x9, 0x5, 0x82, 0x9, 0x0, 0x0, 0x0, 0x0, {0x7}}}}}}}]}}, 0x0) syz_usb_connect$hid(0x0, 0x36, &(0x7f0000000140)={{0x12, 0x1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x56a, 0xd5, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x1, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0x3, 0x1, 0x0, 0x0, {0x9}}}]}}]}}, 0x0) 02:43:29 executing program 4: syz_usb_connect$uac1(0x0, 0x92, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x0, 0x0, 0x0, 0x8, 0x1d6b, 0x101, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x80, 0x3, 0x1, 0x0, 0x0, 0x0, {{0x9, 0x4, 0x0, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, {{}, [@selector_unit={0x6, 0x24, 0x5, 0x0, 0x0, "bc"}]}}, {}, {0x9, 0x4, 0x1, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@as_header={0x7}]}, {{0x9, 0x5, 0x1, 0x9, 0x0, 0x3f, 0x0, 0x0, {0x7}}}}, {}, {0x9, 0x4, 0x2, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_i_discrete={0xd, 0x24, 0x2, 0x1, 0x0, 0x0, 0x0, 0x0, "9d87a7d5b4"}, @as_header={0x7}]}, {{0x9, 0x5, 0x82, 0x9, 0x0, 0x0, 0x0, 0x0, {0x7}}}}}}}]}}, 0x0) 02:43:29 executing program 2: mkdir(&(0x7f0000000400)='\x13\x13w\xc5\xfc5\xd4\x14T\xd5\xd4\x1d)\xad\x1a`)Y\x81F\xe6\xbe\x16nA\xad\r\xbd@T\x03<\x9f3\xbb\xda\x82$\xa2\xf3\xd7r\xe7cnH\xb3<\xbfp\x83r\xe8\xf1\xb9\x93>\xc5\x12wC\xbe\"\x06 \x9e\xf0-\xf9\xcb\xf2\xf6\xe8\x80\xd38/', 0x0) r0 = open$dir(&(0x7f0000000080)='.\x00', 0x0, 0x0) mkdirat(r0, &(0x7f0000000180)='./file0\x00', 0x0) mkdirat(r0, &(0x7f00000000c0)='./file0/file0\x00', 0x0) renameat(r0, &(0x7f00000002c0)='\x13\x13w\xc5\xfc5\xd4\x14T\xd5\xd4\x1d)\xad\x1a`)Y\x81F\xe6\xbe\x16nA\xad\r\xbd@T\x03<\x9f3\xbb\xda\x82$\xa2\xf3\xd7r\xe7cnH\xb3<\xbfp\x83r\xe8\xf1\xb9\x93>\xc5\x12wC\xbe\"\x06 \x9e\xf0-\xf9\xcb\xf2\xf6\xe8\x80\xd38/\x00', r0, &(0x7f0000000100)='./file1\x00') symlinkat(&(0x7f00000001c0)='./file1\x00', r0, &(0x7f0000000480)='\x13\x13w\xc5\xfc5\xd4\x14T\xd5\xd4\x1d)\xad\x1a`)Y\x81F\xe6\xbe\x16nA\xad\r\xbd@T\x03<\x9f3\xbb\xda\x82$\xa2\xf3\xd7r\xe7cnH\xb3<\xbfp\x83r\xe8\xf1\xb9\x93>\xc5\x12wC\xbe\"\x06 \x9e\xf0-\xf9\xcb\xf2\xf6\xe8\x80\xd38/\x00') symlink(&(0x7f0000000340)='\x13\x13w\xc5\xfc5\xd4\x14T\xd5\xd4\x1d)\xad\x1a`)Y\x81F\xe6\xbe\x16nA\xad\r\xbd@T\x03<\x9f3\xbb\xda\x82$\xa2\xf3\xd7r\xe7cnH\xb3<\xbfp\x83r\xe8\xf1\xb9\x93>\xc5\x12wC\xbe\"\x06 \x9e\xf0-\xf9\xcb\xf2\xf6\xe8\x80\xd38//../file0\x00', &(0x7f0000000000)='./file3\x00') renameat(r0, &(0x7f0000000040)='./file1\x00', r0, &(0x7f0000000500)='\x13\x13w\xc5\xfc5\xd4\x14T\xd5\xd4\x1d)\xad\x1a`)Y\x81F\xe6\xbe\x16nA\xad\r\xbd@T\x03<\x9f3\xbb\xda\x82$\xa2\xf3\xd7r\xe7cnH\xb3<\xbfp\x83r\xe8\xf1\xb9\x93>\xc5\x12wC\xbe\"\x06 \x9e\xf0-\xf9\xcb\xf2\xf6\xe8\x80\xd38/\x00') renameat(r0, &(0x7f0000000140)='./file0/file0\x00', r0, &(0x7f0000000240)='\x13\x13w\xc5\xfc5\xd4\x14T\xd5\xd4\x1d)\xad\x1a`)Y\x81F\xe6\xbe\x16nA\xad\r\xbd@T\x03<\x9f3\xbb\xda\x82$\xa2\xf3\xd7r\xe7cnH\xb3<\xbfp\x83r\xe8\xf1\xb9\x93>\xc5\x12wC\xbe\"\x06 \x9e\xf0-\xf9\xcb\xf2\xf6\xe8\x80\xd38/\x00') link(&(0x7f0000000200)='./file0\x00', &(0x7f00000003c0)='./file0/file0\x00') r1 = open(&(0x7f00000000c0)='./file0\x00', 0x201, 0x0) fcntl$lock(r1, 0x9, &(0x7f0000000000)={0x0, 0x0, 0x4, 0x1000300010005}) fchroot(r1) fchmodat(r0, &(0x7f0000000580)='./file0/file0\x00', 0x5be, 0x600) [ 73.8435497] uhub0: device problem, disabling port 1 [ 73.9535615] uhub3: device problem, disabling port 1 [ 74.1035550] uhub5: device problem, disabling port 1 [ 74.4535471] uhub1: device problem, disabling port 1 [ 74.9735485] uhub0: device problem, disabling port 1 02:43:32 executing program 1: syz_usb_connect$hid(0x0, 0x36, &(0x7f0000002580)=ANY=[@ANYBLOB="120100000000000000000000400001020301090224f60001000001220000090581030000000000000000008c00"/54], 0x0) syz_usb_connect$uac1(0x6, 0x108, &(0x7f0000000000)={{0x12, 0x1, 0x110, 0x0, 0x0, 0x0, 0xef, 0x1d6b, 0x101, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0xf6, 0x3, 0x1, 0x3, 0x40, 0x7, {{0x9, 0x4, 0x0, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, {{0xa, 0x24, 0x1, 0x3, 0x4}, [@input_terminal={0xc, 0x24, 0x2, 0x3, 0x101, 0x2, 0x1, 0x1ff, 0x4}, @mixer_unit={0x6, 0x24, 0x4, 0x4, 0x2, 'B'}, @extension_unit={0xb, 0x24, 0x8, 0x1, 0x0, 0x3f, "0857af1e"}, @processing_unit={0xc, 0x24, 0x7, 0x3, 0x2, 0x7, "b0c0bce45c"}, @feature_unit={0x13, 0x24, 0x6, 0x4, 0x1, 0x6, [0xa, 0xa, 0x1, 0x6, 0x2, 0x8], 0x8}]}}, {}, {0x9, 0x4, 0x1, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_ii_discrete={0xa, 0x24, 0x2, 0x2, 0x7, 0x8, 0x77, "d1"}, @as_header={0x7, 0x24, 0x1, 0xb3, 0x3, 0x3001}, @format_type_i_discrete={0xc, 0x24, 0x2, 0x1, 0x9, 0x1, 0x4, 0x4, "2cf5fda4"}, @as_header={0x7, 0x24, 0x1, 0x80, 0x6}]}, {{0x9, 0x5, 0x1, 0x9, 0x3ff, 0xff, 0xa9, 0x1, {0x7, 0x25, 0x1, 0x3, 0x1, 0x1}}}}, {}, {0x9, 0x4, 0x2, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_i_continuous={0xc, 0x24, 0x2, 0x1, 0x1, 0x3, 0x3, 0xc6, '{U[', '|'}, @format_type_i_discrete={0xe, 0x24, 0x2, 0x1, 0x1, 0x3, 0x8, 0x9, "db4423094a67"}, @as_header={0x7, 0x24, 0x1, 0x1, 0xd1, 0x4}, @format_type_i_continuous={0xa, 0x24, 0x2, 0x1, 0x27, 0x1, 0x9, 0x9, "b4d7"}, @format_type_ii_discrete={0xc, 0x24, 0x2, 0x2, 0x8, 0x7, 0x6, "160936"}]}, {{0x9, 0x5, 0x82, 0x9, 0x400, 0x6, 0xa0, 0x1, {0x7, 0x25, 0x1, 0x2, 0x5, 0x4}}}}}}}]}}, &(0x7f00000005c0)={0xa, &(0x7f0000000140)={0xa, 0x6, 0x0, 0x3, 0x40, 0x81, 0x8, 0x1f}, 0x52, &(0x7f0000000180)={0x5, 0xf, 0x52, 0x6, [@ptm_cap={0x3}, @ss_container_id={0x14, 0x10, 0x4, 0x9, "d68d1539c49dc57d5cad44928a32ac0f"}, @ptm_cap={0x3}, @wireless={0xb, 0x10, 0x1, 0x4, 0x8, 0x81, 0x6, 0xfb3, 0x7}, @ss_container_id={0x14, 0x10, 0x4, 0x4, "10cfbdb576fb955b6090251eacb3cddc"}, @ss_container_id={0x14, 0x10, 0x4, 0x4, "62c2bb783f4274a60a1147b72a3f17eb"}]}, 0x6, [{0x4, &(0x7f0000000200)=@lang_id={0x4}}, {0xea, &(0x7f0000000240)=@string={0xea, 0x3, "eafb76704b632bcafd7d02669f17ade1aec098ef508b4b2699a84d34d4fbdfd937a42117155851b2af2e33ab34e466d1630ca704826eec1362985a9221f0006044b3637d240a7a27bf5bf90804f609f044496f46aef64ebfed356150a99e3077c3ea8f3ab1246771d7067676ff82c3a48b19747690833043ef917a8fd951e291d03c4a82550037fab6fd80a77e741a1831e511fcdbf006bc07d3d646f767c2dd1b3fc4cd825480966e0b3c369476220986a0899904bdf5acf80b021055479f50aecc56c146a600cd1c7837ca9513e2653a9ac3f3c702e296612dbdd45391a55643a88849d4701900"}}, {0xf1, &(0x7f0000000340)=@string={0xf1, 0x3, "fbb808e9c276ba59d00b506bce921a945ee542fc68e29af1696e7266db1d9e9b8811dcfa51644b0dec7e26477288f527b240139cc5346c9f0b3b6ce6d3fd6ffc00d763c0a42d3f6583d8620cbfaa880759be874e4a0e8bb64fff0df18ecc7493915edfbe348d2a29a922566be2654470e16f8af3be8dba4192e01958dec7ad78a6bbfca427c6108ad556f24e4f32022cb3d5661186f135563799b3228a455215e742f6569caf95409863bb486ce24a53613531226857755bd9c703139130f58abde4793941ba0831d2c8a073f872b9467c952b0925ff097cb7b9538f258b13019f96af4db04ef3e4196f38212589e9"}}, {0x4, &(0x7f0000000440)=@lang_id={0x4, 0x3, 0x814}}, {0x4, &(0x7f0000000480)=@lang_id={0x4, 0x3, 0x1404}}, {0xcc, &(0x7f00000004c0)=@string={0xcc, 0x3, "48137ce358e24ce799ee75ad29110fa9670be28f371a9b3847b11e070bcd92194750592e407a657199604771e487bf80b93c41cb58fa86ecdbb9beb726c876f33782fec813c1c9c7009b608a9387ca4b51ed43b443eaefb2c84693db4c011e2e126b053f788a2677ec2c2ef069737b66ff6648db34a5d98d557420d36e48045c1dc31e4b8baede458cb97ac1a5129ca95780f3a0c63edb68db88052182fb66e792853873b98b73a3434afc4b7d1d6643154887a9ba19d4a0babf22164275e6415d527a7a91af066ba999"}}]}) [ 75.5935479] uhub4: device problem, disabling port 1 02:43:32 executing program 3: mprotect(&(0x7f0000000000/0x800000)=nil, 0x800000, 0x5) compat_50_nanosleep(&(0x7f0000000040), 0x0) r0 = openat(0xffffffffffffff9c, &(0x7f0000000540)='.\x00', 0x0, 0x0) dup(r0) pipe2(&(0x7f0000000080), 0x1400000) r1 = open(&(0x7f0000000340)='./file0\x00', 0x300, 0x0) flock(r1, 0x1) flock(r1, 0x8) r2 = open(&(0x7f00000000c0)='./file0\x00', 0x201, 0x0) fcntl$lock(r2, 0x9, &(0x7f0000000000)={0x0, 0x0, 0x4, 0x1000300010005}) r3 = fcntl$getown(0xffffffffffffffff, 0x5) ptrace(0x469, r3, &(0x7f00000015c0), 0x4) shmctl$IPC_SET(0xffffffffffffffff, 0x1, &(0x7f00000001c0)={{0x4, 0x0, 0xffffffffffffffff, 0x0, 0x0, 0x2, 0xfffc}, 0x2, 0x3, 0x0, r3, 0x1, 0x1ff, 0x3f}) dup(r2) __fstat50(0xffffffffffffffff, &(0x7f0000000100)={0x0}) compat_12_msync(&(0x7f000076d000/0x4000)=nil, 0x100) socket(0x10, 0x4, 0x0) mknod(&(0x7f0000000000)='./bus\x00', 0x800080002002, r4) mknod(&(0x7f0000000000)='./file0\x00', 0x4, r4) shmat(0x0, &(0x7f000050e000/0x1000)=nil, 0x2000) [ 75.6835570] uhub3: device problem, disabling port 1 02:43:32 executing program 5: r0 = semget$private(0x0, 0x4, 0x0) semctl$GETZCNT(r0, 0x0, 0x7, &(0x7f0000000000)=""/39) r1 = semget(0x0, 0x2, 0x8) semctl$IPC_RMID(r1, 0x0, 0x0) r2 = semget(0x1, 0x2, 0x0) semctl$IPC_STAT(r2, 0x0, 0x2, &(0x7f0000000380)=""/196) semop(r0, &(0x7f0000000140)=[{0x0, 0x80, 0x1000}, {0x0, 0x4}, {0x0, 0xfffd}], 0x3) preadv(0xffffffffffffffff, &(0x7f0000000340)=[{&(0x7f0000000040)=""/219, 0xdb}, {&(0x7f0000000180)=""/195, 0xc3}, {&(0x7f0000000280)=""/166, 0xa6}], 0x3, 0x30c0fbf8) 02:43:32 executing program 2: syz_usb_connect$printer(0x0, 0x2d, &(0x7f0000000100)=ANY=[@ANYBLOB="12010000000000002505a8a440000102030109021b0001010000000904000002070100000905010200000000001bef75fdcf000fc5b476192a8a104037fc530aad8cd8b3b55c487d601bea5a436a12a22420f769ee887c45daff69c58def30d1ffe944a83afa566b1373e656fe2b12f558ac9600873eace28ecd2e1c4ed8dc81fa37840bfb1d950686aa7266db0c445642937eedcca3b9e6c7a6ab1fb45e593fb8f1067f8cc7709704306fad09fee88401611ce993b490811a27d404950a0bf2ec9545b60619fc0684ccfacdf671635e5cb6d39dcaa987dba1d24ffdce36610d5b913433cbeb06b086c218803b8d43a7cae1"], 0x0) socketpair$unix(0x1, 0x5, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r0, &(0x7f0000000080)=@abs={0x1, 0x0, 0x1}, 0x8) [ 76.0035542] uhub5: device problem, disabling port 1 02:43:33 executing program 0: r0 = __clone(0x0, &(0x7f00000002c0)) __clone(0x0, 0x0) compat_50_wait4(0x0, 0x0, 0x8, 0x0) compat_50_wait4(r0, 0x0, 0x0, &(0x7f0000000000)) 02:43:33 executing program 4: r0 = socket(0x18, 0x1, 0x0) r1 = fcntl$dupfd(r0, 0xc, r0) setsockopt$sock_int(r1, 0xffff, 0x800, &(0x7f0000000040)=0x400, 0x4) setsockopt(r0, 0x2c, 0x41d, &(0x7f0000000000)="516af579abc2288ce279c1e7874d35166b3d2a094e0f0b6b4d16f617", 0x1c) [ 77.2335486] uhub2: device problem, disabling port 1 [ 77.2435585] uhub1: device problem, disabling port 1 02:43:33 executing program 5: r0 = socket(0x18, 0x3, 0x0) setsockopt(r0, 0x1000000029, 0x18, &(0x7f0000000000), 0x4) r1 = __clone(0x1000, &(0x7f0000000000)="d72ed748ac62792721de3f6f36fd9daac22febef") r2 = socket$unix(0x1, 0x2, 0x0) syz_usb_connect$cdc_ncm(0x0, 0x89, &(0x7f00000000c0)=ANY=[@ANYBLOB="1201100302000000250585a44000010203010902770002010010040904000001020d0000082406000180245f05240080000d240f0119332000ecbac1ff1a06241a00020007240a040304000c241b0300080880e000000005240100030905810308007602000904010000020d00000904010102020d000009058202000006000009050302000004ef00"], &(0x7f0000000740)={0xa, &(0x7f0000000180)={0xa, 0x6, 0x0, 0xf9, 0x81, 0x9}, 0x5, &(0x7f00000001c0)={0x5, 0xf, 0x5}, 0x6, [{0x35, &(0x7f0000000200)=@string={0x35, 0x3, "4b7001a51e7561eab8d5c89a83898f11f3eff19c98cfeb7143cb1e733d03f5411bd753cc8c75e31993e2ab8ddd022c764823a2"}}, {0xe1, &(0x7f0000000800)=@string={0xe1, 0x3, "1ad60197066981ab915ff62178de896e80dfb2aaec3f979e751fd7fe43d55fa681732a563b1fd09a2438951375cef7eacf1cf3133854ca8b01a680ecb7ddd4dcc5e926735aaeb24aa4376143c453aa52fbc1d5bf911627218b7880cc36e1cb2dfd8dfd9e07a845d9d742de6077a7ea95958e3d216e112b56691227519d1bc34b7f10ed292034323b00942130f0834cf1174192c70353a3da4df6c92f3de4460c680114f882e5f0c2a41e1d1be91513a2d422d824cef39f32d4d6cf670df4abc78d27def620cddcdde50d680fb8d6898e34d9cdd51e3af559f9afcd7df9f814"}}, {0x2c, &(0x7f00000002c0)=@string={0x2c, 0x3, "251951379463d4c57bc86f4372c57f479344997701b4cf5357c133fc783413d446f4b9e24267bb988c56"}}, {0x0, 0x0}, {0x0, 0x0}, {0x2, &(0x7f0000000640)=@string={0x2}}]}) getsockopt$sock_cred(r2, 0xffff, 0x11, 0x0, 0x0) compat_50_wait4(r1, 0x0, 0x8, 0x0) 02:43:34 executing program 3: mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x1, 0x800000000009031, 0xffffffffffffffff, 0x0, 0xfffffffffffffffb) compat_43_ocreat(&(0x7f0000000040)='./file1\x00', 0x7) r0 = fcntl$dupfd(0xffffffffffffffff, 0x0, 0xffffffffffffffff) r1 = open(&(0x7f0000000340)='./file0\x00', 0x300, 0x0) flock(r1, 0x1) flock(r1, 0x8) r2 = accept(r1, 0x0, &(0x7f0000000080)) poll(&(0x7f0000000000)=[{r0, 0x10}, {r2}, {r0, 0x10}, {r1, 0x100}], 0x4, 0xffff) [ 78.2535497] uhub1: device problem, disabling port 1 02:43:34 executing program 4: r0 = socket(0x2, 0x10000000000003, 0x33) sendmsg(r0, &(0x7f0000001700)={&(0x7f0000000000), 0xe, &(0x7f00000003c0)=[{&(0x7f0000000040)="434b9464821cf048bf04ae3a8acc7e170ff586a78248cc5310193cd9fbe4de7673248cc7cccdd6bd13a9aa57c152e43ffaa12f987ee0e6e62eee4466075b8a2354f68217028c069ee6dcaf8f25d1e1a5e32997065c78a55d0bdb6201c0965ef025cf8c80b96a802e9ee1e13164bdd3e31c3a5f3790c2f11a", 0x78}, {&(0x7f0000001900)="5539187e2a7e255a45ecf55d92ca96e8739305c50577f0d48560d5b30cd183bcc72199f5a72e2328f4953efbb10d06955214540f519511bb5a910ec9a6e4718b46d71b4799cf22f2ea9835acda4ab6a103d02b2bd50f29fa3fc187e3a817b6ca57db68c2a9ccb222817aa129ecdeecce98b1eb60559d7cb2b43255d48b177d10669ceb57c284b6546aab3755acb1b002ca18c0b3f5ef3211213b0d92ab249a52b7fcae29cb9e34f232e5f08667f475e57a1afd7000c36f4b049a55392ac4e5aae5b407c186603eeba7f950df15db", 0xce}, {&(0x7f0000000180)="633a6d3c690c4d87ba51334a45339430616b728387b82bf35524d125ef6f2024dfd96e00964f5598d644512390ab6bdffe88c090784c980dc5b6aa8d27b3c31ad25e11690f24616c26b53419619fff5cfbaedf3b7d3dd6de", 0x58}, {&(0x7f0000000200)="150a091b8a0bb0bdb6a8bfe671819ae2c3e44ed955e99c57bec88ee2434b350c82e7990218fc884eb277ad12f7c4a01ad7cee3b9ff34bed9ae7233fcca11872d7e9fee6d0e86f14a21c554644fb4d22a1d6b0a6a6c1d552d2f8a25b5c9ef61659b8d0052b95c110f4a422a025556cf493fdb4114ce95739eca467c072882cbd3c560d5f84e4bc30d3d361ac8d63e36f1abd153beb7dccb6afe882bc4401c7c0a88bd17b618ac6047bd6698682ea91caca675ac3e6875ddc358612d10b52d599475ff349a4b12ce3c22ba1ce9a437a1b836a70c5f69e3e387a98a62cd6ca7cbcaf9a5d877bef018c49a8c5c2b0f81e942715af489802d7b08692a", 0xfa}, {&(0x7f0000000300)="66c8e5cd974286e58aeaf34643d8c8fa327e4f1117960fb971e85f4cdc7aa18c55ab31e81f5cf43760c4ff587e5eedd2691ac18d3b521931b6de6a80163481f613fe00526890d4d4511c772fe88bb2274937e2726b954cffd91ddabdb5cb196ac7a4a7af7e40be773da111f550241b5df8128a5a31ccfc04c763a7615f973954eb678aa3d0e90abbb206fee5a261c3a1f8a5bebd5d9582d3980165100d62469ee5", 0xa1}], 0x5, &(0x7f0000000440)=ANY=[@ANYBLOB="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"], 0x12a0}, 0x1) syz_usb_connect$hid(0x5, 0x3f, &(0x7f0000001740)={{0x12, 0x1, 0x200, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x2d, 0x1, 0x1, 0x20, 0x90, 0xe0, [{{0x9, 0x4, 0x0, 0x80, 0x1, 0x3, 0x1, 0x1, 0x3f, {0x9, 0x21, 0x7ff, 0x2, 0x1, {0x22, 0x968}}, {{{0x9, 0x5, 0x81, 0x3, 0x3ff, 0x3, 0x7, 0x5}}, [{{0x9, 0x5, 0x2, 0x3, 0x408, 0x1, 0x58, 0x5c}}]}}}]}}]}}, &(0x7f00000018c0)={0xa, &(0x7f0000001780)={0xa, 0x6, 0x200, 0x7f, 0x1, 0xff, 0x40, 0x3f}, 0x5, &(0x7f00000017c0)={0x5, 0xf, 0x5}, 0x3, [{0x4, &(0x7f0000001800)=@lang_id={0x4, 0x3, 0x82c}}, {0x4, &(0x7f0000001840)=@lang_id={0x4, 0x3, 0x44e}}, {0x4, &(0x7f0000001880)=@lang_id={0x4, 0x3, 0x480a}}]}) semctl$GETNCNT(0xffffffffffffffff, 0x1, 0x3, &(0x7f00000000c0)=""/133) 02:43:35 executing program 0: _ksem_trywait(0x0) fcntl$setflags(0xffffffffffffff9c, 0x2, 0x1) shmctl$IPC_RMID(0x0, 0x0) setsockopt$sock_int(0xffffffffffffffff, 0xffff, 0x10, &(0x7f0000000000)=0x5, 0x4) setsockopt$sock_int(0xffffffffffffffff, 0xffff, 0x2000, &(0x7f0000000040)=0x7, 0x4) fchown(0xffffffffffffffff, 0xffffffffffffffff, 0x0) shmctl$IPC_RMID(0xffffffffffffffff, 0x0) symlinkat(&(0x7f0000000080)='./file0\x00', 0xffffffffffffffff, &(0x7f00000000c0)='./file0\x00') sync() sendto$unix(0xffffffffffffff9c, &(0x7f0000000100)="43861cf67a4270c43d415275dca4a39ea2d6103a319d3041d2bdd87ad98d503efcfc2de07780cafe483841e2b6cdb3307579a998cf575e9a058d7982ebfc22d3b3ac8cd9a64f19c16bd58211cc2b5934731466d23bc691d19b62ec2d049b589b32fe2b0238d94d7d623b33703a5bdf5731d4f779e8be7ea33c675010636e476da0563b2daa3fbdff728df95b3ee79ca9921ad6e3070de8d01a46560bcad2e3e7bbf6c95a2692f10cad3e4834f44e357d2e28880eab7c3565e182868f7179a3e7b2623f2f1f4c0047", 0xc8, 0x5, &(0x7f0000000200)=@file={0x1, './file0\x00'}, 0xa) compat_12_stat12(&(0x7f0000000240)='./file1\x00', &(0x7f0000000280)) fstatat(0xffffffffffffffff, &(0x7f0000000300)='./file1\x00', &(0x7f0000000340), 0x200) 02:43:35 executing program 2: compat_50_mknod(&(0x7f0000000000)='./file0\x00', 0x6000, 0x1003) r0 = open(&(0x7f00000000c0)='./file0\x00', 0x0, 0x0) pipe(&(0x7f0000001540)={0xffffffffffffffff}) compat_43_olseek(r1, 0x4, 0x0) accept$inet6(r1, 0x0, &(0x7f0000001580)) r2 = fcntl$getown(r1, 0x5) ptrace(0x469, r2, &(0x7f00000015c0), 0x4) r3 = open(&(0x7f0000001780)='./file0\x00', 0x40, 0x200) r4 = open(&(0x7f00000000c0)='./file0\x00', 0x201, 0x0) fcntl$lock(r4, 0x9, &(0x7f0000000000)={0x0, 0x0, 0x4, 0x1000300010005}) pipe(&(0x7f0000001540)={0xffffffffffffffff}) compat_43_olseek(r5, 0x4, 0x0) accept$inet6(r5, 0x0, &(0x7f0000001580)) r6 = fcntl$getown(r5, 0x5) ptrace(0x469, r6, &(0x7f00000015c0), 0x4) r7 = getgid() sendmsg$unix(r0, &(0x7f00000018c0)={&(0x7f0000001140)=@file={0x1, './file0/file0\x00'}, 0x10, &(0x7f0000001180)=[{&(0x7f00000015c0)="0a550234db427105b3491b9ee64be0a02002297debb41fea2f98a68c6ba4575b88d70345e3f3c3b18694d84891e91d1679b96e02482bc86d6bf5bea9f1e8fc76ce97eebb9403ec3b027c1c81d162f0c624de885167d350b66e5a33720fa2033f605ca6b52ab002c5a8f0006c8a2521d315d095f21a07f514bbd3e2e038c951bcab5764f5cd18e84b0e745662d73f210d6323b6ab717b2aed0eda72ffb4bb40847017f7c72785f8351e723c29e526e9aee1b23affc8692391b61800f81e6c05f77c6626f804a0d8505d949b637d479ff0e0ff774321d353912a717013f24e5c5008eaacc6189ec708bc903c261c399c4348", 0xf1}], 0x1, &(0x7f0000000140)=ANY=[@ANYBLOB="1800000000000000ffff000001000000", @ANYRES32, @ANYRES32, @ANYBLOB="2000000000000000ffff000002000000", @ANYRES32, @ANYRES32=0x0, @ANYRES32=0x0, @ANYBLOB="000000001800000000000000ffff000001000000", @ANYRES32=r0, @ANYBLOB="000000001800000000000000ffff000001000000", @ANYRES32=r0, @ANYRES32, @ANYBLOB="2000000000000000ffff000002000000", @ANYRES32=r2, @ANYRES32, @ANYRES32=0x0, @ANYBLOB="000000003000000000000000ffff000001000000", @ANYRES32=r0, @ANYRES32=r3, @ANYRES32=r0, @ANYRES32=r0, @ANYRES32=r0, @ANYRES32=r4, @ANYRES32=r0, @ANYBLOB="000000002000000000000000ffff000002000000", @ANYRES32=r6, @ANYRES32, @ANYRES32=r7, @ANYBLOB="ef1a1338c37086ab34e7825a0700e55bd9730de972d709960b50596e8108111e5bfb6f4a07786896cb33bd0c57a9ff3fe77fa8971041326970aa302f2c1cb3a3ab8c6cc6f4a9bf357402930fc1c4197c91462ebed17196b12376d00c0392920b0e1b5358de5e7f4e208dfcaaea2323bc1370bdbf9cd7cf0ddb90e88e6c6b9b7e44868148e944e8790d3b1cdeb9521f61dd0121a2da2185285b67f9c1a6136d261862cf2d34de1d7f9ca470d839b6572de67d2bd24c782000870156262efa4b8974c422595f97ed153fbc4596f88ace9a26712721b2b1b67929d14272ac89e43e92dd35a04a9a88f81c139dff9f089ebf6fc8ada2ac500b544f9a"], 0xd8, 0x40d}, 0x407) close(r0) compat_43_oftruncate(0xffffffffffffffff, 0x800) sendmmsg(r0, &(0x7f00000014c0)={&(0x7f0000001480)={&(0x7f0000000040)=@family=0x1f, 0xe, &(0x7f0000001280)=[{&(0x7f0000000080)="8fa7c5150f7b889979629e90300d29f931a8265fc21cfec29757266b391caa73eed218d90414fe2da335", 0x2a}, {&(0x7f0000001900)="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", 0x10a7}, {&(0x7f0000000100)="b2007de6ac1a75b6ae3ac9ecb514998160fa0d3b9804867670793ea00eefa0797f63b9ae34076773f56ec8b1fa178afa4a", 0x31}, {&(0x7f0000001540)="9cf52dd26d625f6b53484ba71f1be422a3dcd24a33fb74c0858202f002140ec20f4e3a1034ba188a054b00de7213fc142457e3958302b9b7cfb59712324c7b72dbaaa4117ddbfa3f7e7d90d42400000000000000ec00", 0x56}, {&(0x7f00000011c0)="70db6f584f2d5eb6554dd1be030d2b624757405b116c7bbe18e82bc68d742b0145e084a9978d8eb6053050dc0b462454385d2869351126e4e15bd9bd2f421f4b04fcd715ec62826d6182044ace9bfa9e1529c3c0a3aa3f0001aa3ec29def455a946dd30f789ff164ec8564484f3f36cf1e48214a504855ebf44f3f8fb07253ca812b298d021954331359", 0x8a}], 0x5, &(0x7f0000001300)=ANY=[@ANYBLOB="38000000ba2f50bdfb1c4324bb4166691cbd7bc1068e8f3c14cdc16d6abc3af1aa06c9ae00000000d0000000000000000101000007000000ea6e51a9b04a88d70785676f142dfd8503865ba017267784d5fd83493d9015478f0daaa0f765f56a65d7e709f971dba08e130150d9d3dd2df5c53bb2ee9872d1becf270e908fe2f59e229d946e6e53cb9da102c13abd899d6ad6a44afe823d809a3bd39f1992ec4e4882308eddd9791621ca99f5b8630056964c25487e6ec4bb32bc08d702414f75660ca07a78c300d318b686ea65499979229d12d7c7359374c4d9c21de873827991cd13ab02ff026e1a1af80521436099410b3295190000000000005000000000000000ffff000001000000478b77a2b7972cd74ea0faaf1f4f5da5f889e4e93c3e108f12c41b3370646340a14c08ff9c7f05c1d984d599c6d4d1e5abc3727ef6ebe800"/344], 0x158}, 0xb40}, 0x10, 0x1, &(0x7f0000001500)={0x3f, 0x6}) [ 78.8235504] uhub2: device problem, disabling port 1 [ 78.9335523] uhub0: device problem, disabling port 1 [ 79.1735464] uhub4: device problem, disabling port 1 02:43:35 executing program 1: r0 = socket(0x1f, 0x1, 0x0) listen(r0, 0x0) getsockopt(r0, 0x3, 0x2, 0x0, 0x0) msgget$private(0x0, 0x200) shmget$private(0x0, 0x2000, 0x402, &(0x7f0000ffd000/0x2000)=nil) 02:43:36 executing program 3: __setitimer50(0x0, &(0x7f0000000140)={{}, {0x80000001}}, 0x0) __clone(0x0, 0x0) r0 = socket$inet(0x2, 0x2, 0x0) __getitimer50(0x3, &(0x7f0000000000)) setsockopt$sock_int(r0, 0xffff, 0x0, 0x0, 0x0) munmap(&(0x7f0000000000/0x4000)=nil, 0x4000) r1 = shmget$private(0x0, 0x3000, 0x0, &(0x7f0000ffc000/0x3000)=nil) shmat(r1, &(0x7f0000ffc000/0x1000)=nil, 0x0) __clone(0x0, 0x0) [ 80.3635605] uhub5: device problem, disabling port 1 02:43:37 executing program 0: symlink(&(0x7f0000000100)='..', &(0x7f0000000200)='./file0\x00') __mount50(&(0x7f0000000000)='overlay\x00', &(0x7f0000000040)='./file0\x00', 0x0, &(0x7f0000001240), 0x0) open(&(0x7f0000000080)='./file0\x00', 0x200, 0x0) 02:43:37 executing program 3: compat_50_mknod(&(0x7f0000000040)='./file0\x00', 0x40, 0x1fd) __wait450(0x0, &(0x7f00000000c0), 0x2, &(0x7f0000000100)) _ksem_init(0x0, &(0x7f0000000280)=0x50535244) _ksem_getvalue(r0, &(0x7f0000000000)) faccessat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x4, 0x100) minherit(&(0x7f0000ffc000/0x4000)=nil, 0x4000, 0x2) _ksem_trywait(0x0) 02:43:37 executing program 1: open$dir(&(0x7f0000000000)='./file1\x00', 0x10219, 0x0) open$dir(&(0x7f00000002c0)='./file0\x00', 0x200, 0x0) rename(&(0x7f0000000240)='./file1\x00', &(0x7f0000000280)='./file0\x00') r0 = getpgid(0x0) r1 = socket(0x1, 0x2, 0x5) bind$unix(r1, &(0x7f0000000200)=@abs={0x0, 0x0, 0x1}, 0x8) pipe(&(0x7f0000001540)={0xffffffffffffffff}) compat_43_olseek(r2, 0x4, 0x0) accept$inet6(r2, 0x0, &(0x7f0000001580)) r3 = fcntl$getown(r2, 0x5) ptrace(0x469, r3, &(0x7f00000015c0), 0x4) posix_spawn(r0, &(0x7f0000000040)=']\x00', &(0x7f0000000100)={0x80000000, 0x80000001, &(0x7f00000000c0)=@open={0x0, r1, {&(0x7f0000000080)='#.(-^,%)\x00', 0x0, 0x9}}}, &(0x7f0000000140)={0x1, r3, {0x4}, 0xaa, {[0x80, 0x0, 0x101, 0x20]}, {[0x4, 0x1, 0x7fffffff, 0x80000000]}}, &(0x7f0000000180)=['^\x00', '**%[(D\xaf{\x00', '\x00'], &(0x7f00000001c0)=['\x00', '\x00']) 02:43:37 executing program 3: socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000000)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg(r0, &(0x7f0000001ac0)={0x0}, 0x32, 0x0, 0x0) _ksem_open(&(0x7f0000000240)="37601cfebc39955dff92003efac2b060fc30b37e1fa6a80439f1c4729898d2820e1e73d673ffccded22dddd36e3cac1a253a166728a548d71839bf4d36854e8e70622592d3f15e69f7b31d210309b28b8bf27acd74222ab414c230186882c2a3ab0490e7856ebd4d74fa7e4c2c6782967a100decacc1e16d6bb7d0539fa7ac73ddedb180588a40df07b1f914780460f95a222ea6e1d2c662ee8882e07efba76ec57a805581abce72efe0dea388ea1227d48a2ab58fa5737fbc343df7bfd2e922f4d98d1a2c72fe7e7e1b5a260454b5ab90023b08f473a62948836859f135a8bb0d0f0a33e7f6210aff05168a3e14bda4d27ba9de63029f792c35603a2c49", 0x800, 0x4, 0x4, &(0x7f0000000340)=0x0) _ksem_wait(r1) _ksem_close(r1) 02:43:37 executing program 2: r0 = fcntl$dupfd(0xffffffffffffffff, 0x0, 0xffffffffffffffff) close(r0) listen(r0, 0x1) r1 = socket$inet6(0x18, 0x5, 0x8) r2 = dup2(r0, r1) lseek(r2, 0x0, 0x7fffffff, 0x0) accept(r1, &(0x7f0000000000), &(0x7f0000000040)=0xe) [ 81.3535524] uhub4: device problem, disabling port 1 [ 81.7235469] uhub1: device problem, disabling port 1 02:43:38 executing program 5: _ksem_timedwait(0x50535244, 0x0) setsockopt$inet6_MRT6_ADD_MFC(0xffffffffffffff9c, 0x29, 0x68, &(0x7f0000000000)={{0x18, 0x3, 0x6, 0x200}, {0x18, 0x0, 0x3ff, 0x9}, 0x3, [0x10001, 0x1, 0x2, 0x1, 0x5, 0x800, 0x0, 0x800]}, 0x3c) 02:43:38 executing program 2: compat_12_lstat12(&(0x7f0000000000)='./file1\x00', &(0x7f0000000040)) chroot(&(0x7f00000013c0)='./file0\x00') 02:43:38 executing program 4: r0 = compat_30_socket(0x1f, 0x0, 0x0) setsockopt$sock_int(r0, 0xffff, 0x1001, &(0x7f0000000000)=0x5, 0x4) 02:43:38 executing program 1: connect$unix(0xffffffffffffffff, &(0x7f0000000000)=ANY=[@ANYBLOB="62020207e0000001"], 0x1) r0 = socket(0x18, 0x3, 0x0) connect$unix(r0, &(0x7f0000000000)=ANY=[], 0x10) writev(r0, &(0x7f0000000240)=[{0x0}], 0x1) 02:43:38 executing program 0: r0 = open(&(0x7f000000fffa)='.\x00', 0x0, 0x0) mprotect(&(0x7f0000000000/0x800000)=nil, 0x800000, 0x5) open(&(0x7f0000000040)='./file0\x00', 0x80, 0x7) __futimes50(r0, &(0x7f0000000000)) 02:43:38 executing program 3: mkdir(&(0x7f0000000000)='./file0\x00', 0x0) r0 = socket(0x1f, 0x3, 0x0) unmount(&(0x7f0000000040)='./file0\x00', 0x8000) setsockopt$sock_timeval(r0, 0xffff, 0x0, 0x0, 0x0) __mount50(&(0x7f0000000080)='ffs\x00', &(0x7f00000000c0)='./file0\x00', 0x0, 0x0, 0x0) 02:43:38 executing program 4: socketpair(0x23, 0x4, 0x3f, &(0x7f0000000040)={0xffffffffffffffff}) posix_spawn(0xffffffffffffffff, 0x0, &(0x7f0000000140)={0x0, 0x1, &(0x7f00000000c0)=@dup={0x1, r0, {0x5}}}, &(0x7f0000000100)={0x0, 0xffffffffffffffff, {}, 0x0, {[0x4]}, {[0x0, 0xffffffff]}}, 0x0, 0x0) getsockopt$SO_PEERCRED(0xffffffffffffff9c, 0xffff, 0x11, &(0x7f0000000000)={0x0, 0x0}, 0xc) r2 = getegid() fchown(0xffffffffffffff9c, r1, r2) 02:43:38 executing program 0: open$dir(&(0x7f0000000000)='./file0\x00', 0x2088611, 0x0) r0 = open(&(0x7f0000000040)='./file0\x00', 0x0, 0x0) mmap(&(0x7f0000013000/0x4000)=nil, 0x4000, 0x3, 0x10, r0, 0x0, 0x0) r1 = open$dir(&(0x7f0000000000)='./file0\x00', 0x2088611, 0x0) r2 = open$dir(&(0x7f0000000100)='./file0\x00', 0x2, 0x0) writev(r2, &(0x7f0000000340)=[{&(0x7f0000000180), 0x81700}], 0x1000000000000013) preadv(r2, &(0x7f0000000380)=[{&(0x7f0000000200)=""/77, 0x4d}], 0x1, 0x0) mlock(&(0x7f0000015000/0x4000)=nil, 0x4000) r3 = open(&(0x7f00000000c0)='./file0\x00', 0x201, 0x0) fcntl$lock(r3, 0x9, &(0x7f0000000000)={0x0, 0x0, 0x4, 0x1000300010005}) compat_50_futimes(r3, &(0x7f0000000080)={0xb9, 0x2fa}) writev(r1, &(0x7f0000000340)=[{&(0x7f0000000000), 0x2cfea}], 0x1000000000000013) 02:43:38 executing program 2: mkdir(&(0x7f0000000040)='./file0\x00', 0x0) lchown(&(0x7f0000000000)='./file0\x00', 0xffffffffffffffff, 0xffffffffffffffff) __mount50(0x0, &(0x7f0000000080)='./file0\x00', 0x0, 0x0, 0x0) 02:43:38 executing program 4: compat_50_mknod(&(0x7f0000000000)='./file0\x00', 0x2004, 0x208) r0 = open(&(0x7f0000000180)='./file0\x00', 0x0, 0x0) poll(&(0x7f0000000040)=[{r0}], 0x1, 0x0) r1 = accept$unix(r0, &(0x7f00000000c0)=@abs, &(0x7f0000000100)=0x8) recvfrom$unix(r1, &(0x7f00000001c0)=""/206, 0xce, 0x2820, &(0x7f0000000140)=@file={0x0, './file1\x00'}, 0xa) pipe(&(0x7f0000000080)) 02:43:39 executing program 5: socketpair$unix(0x1, 0x0, 0x0, &(0x7f0000000180)={0xffffffffffffffff, 0xffffffffffffffff}) r2 = open(&(0x7f00000000c0)='./file0\x00', 0x201, 0x0) fcntl$lock(r2, 0x9, &(0x7f0000000000)={0x0, 0x0, 0x4, 0x1000300010005}) sendmsg$unix(r2, &(0x7f0000000140)={&(0x7f0000000000)=@abs={0x0, 0x0, 0x3}, 0x8, &(0x7f0000000100)=[{&(0x7f0000000080)="bc2ce47f1f6a7b75d8ec4a15feba", 0xe}, {&(0x7f00000000c0)="89557dad9c9e8191979e1c8a5eccc0474a938a79cc", 0x15}], 0x2, 0x0, 0x0, 0xc0f}, 0x2) recvfrom$unix(r0, 0x0, 0x2, 0x0, 0x0, 0x0) sendto$unix(r1, 0x0, 0x0, 0x0, 0x0, 0x0) recvfrom$unix(r1, &(0x7f00000001c0)=""/4096, 0x1000, 0x6404, &(0x7f0000000040)=@abs={0x0, 0x0, 0x2}, 0x8) 02:43:39 executing program 1: mknod(&(0x7f0000000040)='./bus\x00', 0x2080002002, 0x40004000000028ad) r0 = open(&(0x7f0000000200)='./bus\x00', 0x0, 0x0) poll(&(0x7f00000001c0)=[{r0, 0xafd25d75d8876685}], 0x1, 0x8) r1 = open(&(0x7f0000000340)='./file0\x00', 0x300, 0x0) flock(r1, 0x1) fcntl$dupfd(r1, 0xc, r0) flock(r1, 0x8) write(r1, &(0x7f0000000000)="038978b0ac968a04b531ab6bad0c1996b8096e049a", 0x15) 02:43:39 executing program 4: open$dir(&(0x7f0000000000)='./file0\x00', 0x1000000, 0x80) truncate(&(0x7f0000000100)='./file0\x00', 0x0, 0x100000000) mknod$loop(0x0, 0x0, 0x0) mmap(&(0x7f0000000000/0x200000)=nil, 0x200000, 0x0, 0x10, 0xffffffffffffffff, 0x0, 0x0) r0 = open(&(0x7f0000000080)='./file0\x00', 0x0, 0x0) r1 = open(&(0x7f0000000040)='./file0\x00', 0x0, 0x0) preadv(r1, &(0x7f0000001740)=[{&(0x7f0000000740)=""/4096, 0x400003}], 0x1, 0x0) preadv(r0, &(0x7f0000001740)=[{&(0x7f0000000740)=""/4096, 0x400003}], 0x1, 0x0) mmap(&(0x7f0000ffc000/0x2000)=nil, 0x2000, 0x0, 0x10, 0xffffffffffffffff, 0x0, 0x0) __clone(0x0, 0x0) 02:43:39 executing program 2: r0 = __clone(0x0, 0x0) setpgid(0x0, 0x0) getppid() __vfork14() r1 = __vfork14() getpgid(r1) r2 = getpgrp() ptrace(0xfffffffe, r2, &(0x7f0000000000), 0x3) setpgid(0x0, r0) 02:43:39 executing program 3: r0 = __clone(0x0, 0x0) fork() ptrace(0x9, r0, 0x0, 0x0) ptrace(0x9, 0x0, 0x0, 0x0) ptrace(0x8, 0x0, 0x0, 0x0) ptrace(0xc0000000008, 0x0, 0x0, 0x100000004) setpgid(r0, 0x0) compat_50_wait4(0x0, 0x0, 0x0, 0x0) posix_spawn(0xffffffffffffffff, &(0x7f0000000000)=':%-(%$\x00', &(0x7f00000000c0)={0xfff, 0xc4, &(0x7f0000000040)=@dup={0x1, 0xffffffffffffff9c, {0x3f}}}, &(0x7f0000000100)={0x10, 0x0, {0x3}, 0xb1, {[0x40, 0x81, 0xc5b, 0x1]}, {[0x2, 0x0, 0x6, 0x7]}}, &(0x7f0000000140)=['^\x00'], &(0x7f0000001280)=['\xde-+@\x00', '\x00\x00\x01\x00\x00\x00\x00\x00\xff\xb6\xb5a\x88\x0eg\x8a\x92\xd9/\x12\xe2\xfe\xe3\x1b\x80=\xd6\x91\\\x12\x89\xec/\xce\xe4`n\x8c\a7\x9a\x94\v\xc0\x9a\x93J\xbc', '/].*}@-{\x00', '^\x00', '{&\x00', '\x00']) readlink(&(0x7f0000000200)='./file0\x00', &(0x7f0000000240)=""/4096, 0x1000) open(&(0x7f0000001240)='./file0\x00', 0x400000, 0x800) ptrace(0x9, 0x0, 0x0, 0x0) pipe(&(0x7f0000001540)={0xffffffffffffffff}) compat_43_olseek(r1, 0x4, 0x0) accept$inet6(r1, 0x0, &(0x7f0000001580)) r2 = fcntl$getown(r1, 0x5) ptrace(0x469, r2, &(0x7f00000015c0), 0x4) ptrace(0x5, r2, &(0x7f0000000080), 0x4) [ 82.7035719] WARNING: defaulted mmap() share type to MAP_PRIVATE (pid 1484 command syz-executor.0) [ 83.1235646] panic: kernel diagnostic assertion "pgrp->pg_jobc > 0" failed: file "/syzkaller/managers/netbsd-kmsan/kernel/sys/kern/kern_proc.c", line 1544 [ 83.1428946] cpu0: Begin traceback... [ 83.1635343] vpanic() at netbsd:vpanic+0x91a [ 83.1835331] uhub5: device problem, disabling port 1 [ 83.2135301] kern_assert() at netbsd:kern_assert+0x214 [ 83.2635323] fixjobc() at netbsd:fixjobc+0x5da [ 83.3335303] exit1() at netbsd:exit1+0x1455 [ 83.3835286] sys_exit() at netbsd:sys_exit+0x1ca [ 83.4535318] syscall() at netbsd:syscall+0x42d [ 83.4735300] --- syscall (number 1) --- [ 83.4935309] netbsd:syscall+0x42d: [ 83.4935309] cpu0: End traceback... [ 83.5061938] fatal breakpoint trap in supervisor mode [ 83.5061938] trap type 1 code 0 rip 0xffffffff8022286d cs 0x8 rflags 0x246 cr2 0x610298 ilevel 0 rsp 0xffff87808eb569a0 [ 83.5217926] curlwp 0xffff878012641140 pid 1507.1507 lowest kstack 0xffff87808eb4f2c0 Stopped in pid 1507.1507 (syz-executor.2) at netbsd:breakpoint+0x5: leave ? breakpoint() at netbsd:breakpoint+0x5 vpanic() at netbsd:vpanic+0x91a kern_assert() at netbsd:kern_assert+0x214 fixjobc() at netbsd:fixjobc+0x5da exit1() at netbsd:exit1+0x1455 sys_exit() at netbsd:sys_exit+0x1ca syscall() at netbsd:syscall+0x42d --- syscall (number 1) --- netbsd:syscall+0x42d: Panic string: kernel diagnostic assertion "pgrp->pg_jobc > 0" failed: file "/syzkaller/managers/netbsd-kmsan/kernel/sys/kern/kern_proc.c", line 1544 PID LID S CPU FLAGS STRUCT LWP * NAME WAIT 1764 1764 2 0 0 ffff8780126419c0 syz-executor.3 1507 >1507 7 0 0 ffff878012641140 syz-executor.2 1609 1609 2 0 0 ffff878012823ac0 syz-executor.4 1465 1367 2 0 0 ffff87801283eb00 syz-executor.3 1465 1465 2 1 10000000 ffff878012956300 syz-executor.3 1489 1364 2 0 0 ffff878012641580 syz-executor.2 1489 1454 2 0 0 ffff878012823680 syz-executor.2 1489 1489 3 1 10000000 ffff87801266f5c0 syz-executor.2 tstile 1638 582 3 1 c0 ffff8780126dc1c0 syz-executor.1 parked 1638 1234 2 1 0 ffff8780126f7200 syz-executor.1 1638 1638 2 1 10000000 ffff87801266f180 syz-executor.1 1596 1608 3 0 80 ffff87801283e280 syz-executor.5 parked 1596 1596 2 0 10000000 ffff87801266fa00 syz-executor.5 1484 1615 3 1 80 ffff878012956b80 syz-executor.0 parked 1484 1622 3 1 80 ffff8780126f7640 syz-executor.0 lockf 1484 1484 2 0 10000040 ffff8780126dc600 syz-executor.0 1407 1407 3 0 80 ffff8780126f7a80 syz-executor.3 parked 1330 1330 3 0 80 ffff878012956740 syz-executor.3 parked 1127 1127 3 0 80 ffff87801283e6c0 syz-executor.3 parked 423 423 3 0 80 ffff878012823240 syz-executor.0 parked 1315 1315 3 0 80 ffff8780126dca40 syz-executor.0 parked 1079 1079 3 1 40 ffff8780124e5980 syz-executor.5 tstile 1092 1092 2 0 40 ffff8780124e5540 syz-executor.3 418 418 2 0 40 ffff8780124e5100 syz-executor.4 1078 1078 2 0 40 ffff8780124d40c0 syz-executor.2 1069 >1069 7 1 40 ffff8780123ed900 syz-executor.1 1091 1091 3 1 40 ffff8780123ed4c0 syz-executor.0 tstile 1065 1077 3 0 80 ffff8780124d4940 syz-fuzzer parked 1065 1082 3 1 80 ffff8780124d4500 syz-fuzzer parked 1065 1075 3 0 80 ffff878011c128c0 syz-fuzzer kqueue 1065 1116 3 1 80 ffff8780123ed080 syz-fuzzer parked 1065 1064 3 0 80 ffff878011c12480 syz-fuzzer parked 1065 1121 3 0 c0 ffff878011c12040 syz-fuzzer parked 1065 945 3 0 80 ffff87801154fb40 syz-fuzzer parked 1065 1067 3 0 c0 ffff87801154f2c0 syz-fuzzer parked 1065 1068 3 0 80 ffff878011343ac0 syz-fuzzer parked 1065 1065 3 0 80 ffff878011b26bc0 syz-fuzzer parked 1253 1253 3 0 80 ffff878011245a80 sshd select 1057 1057 3 0 80 ffff878011b26780 getty nanoslp 696 696 3 1 80 ffff878011245640 getty nanoslp 1088 1088 3 1 80 ffff878011245200 getty nanoslp 1090 1090 3 1 c0 ffff87801120e5c0 getty ttyraw 938 938 3 1 80 ffff878011b26340 sshd select 979 979 3 1 80 ffff8780114326c0 powerd kqueue 734 734 3 0 80 ffff878011af6740 syslogd kqueue 592 592 3 0 80 ffff878011343680 dhcpcd poll 590 590 3 0 80 ffff878011af6b80 dhcpcd poll 589 589 3 0 80 ffff878011343240 dhcpcd poll 412 412 3 0 80 ffff878011af6300 dhcpcd poll 347 347 3 0 80 ffff87801154f700 dhcpcd poll 346 346 3 0 80 ffff878011432280 dhcpcd poll 345 345 3 1 80 ffff878011432b00 dhcpcd poll 1 1 3 1 80 ffff878010eec900 init wait 0 853 3 0 200 ffff87801120ea00 physiod physiod 0 166 3 0 200 ffff878011217a40 pooldrain pooldrain 0 165 3 0 200 ffff878011217600 ioflush syncer 0 164 3 1 200 ffff8780112171c0 pgdaemon pgdaemon 0 160 3 0 200 ffff87801120e180 usb7 usbevt 0 31 3 0 200 ffff8780111749c0 usb6 usbevt 0 63 3 1 200 ffff878011174580 usb5 usbevt 0 126 3 0 200 ffff878011174140 usb4 usbevt 0 125 3 0 200 ffff8780110c1980 usb3 usbevt 0 124 3 1 200 ffff8780110c1540 usb2 usbevt 0 123 3 0 200 ffff8780110c1100 usb1 usbevt 0 122 3 0 200 ffff8780110ae940 usb0 usbevt 0 121 3 1 200 ffff8780110ae500 usbtask-dr usbtsk 0 120 3 1 200 ffff8780110ae0c0 usbtask-hc usbtsk 0 119 2 0 240 ffff87800f433ac0 npfgc0 0 118 3 1 200 ffff878010eec4c0 rt_free rt_free 0 117 3 1 200 ffff878010eec080 unpgc unpgc 0 116 3 1 200 ffff878010ee88c0 key_timehandler key_timehandler 0 115 3 1 200 ffff878010ee8480 icmp6_wqinput/1 icmp6_wqinput 0 114 3 0 200 ffff878010ee8040 icmp6_wqinput/0 icmp6_wqinput 0 113 3 1 200 ffff878010eddbc0 nd6_timer nd6_timer 0 112 3 1 200 ffff878010edd780 carp6_wqinput/1 carp6_wqinput 0 111 3 0 200 ffff878010edd340 carp6_wqinput/0 carp6_wqinput 0 110 3 1 200 ffff878010cecb80 carp_wqinput/1 carp_wqinput 0 109 3 0 200 ffff878010cec740 carp_wqinput/0 carp_wqinput 0 108 3 1 200 ffff878010cec300 icmp_wqinput/1 icmp_wqinput 0 107 3 0 200 ffff878010cdeb40 icmp_wqinput/0 icmp_wqinput 0 106 3 0 200 ffff878010cde700 rt_timer rt_timer 0 105 3 1 200 ffff87801081db00 vmem_rehash vmem_rehash 0 104 3 1 200 ffff878010cde2c0 entbutler entropy 0 30 3 1 200 ffff87801081d6c0 vioif0_txrx/1 vioif0_txrx 0 29 2 0 200 ffff87801081d280 vioif0_txrx/0 0 27 3 0 200 ffff87800f433680 scsibus0 sccomp 0 26 3 0 200 ffff87800f433240 pms0 pmsreset 0 25 3 1 200 ffff87800f423a80 xcall/1 xcall 0 24 1 1 200 ffff87800f423640 softser/1 0 23 1 1 200 ffff87800f423200 softclk/1 0 22 1 1 200 ffff87800f421a40 softbio/1 0 21 1 1 200 ffff87800f421600 softnet/1 0 20 1 1 201 ffff87800f4211c0 idle/1 0 19 3 0 200 ffff87800e29da00 lnxpwrwq lnxpwrwq 0 18 3 0 200 ffff87800e29d5c0 lnxlngwq lnxlngwq 0 17 3 1 200 ffff87800e29d180 lnxsyswq lnxsyswq 0 16 3 1 200 ffff87800e2979c0 lnxrcugc lnxrcugc 0 15 3 0 200 ffff87800e297580 sysmon smtaskq 0 14 3 0 200 ffff87800e297140 pmfsuspend pmfsuspend 0 13 3 0 200 ffff87800e293980 pmfevent pmfevent 0 12 3 0 200 ffff87800e293540 sopendfree sopendfr 0 11 3 1 200 ffff87800e293100 iflnkst iflnkst 0 10 3 1 200 ffff87800e28a940 nfssilly nfssilly 0 9 3 0 200 ffff87800e28a500 vdrain vdrain 0 8 3 1 200 ffff87800e28a0c0 modunload mod_unld 0 7 3 0 200 ffff87800dc87900 xcall/0 xcall 0 6 1 0 200 ffff87800dc874c0 softser/0 0 5 1 0 200 ffff87800dc87080 softclk/0 0 4 1 0 200 ffff87800dc858c0 softbio/0 0 3 1 0 200 ffff87800dc85480 softnet/0 0 2 1 0 201 ffff87800dc85040 idle/0 0 0 2 0 240 ffffffff8624f440 swapper [Locks tracked through LWPs] ****** LWP 1764.1764 (syz-executor.3) @ 0xffff8780126419c0, l_stat=2 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at uvmspace_fork) lock address : 0xffff878012968308 type : sleep/adaptive initialized : 0xffffffff84ad4f87 shared holds : 0 exclusive: 0 shares wanted: 1 exclusive: 0 relevant cpu : 0 last held: 65535 relevant lwp : 0xffff8780126419c0 last held: 000000000000000000 last locked : 0xffffffff84a753d6 unlocked*: 0xffffffff84a8a6d5 owner/count : 000000000000000000 flags : 000000000000000000 Turnstile: no active turnstile for this lock. ****** LWP 1507.1507 (syz-executor.2) @ 0xffff878012641140, l_stat=7 *** Locks held: * Lock 0 (initialized at fork1) lock address : 0xffff878012647b50 type : sleep/adaptive initialized : 0xffffffff84c34ac2 shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffff878012641140 last held: 0xffff878012641140 last locked* : 0xffffffff84c264bf unlocked : 000000000000000000 owner/count : 0xffff878012641140 flags : 0x0000000000000004 Turnstile: no active turnstile for this lock. * Lock 1 (initialized at procinit) lock address : 0xffffffff862cf600 type : sleep/adaptive initialized : 0xffffffff84cb7185 shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffff878012641140 last held: 0xffff878012641140 last locked* : 0xffffffff84c2683a unlocked : 0xffffffff84cc91ab owner field : 0xffff878012641140 wait/spin: 1/0 Turnstile: => 0 waiting readers: => 3 waiting writers: 0xffff8780123ed4c0 0xffff8780124e5980 0xffff87801266f5c0 *** Locks wanted: none ****** LWP 1609.1609 (syz-executor.4) @ 0xffff878012823ac0, l_stat=2 *** Locks held: * Lock 0 (initialized at pmap_ctor) lock address : 0xffff8780126c5540 type : sleep/adaptive initialized : 0xffffffff817e3990 shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffff878012823ac0 last held: 0xffff878012823ac0 last locked* : 0xffffffff817f0dbd unlocked : 0xffffffff817f13b5 owner field : 0xffff878012823ac0 wait/spin: 0/0 Turnstile: no active turnstile for this lock. *** Locks wanted: none ****** LWP 1465.1367 (syz-executor.3) @ 0xffff87801283eb00, l_stat=2 *** Locks held: * Lock 0 (initialized at uvmspace_fork) lock address : 0xffff8780125975b0 type : sleep/adaptive initialized : 0xffffffff84ad4f87 shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffff87801283eb00 last held: 0xffff87801283eb00 last locked* : 0xffffffff84a9e57f unlocked : 0xffffffff84a87c2c owner/count : 0xffff87801283eb00 flags : 0x0000000000000004 Turnstile: no active turnstile for this lock. *** Locks wanted: * Lock 0 (initialized at pool_init) lock address : 0xffffffff861e10f0 type : sleep/adaptive initialized : 0xffffffff84e6bfbd shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 1 relevant cpu : 0 last held: 0 relevant lwp : 0xffff87801283eb00 last held: 000000000000000000 last locked : 0xffffffff84e79277 unlocked*: 0xffffffff84e7c381 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 1638.1234 (syz-executor.1) @ 0xffff8780126f7200, l_stat=2 *** Locks held: * Lock 0 (initialized at filedesc_ctor) lock address : 0xffff878012648340 type : sleep/adaptive initialized : 0xffffffff84bc8fae shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 1 relevant lwp : 0xffff8780126f7200 last held: 0xffff8780126f7200 last locked* : 0xffffffff84bd31ed unlocked : 0xffffffff84bd4293 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. *** Locks wanted: none ****** LWP 1596.1596 (syz-executor.5) @ 0xffff87801266fa00, l_stat=2 *** Locks held: * Lock 0 (initialized at uvmspace_fork) lock address : 0xffff878012597860 type : sleep/adaptive initialized : 0xffffffff84ad4f87 shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffff87801266fa00 last held: 0xffff87801266fa00 last locked* : 0xffffffff84a9e57f unlocked : 0xffffffff84a76a14 owner/count : 0xffff87801266fa00 flags : 0x0000000000000004 Turnstile: no active turnstile for this lock. *** Locks wanted: none ****** LWP 590.590 (dhcpcd) @ 0xffff878011af6b80, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff862cf540 type : sleep/adaptive initialized : 0xffffffff84c8db03 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffff878011af6b80 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 589.589 (dhcpcd) @ 0xffff878011343240, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff862cf540 type : sleep/adaptive initialized : 0xffffffff84c8db03 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffff878011343240 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 346.346 (dhcpcd) @ 0xffff878011432280, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff862cf540 type : sleep/adaptive initialized : 0xffffffff84c8db03 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffff878011432280 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 345.345 (dhcpcd) @ 0xffff878011432b00, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff862cf540 type : sleep/adaptive initialized : 0xffffffff84c8db03 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 0 relevant lwp : 0xffff878011432b00 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 0.11 (iflnkst) @ 0xffff87800e293100, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff862cf540 type : sleep/adaptive initialized : 0xffffffff84c8db03 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 0 relevant lwp : 0xffff87800e293100 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 0.5 (softclk/0) @ 0xffff87800dc87080, l_stat=1 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff862cf540 type : sleep/adaptive initialized : 0xffffffff84c8db03 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffff87800dc87080 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. [Locks tracked through CPUs] PAGE FLAG PQ UOBJECT UANON 0xffff878000017180 0041 00000000 0x0 0x0 0xffff878000017200 0041 00000000 0x0 0x0 0xffff878000017280 0041 00000000 0x0 0x0 0xffff878000017300 0041 00000000 0x0 0x0 0xffff878000017380 0041 00000000 0x0 0x0 0xffff878000017400 0041 00000000 0x0 0x0 0xffff878000017480 0041 00000000 0x0 0x0 0xffff878000017500 0041 00000000 0x0 0x0 0xffff878000017580 0041 00000000 0x0 0x0 0xffff878000017600 0041 00000000 0x0 0x0 0xffff878000017680 0041 00000000 0x0 0x0 0xffff878000017700 0041 00000000 0x0 0x0 0xffff878000017780 0041 00000000 0x0 0x0 0xffff878000017800 0041 00000000 0x0 0x0 0xffff878000017880 0041 00000000 0x0 0x0 0xffff878000017900 0041 00000000 0x0 0x0 0xffff878000017980 0041 00000000 0x0 0x0 0xffff878000017a00 0041 00000000 0x0 0x0 0xffff878000017a80 0041 00000000 0x0 0x0 0xffff878000017b00 0041 00000000 0x0 0x0 0xffff878000017b80 0001 00000000 0x0 0x0 0xffff878000017c00 0001 00000000 0x0 0x0 0xffff878000017c80 0001 00000000 0x0 0x0 0xffff878000017d00 0001 00000000 0x0 0x0 0xffff878000017d80 0001 00000000 0x0 0x0 0xffff878000017e00 0001 00000000 0x0 0x0 0xffff878000017e80 0001 00000000 0x0 0x0 0xffff878000017f00 0001 00000000 0x0 0x0 0xffff878000017f80 0001 00000000 0x0 0x0 0xffff878000018000 0001 00000000 0x0 0x0 0xffff878000018080 0001 00000000 0x0 0x0 0xffff878000018100 0001 00000000 0x0 0x0 0xffff878000018180 0001 00000000 0x0 0x0 0xffff878000018200 0001 00000000 0x0 0x0 0xffff878000018280 0001 00000000 0x0 0x0 0xffff878000018300 0001 00000000 0x0 0x0 0xffff878000018380 0001 00000000 0x0 0x0 0xffff878000018400 0001 00000000 0x0 0x0 0xffff878000018480 0001 00000000 0x0 0x0 0xffff878000018500 0001 00000000 0x0 0x0 0xffff878000018580 0001 00000000 0x0 0x0 0xffff878000018600 0001 00000000 0x0 0x0 0xffff878000018680 0001 00000000 0x0 0x0 0xffff878000018700 0001 00000000 0x0 0x0 0xffff878000018780 0001 00000000 0x0 0x0 0xffff878000018800 0001 00000000 0x0 0x0 0xffff878000018880 0001 00000000 0x0 0x0 0xffff878000018900 0001 00000000 0x0 0x0 0xffff878000018980 0001 00000000 0x0 0x0 0xffff878000018a00 0001 00000000 0x0 0x0 0xffff878000018a80 0001 00000000 0x0 0x0 0xffff878000018b00 0001 00000000 0x0 0x0 0xffff878000018b80 0001 00000000 0x0 0x0 0xffff878000018c00 0001 00000000 0x0 0x0 0xffff878000018c80 0001 00000000 0x0 0x0 0xffff878000018d00 0001 00000000 0x0 0x0 0xffff878000018d80 0001 00000000 0x0 0x0 0xffff878000018e00 0001 00000000 0x0 0x0 0xffff878000018e80 0001 00000000 0x0 0x0 0xffff878000018f00 0001 00000000 0x0 0x0 0xffff878000018f80 0001 00000000 0x0 0x0 0xffff878000019000 0001 00000000 0x0 0x0 0xffff878000019080 0001 00000000 0x0 0x0 0xffff878000019100 0001 00000000 0x0 0x0 0xffff878000019180 0001 00000000 0x0 0x0 0xffff878000019200 0001 00000000 0x0 0x0 0xffff878000019280 0001 00000000 0x0 0x0 0xffff878000019300 0001 00000000 0x0 0x0 0xffff878000019380 0001 00000000 0x0 0x0 0xffff878000019400 0001 00000000 0x0 0x0 0xffff878000019480 0001 00000000 0x0 0x0 0xffff878000019500 0001 00000000 0x0 0x0 0xffff878000019580 0001 00000000 0x0 0x0 0xffff878000019600 0001 00000000 0x0 0x0 0xffff878000019680 0001 00000000 0x0 0x0 0xffff878000019700 0001 00000000 0x0 0x0 0xffff878000019780 0001 00000000 0x0 0x0 0xffff878000019800 0001 00000000 0x0 0x0 0xffff878000019880 0001 00000000 0x0 0x0 0xffff878000019900 0001 00000000 0x0 0x0 0xffff878000019980 0001 00000000 0x0 0x0 0xffff878000019a00 0001 00000000 0x0 0x0 0xffff878000019a80 0001 00000000 0x0 0x0 0xffff878000019b00 0001 00000000 0x0 0x0 0xffff878000019b80 0001 00000000 0x0 0x0 0xffff878000019c00 0001 00000000 0x0 0x0 0xffff878000019c80 0001 00000000 0x0 0x0 0xffff878000019d00 0001 00000000 0x0 0x0 0xffff878000019d80 0001 00000000 0x0 0x0 0xffff878000019e00 0001 00000000 0x0 0x0 0xffff878000019e80 0001 00000000 0x0 0x0 0xffff878000019f00 0001 00000000 0x0 0x0 0xffff878000019f80 0001 00000000 0x0 0x0 0xffff87800001a000 0001 00000000 0x0 0x0 0xffff87800001a080 0001 00000000 0x0 0x0 0xffff87800001a100 0001 00000000 0x0 0x0 0xffff87800001a180 0001 00000000 0x0 0x0 0xffff87800001a200 0001 00000000 0x0 0x0 0xffff87800001a280 0001 00000000 0x0 0x0 0xffff87800001a300 0001 00000000 0x0 0x0 0xffff87800001a380 0001 00000000 0x0 0x0 0xffff87800001a400 0001 00000000 0x0 0x0 0xffff87800001a480 0001 00000000 0x0 0x0 0xffff87800001a500 0001 00000000 0x0 0x0 0xffff87800001a580 0001 00000000 0x0 0x0 0xffff87800001a600 0001 00000000 0x0 0x0 0xffff87800001a680 0001 00000000 0x0 0x0 0xffff87800001a700 0001 00000000 0x0 0x0 0xffff87800001a780 0001 00000000 0x0 0x0 0xffff87800001a800 0001 00000000 0x0 0x0 0xffff87800001a880 0001 00000000 0x0 0x0 0xffff87800001a900 0001 00000000 0x0 0x0 0xffff87800001a980 0001 00000000 0x0 0x0 0xffff87800001aa00 0001 00000000 0x0 0x0 0xffff87800001aa80 0001 00000000 0x0 0x0 0xffff87800001ab00 0001 00000000 0x0 0x0 0xffff87800001ab80 0001 00000000 0x0 0x0 0xffff87800001ac00 0001 00000000 0x0 0x0 0xffff87800001ac80 0001 00000000 0x0 0x0 0xffff87800001ad00 0001 00000000 0x0 0x0 0xffff87800001ad80 0001 00000000 0x0 0x0 0xffff87800001ae00 0001 00000000 0x0 0x0 0xffff87800001ae80 0001 00000000 0x0 0x0 0xffff87800001af00 0001 00000000 0x0 0x0 0xffff87800001af80 0001 00000000 0x0 0x0 0xffff87800001b000 0001 00000000 0x0 0x0 0xffff87800001b080 0001 00000000 0x0 0x0 0xffff87800001b100 0001 00000000 0x0 0x0 0xffff87800001b180 0001 00000000 0x0 0x0 0xffff87800001b200 0001 00000000 0x0 0x0 0xffff87800001b280 0001 00000000 0x0 0x0 0xffff87800001b300 0001 00000000 0x0 0x0 0xffff87800001b380 0001 00000000 0x0 0x0 0xffff87800001b400 0001 00000000 0x0 0x0 0xffff87800001b480 0001 00000000 0x0 0x0 0xffff87800001b500 0001 00000000 0x0 0x0 0xffff87800001b580 0001 00000000 0x0 0x0 0xffff87800001b600 0001 00000000 0x0 0x0 0xffff87800001b680 0001 00000000 0x0 0x0 0xffff87800001b700 0001 00000000 0x0 0x0 0xffff87800001b780 0001 00000000 0x0 0x0 0xffff87800001b800 0001 00000000 0x0 0x0 0xffff87800001b880 0001 00000000 0x0 0x0 0xffff87800001b900 0001 00000000 0x0 0x0 0xffff87800001b980 0001 00000000 0x0 0x0 0xffff87800001ba00 0001 00000000 0x0 0x0 0xffff87800001ba80 0001 00000000 0x0 0x0 0xffff87800001bb00 0001 00000000 0x0 0x0 0xffff87800001bb80 0001 00000000 0x0 0x0 0xffff87800001bc00 0001 00000000 0x0 0x0 0xffff87800001bc80 0001 00000000 0x0 0x0 0xffff87800001bd00 0001 00000000 0x0 0x0 0xffff87800001bd80 0001 00000000 0x0 0x0 0xffff87800001be00 0001 00000000 0x0 0x0 0xffff87800001be80 0001 00000000 0x0 0x0 0xffff87800001bf00 0001 00000000 0x0 0x0 0xffff87800001bf80 0001 00000000 0x0 0x0 0xffff87800001c000 0001 00000000 0x0 0x0 0xffff87800001c080 0001 00000000 0x0 0x0 0xffff87800001c100 0001 00000000 0x0 0x0 0xffff87800001c180 0001 00000000 0x0 0x0 0xffff87800001c200 0001 00000000 0x0 0x0 0xffff87800001c280 0001 00000000 0x0 0x0 0xffff87800001c300 0001 00000000 0x0 0x0 0xffff87800001c380 0001 00000000 0x0 0x0 0xffff87800001c400 0001 00000000 0x0 0x0 0xffff87800001c480 0001 00000000 0x0 0x0 0xffff87800001c500 0001 00000000 0x0 0x0 0xffff87800001c580 0001 00000000 0x0 0x0 0xffff87800001c600 0001 00000000 0x0 0x0 0xffff87800001c680 0001 00000000 0x0 0x0 0xffff87800001c700 0001 00000000 0x0 0x0 0xffff87800001c780 0001 00000000 0x0 0x0 0xffff87800001c800 0001 00000000 0x0 0x0 0xffff87800001c880 0001 00000000 0x0 0x0 0xffff87800001c900 0001 00000000 0x0 0x0 0xffff87800001c980 0001 00000000 0x0 0x0 0xffff87800001ca00 0001 00000000 0x0 0x0 0xffff87800001ca80 0001 00000000 0x0 0x0 0xffff87800001cb00 0001 00000000 0x0 0x0 0xffff87800001cb80 0001 00000000 0x0 0x0 0xffff87800001cc00 0001 00000000 0x0 0x0 0xffff87800001cc80 0001 00000000 0x0 0x0 0xffff87800001cd00 0001 00000000 0x0 0x0 0xffff87800001cd80 0001 00000000 0x0 0x0 0xffff87800001ce00 0001 00000000 0x0 0x0 0xffff87800001ce80 0001 00000000 0x0 0x0 0xffff87800001cf00 0001 00000000 0x0 0x0 0xffff87800001cf80 0001 00000000 0x0 0x0 0xffff87800001d000 0001 00000000 0x0 0x0 0xffff87800001d080 0001 00000000 0x0 0x0 0xffff87800001d100 0001 00000000 0x0 0x0 0xffff87800001d180 0001 00000000 0x0 0x0 0xffff87800001d200 0001 00000000 0x0 0x0 0xffff87800001d280 0001 00000000 0x0 0x0 0xffff87800001d300 0001 00000000 0x0 0x0 0xffff87800001d380 0001 00000000 0x0 0x0 0xffff87800001d400 0001 00000000 0x0 0x0 0xffff87800001d480 0001 00000000 0x0 0x0 0xffff87800001d500 0001 00000000 0x0 0x0 0xffff87800001d580 0001 00000000 0x0 0x0 0xffff87800001d600 0001 00000000 0x0 0x0 0xffff87800001d680 0001 00000000 0x0 0x0 0xffff87800001d700 0001 00000000 0x0 0x0 0xffff87800001d780 0001 00000000 0x0 0x0 0xffff87800001d800 0001 00000000 0x0 0x0 0xffff87800001d880 0001 00000000 0x0 0x0 0xffff87800001d900 0001 00000000 0x0 0x0 0xffff87800001d980 0001 00000000 0x0 0x0 0xffff87800001da00 0001 00000000 0x0 0x0 0xffff87800001da80 0001 00000000 0x0 0x0 0xffff87800001db00 0001 00000000 0x0 0x0 0xffff87800001db80 0001 00000000 0x0 0x0 0xffff87800001dc00 0001 00000000 0x0 0x0 0xffff87800001dc80 0001 00000000 0x0 0x0 0xffff87800001dd00 0001 00000000 0x0 0x0 0xffff87800001dd80 0001 00000000 0x0 0x0 0xffff87800001de00 0001 00000000 0x0 0x0 0xffff87800001de80 0001 00000000 0x0 0x0 0xffff87800001df00 0001 00000000 0x0 0x0 0xffff87800001df80 0001 00000000 0x0 0x0 0xffff87800001e000 0001 00000000 0x0 0x0 0xffff87800001e080 0001 00000000 0x0 0x0 0xffff87800001e100 0001 00000000 0x0 0x0 0xffff87800001e180 0001 00000000 0x0 0x0 0xffff87800001e200 0001 00000000 0x0 0x0 0xffff87800001e280 0001 00000000 0x0 0x0 0xffff87800001e300 0001 00000000 0x0 0x0 0xffff87800001e380 0001 00000000 0x0 0x0 0xffff87800001e400 0001 00000000 0x0 0x0 0xffff87800001e480 0001 00000000 0x0 0x0 0xffff87800001e500 0001 00000000 0x0 0x0 0xffff87800001e580 0001 00000000 0x0 0x0 0xffff87800001e600 0001 00000000 0x0 0x0 0xffff87800001e680 0001 00000000 0x0 0x0 0xffff87800001e700 0001 00000000 0x0 0x0 0xffff87800001e780 0001 00000000 0x0 0x0 0xffff87800001e800 0001 00000000 0x0 0x0 0xffff87800001e880 0001 00000000 0x0 0x0 0xffff87800001e900 0001 00000000 0x0 0x0 0xffff87800001e980 0001 00000000 0x0 0x0 0xffff87800001ea00 0001 00000000 0x0 0x0 0xffff87800001ea80 0001 00000000 0x0 0x0 0xffff87800001eb00 0001 00000000 0x0 0x0 0xffff87800001eb80 0001 00000000 0x0 0x0 0xffff87800001ec00 0001 00000000 0x0 0x0 0xffff87800001ec80 0001 00000000 0x0 0x0 0xffff87800001ed00 0001 00000000 0x0 0x0 0xffff87800001ed80 0001 00000000 0x0 0x0 0xffff87800001ee00 0001 00000000 0x0 0x0 0xffff87800001ee80 0001 00000000 0x0 0x0 0xffff87800001ef00 0001 00000000 0x0 0x0 0xffff87800001ef80 0001 00000000 0x0 0x0 0xffff87800001f000 0001 00000000 0x0 0x0 0xffff87800001f080 0001 00000000 0x0 0x0 0xffff87800001f100 0001 00000000 0x0 0x0 0xffff87800001f180 0001 00000000 0x0 0x0 0xffff87800001f200 0001 00000000 0x0 0x0 0xffff87800001f280 0001 00000000 0x0 0x0 0xffff87800001f300 0001 00000000 0x0 0x0 0xffff87800001f380 0001 00000000 0x0 0x0 0xffff87800001f400 0001 00000000 0x0 0x0 0xffff87800001f480 0001 00000000 0x0 0x0 0xffff87800001f500 0001 00000000 0x0 0x0 0xffff87800001f580 0001 00000000 0x0 0x0 0xffff87800001f600 0001 00000000 0x0 0x0 0xffff87800001f680 0001 00000000 0x0 0x0 0xffff87800001f700 0001 00000000 0x0 0x0 0xffff87800001f780 0001 00000000 0x0 0x0 0xffff87800001f800 0001 00000000 0x0 0x0 0xffff87800001f880 0001 00000000 0x0 0x0 0xffff87800001f900 0001 00000000 0x0 0x0 0xffff87800001f980 0001 00000000 0x0 0x0 0xffff87800001fa00 0001 00000000 0x0 0x0 0xffff87800001fa80 0001 00000000 0x0 0x0 0xffff87800001fb00 0001 00000000 0x0 0x0 0xffff87800001fb80 0001 00000000 0x0 0x0 0xffff87800001fc00 0001 00000000 0x0 0x0 0xffff87800001fc80 0001 00000000 0x0 0x0 0xffff87800001fd00 0001 00000000 0x0 0x0 0xffff87800001fd80 0001 00000000 0x0 0x0 0xffff87800001fe00 0001 00000000 0x0 0x0 0xffff87800001fe80 0001 00000000 0x0 0x0 0xffff87800001ff00 0001 00000000 0x0 0x0 0xffff87800001ff80 0001 00000000 0x0 0x0 0xffff878000020000 0001 00000000 0x0 0x0 0xffff878000020080 0001 00000000 0x0 0x0 0xffff878000020100 0001 00000000 0x0 0x0 0xffff878000020180 0001 00000000 0x0 0x0 0xffff878000020200 0001 00000000 0x0 0x0 0xffff878000020280 0001 00000000 0x0 0x0 0xffff878000020300 0001 00000000 0x0 0x0 0xffff878000020380 0001 00000000 0x0 0x0 0xffff878000020400 0001 00000000 0x0 0x0 0xffff878000020480 0001 00000000 0x0 0x0 0xffff878000020500 0001 00000000 0x0 0x0 0xffff878000020580 0001 00000000 0x0 0x0 0xffff878000020600 0001 00000000 0x0 0x0 0xffff878000020680 0001 00000000 0x0 0x0 0xffff878000020700 0001 00000000 0x0 0x0 0xffff878000020780 0001 00000000 0x0 0x0 0xffff878000020800 0001 00000000 0x0 0x0 0xffff878000020880 0001 00000000 0x0 0x0 0xffff878000020900 0001 00000000 0x0 0x0 0xffff878000020980 0001 00000000 0x0 0x0 0xffff878000020a00 0001 00000000 0x0 0x0 0xffff878000020a80 0001 00000000 0x0 0x0 0xffff878000020b00 0001 00000000 0x0 0x0 0xffff878000020b80 0001 00000000 0x0 0x0 0xffff878000020c00 0001 00000000 0x0 0x0 0xffff878000020c80 0001 00000000 0x0 0x0 0xffff878000020d00 0001 00000000 0x0 0x0 0xffff878000020d80 0001 00000000 0x0 0x0 0xffff878000020e00 0001 00000000 0x0 0x0 0xffff878000020e80 0001 00000000 0x0 0x0 0xffff878000020f00 0001 00000000 0x0 0x0 0xffff878000020f80 0001 00000000 0x0 0x0 0xffff878000021000 0001 00000000 0x0 0x0 0xffff878000021080 0001 00000000 0x0 0x0 0xffff878000021100 0001 00000000 0x0 0x0 0xffff878000021180 0001 00000000 0x0 0x0 0xffff878000021200 0001 00000000 0x0 0x0 0xffff878000021280 0001 00000000 0x0 0x0 0xffff878000021300 0001 00000000 0x0 0x0 0xffff878000021380 0001 00000000 0x0 0x0 0xffff878000021400 0001 00000000 0x0 0x0 0xffff878000021480 0001 00000000 0x0 0x0 0xffff878000021500 0001 00000000 0x0 0x0 0xffff878000021580 0001 00000000 0x0 0x0 0xffff878000021600 0001 00000000 0x0 0x0 0xffff878000021680 0001 00000000 0x0 0x0 0xffff878000021700 0001 00000000 0x0 0x0 0xffff878000021780 0001 00000000 0x0 0x0 0xffff878000021800 0001 00000000 0x0 0x0 0xffff878000021880 0001 00000000 0x0 0x0 0xffff878000021900 0001 00000000 0x0 0x0 0xffff878000021980 0001 00000000 0x0 0x0 0xffff878000021a00 0001 00000000 0x0 0x0 0xffff878000021a80 0001 00000000 0x0 0x0 0xffff878000021b00 0001 00000000 0x0 0x0 0xffff878000021b80 0001 00000000 0x0 0x0 0xffff878000021c00 0001 00000000 0x0 0x0 0xffff878000021c80 0001 00000000 0x0 0x0 0xffff878000021d00 0001 00000000 0x0 0x0 0xffff878000021d80 0001 00000000 0x0 0x0 0xffff878000021e00 0001 00000000 0x0 0x0 0xffff878000021e80 0001 00000000 0x0 0x0 0xffff878000021f00 0001 00000000 0x0 0x0 0xffff878000021f80 0001 00000000 0x0 0x0 0xffff878000022000 0001 00000000 0x0 0x0 0xffff878000022080 0001 00000000 0x0 0x0 0xffff878000022100 0001 00000000 0x0 0x0 0xffff878000022180 0001 00000000 0x0 0x0 0xffff878000022200 0001 00000000 0x0 0x0 0xffff878000022280 0001 00000000 0x0 0x0 0xffff878000022300 0001 00000000 0x0 0x0 0xffff878000022380 0001 00000000 0x0 0x0 0xffff878000022400 0001 00000000 0x0 0x0 0xffff878000022480 0001 00000000 0x0 0x0 0xffff878000022500 0001 00000000 0x0 0x0 0xffff878000022580 0001 00000000 0x0 0x0 0xffff878000022600 0001 00000000 0x0 0x0 0xffff878000022680 0001 00000000 0x0 0x0 0xffff878000022700 0001 00000000 0x0 0x0 0xffff878000022780 0001 00000000 0x0 0x0 0xffff878000022800 0001 00000000 0x0 0x0 0xffff878000022880 0001 00000000 0x0 0x0 0xffff878000022900 0001 00000000 0x0 0x0 0xffff878000022980 0001 00000000 0x0 0x0 0xffff878000022a00 0001 00000000 0x0 0x0 0xffff878000022a80 0001 00000000 0x0 0x0 0xffff878000022b00 0001 00000000 0x0 0x0 0xffff878000022b80 0001 00000000 0x0 0x0 0xffff878000022c00 0001 00000000 0x0 0x0 0xffff878000022c80 0001 00000000 0x0 0x0 0xffff878000022d00 0001 00000000 0x0 0x0 0xffff878000022d80 0001 00000000 0x0 0x0 0xffff878000022e00 0001 00000000 0x0 0x0 0xffff878000022e80 0001 00000000 0x0 0x0 0xffff878000022f00 0001 00000000 0x0 0x0 0xffff878000022f80 0001 00000000 0x0 0x0 0xffff878000023000 0001 00000000 0x0 0x0 0xffff878000023080 0001 00000000 0x0 0x0 0xffff878000023100 0001 00000000 0x0 0x0 0xffff878000023180 0001 00000000 0x0 0x0 0xffff878000023200 0001 00000000 0x0 0x0 0xffff878000023280 0001 00000000 0x0 0x0 0xffff878000023300 0001 00000000 0x0 0x0 0xffff878000023380 0001 00000000 0x0 0x0 0xffff878000023400 0001 00000000 0x0 0x0 0xffff878000023480 0001 00000000 0x0 0x0 0xffff878000023500 0001 00000000 0x0 0x0 0xffff878000023580 0001 00000000 0x0 0x0 0xffff878000023600 0001 00000000 0x0 0x0 0xffff878000023680 0001 00000000 0x0 0x0 0xffff878000023700 0001 00000000 0x0 0x0 0xffff878000023780 0001 00000000 0x0 0x0 0xffff878000023800 0001 00000000 0x0 0x0 0xffff878000023880 0001 00000000 0x0 0x0 0xffff878000023900 0001 00000000 0x0 0x0 0xffff878000023980 0001 00000000 0x0 0x0 0xffff878000023a00 0001 00000000 0x0 0x0 0xffff878000023a80 0001 00000000 0x0 0x0 0xffff878000023b00 0001 00000000 0x0 0x0 0xffff878000023b80 0001 00000000 0x0 0x0 0xffff878000023c00 0001 00000000 0x0 0x0 0xffff878000023c80 0001 00000000 0x0 0x0 0xffff878000023d00 0001 00000000 0x0 0x0 0xffff878000023d80 0001 00000000 0x0 0x0 0xffff878000023e00 0001 00000000 0x0 0x0 0xffff878000023e80 0001 00000000 0x0 0x0 0xffff878000023f00 0001 00000000 0x0 0x0 0xffff878000023f80 0001 00000000 0x0 0x0 0xffff878000024000 0001 00000000 0x0 0x0 0xffff878000024080 0001 00000000 0x0 0x0 0xffff878000024100 0001 00000000 0x0 0x0 0xffff878000024180 0001 00000000 0x0 0x0 0xffff878000024200 0001 00000000 0x0 0x0 0xffff878000024280 0001 00000000 0x0 0x0 0xffff878000024300 0001 00000000 0x0 0x0 0xffff878000024380 0001 00000000 0x0 0x0 0xffff878000024400 0001 00000000 0x0 0x0 0xffff878000024480 0001 00000000 0x0 0x0 0xffff878000024500 0001 00000000 0x0 0x0 0xffff878000024580 0001 00000000 0x0 0x0 0xffff878000024600 0001 00000000 0x0 0x0 0xffff878000024680 0001 00000000 0x0 0x0 0xffff878000024700 0001 00000000 0x0 0x0 0xffff878000024780 0001 00000000 0x0 0x0 0xffff878000024800 0001 00000000 0x0 0x0 0xffff878000024880 0001 00000000 0x0 0x0 0xffff878000024900 0001 00000000 0x0 0x0 0xffff878000024980 0001 00000000 0x0 0x0 0xffff878000024a00 0001 00000000 0x0 0x0 0xffff878000024a80 0001 00000000 0x0 0x0 0xffff878000024b00 0001 00000000 0x0 0x0 0xffff878000024b80 0001 00000000 0x0 0x0 0xffff878000024c00 0001 00000000 0x0 0x0 0xffff878000024c80 0001 00000000 0x0 0x0 0xffff878000024d00 0001 00000000 0x0 0x0 0xffff878000024d80 0001 00000000 0x0 0x0 0xffff878000024e00 0001 00000000 0x0 0x0 0xffff878000024e80 0001 00000000 0x0 0x0 0xffff878000024f00 0001 00000000 0x0 0x0 0xffff878000024f80 0001 00000000 0x0 0x0 0xffff878000025000 0001 00000000 0x0 0x0 0xffff878000025080 0001 00000000 0x0 0x0 0xffff878000025100 0001 00000000 0x0 0x0 0xffff878000025180 0001 00000000 0x0 0x0 0xffff878000025200 0001 00000000 0x0 0x0 0xffff878000025280 0001 00000000 0x0 0x0 0xffff878000025300 0001 00000000 0x0 0x0 0xffff878000025380 0001 00000000 0x0 0x0 0xffff878000025400 0001 00000000 0x0 0x0 0xffff878000025480 0001 00000000 0x0 0x0 0xffff878000025500 0001 00000000 0x0 0x0 0xffff878000025580 0001 00000000 0x0 0x0 0xffff878000025600 0001 00000000 0x0 0x0 0xffff878000025680 0001 00000000 0x0 0x0 0xffff878000025700 0001 00000000 0x0 0x0 0xffff878000025780 0001 00000000 0x0 0x0 0xffff878000025800 0001 00000000 0x0 0x0 0xffff878000025880 0001 00000000 0x0 0x0 0xffff878000025900 0001 00000000 0x0 0x0 0xffff878000025980 0001 00000000 0x0 0x0 0xffff878000025a00 0001 00000000 0x0 0x0 0xffff878000025a80 0001 00000000 0x0 0x0 0xffff878000025b00 0001 00000000 0x0 0x0 0xffff878000025b80 0001 00000000 0x0 0x0 0xffff878000025c00 0001 00000000 0x0 0x0 0xffff878000025c80 0001 00000000 0x0 0x0 0xffff878000025d00 0001 00000000 0x0 0x0 0xffff878000025d80 0001 00000000 0x0 0x0 0xffff878000025e00 0001 00000000 0x0 0x0 0xffff878000025e80 0001 00000000 0x0 0x0 0xffff878000025f00 0001 00000000 0x0 0x0 0xffff878000025f80 0001 00000000 0x0 0x0 0xffff878000026000 0001 00000000 0x0 0x0 0xffff878000026080 0001 00000000 0x0 0x0 0xffff878000026100 0001 00000000 0x0 0x0 0xffff878000026180 0001 00000000 0x0 0x0 0xffff878000026200 0001 00000000 0x0 0x0 0xffff878000026280 0001 00000000 0x0 0x0 0xffff878000026300 0001 00000000 0x0 0x0 0xffff878000026380 0001 00000000 0x0 0x0 0xffff878000026400 0001 00000000 0x0 0x0 0xffff878000026480 0001 00000000 0x0 0x0 0xffff878000026500 0001 00000000 0x0 0x0 0xffff878000026580 0001 00000000 0x0 0x0 0xffff878000026600 0001 00000000 0x0 0x0 0xffff878000026680 0001 00000000 0x0 0x0 0xffff878000026700 0001 00000000 0x0 0x0 0xffff878000026780 0001 00000000 0x0 0x0 0xffff878000026800 0001 00000000 0x0 0x0 0xffff878000026880 0001 00000000 0x0 0x0 0xffff878000026900 0001 00000000 0x0 0x0 0xffff878000026980 0001 00000000 0x0 0x0 0xffff878000026a00 0001 00000000 0x0 0x0 0xffff878000026a80 0001 00000000 0x0 0x0 0xffff878000026b00 0001 00000000 0x0 0x0 0xffff878000026b80 0001 00000000 0x0 0x0 0xffff878000026c00 0001 00000000 0x0 0x0 0xffff878000026c80 0001 00000000 0x0 0x0 0xffff878000026d00 0001 00000000 0x0 0x0 0xffff878000026d80 0001 00000000 0x0 0x0 0xffff878000026e00 0001 00000000 0x0 0x0 0xffff878000026e80 0001 00000000 0x0 0x0 0xffff878000026f00 0001 00000000 0x0 0x0 0xffff878000026f80 0001 00000000 0x0 0x0 0xffff878000027000 0001 00000000 0x0 0x0 0xffff878000027080 0001 00000000 0x0 0x0 0xffff878000027100 0001 00000000 0x0 0x0 0xffff878000027180 0001 00000000 0x0 0x0 0xffff878000027200 0001 00000000 0x0 0x0 0xffff878000027280 0001 00000000 0x0 0x0 0xffff878000027300 0001 00000000 0x0 0x0 0xffff878000027380 0001 00000000 0x0 0x0 0xffff878000027400 0001 00000000 0x0 0x0 0xffff878000027480 0001 00000000 0x0 0x0 0xffff878000027500 0001 00000000 0x0 0x0 0xffff878000027580 0001 00000000 0x0 0x0 0xffff878000027600 0001 00000000 0x0 0x0 0xffff878000027680 0001 00000000 0x0 0x0 0xffff878000027700 0001 00000000 0x0 0x0 0xffff878000027780 0001 00000000 0x0 0x0 0xffff878000027800 0001 00000000 0x0 0x0 0xffff878000027880 0001 00000000 0x0 0x0 0xffff878000027900 0001 00000000 0x0 0x0 0xffff878000027980 0001 00000000 0x0 0x0 0xffff878000027a00 0001 00000000 0x0 0x0 0xffff878000027a80 0001 00000000 0x0 0x0 0xffff878000027b00 0001 00000000 0x0 0x0 0xffff878000027b80 0001 00000000 0x0 0x0 0xffff878000027c00 0001 00000000 0x0 0x0 0xffff878000027c80 0001 00000000 0x0 0x0 0xffff878000027d00 0001 00000000 0x0 0x0 0xffff878000027d80 0001 00000000 0x0 0x0 0xffff878000027e00 0001 00000000 0x0 0x0 0xffff878000027e80 0001 00000000 0x0 0x0 0xffff878000027f00 0001 00000000 0x0 0x0 0xffff878000027f80 0001 00000000 0x0 0x0 0xffff878000028000 0001 00000000 0x0 0x0 0xffff878000028080 0001 00000000 0x0 0x0 0xffff878000028100 0001 00000000 0x0 0x0 0xffff878000028180 0001 00000000 0x0 0x0 0xffff878000028200 0001 00000000 0x0 0x0 0xffff878000028280 0001 00000000 0x0 0x0 0xffff878000028300 0001 00000000 0x0 0x0 0xffff878000028380 0001 00000000 0x0 0x0 0xffff878000028400 0001 00000000 0x0 0x0 0xffff878000028480 0001 00000000 0x0 0x0 0xffff878000028500 0001 00000000 0x0 0x0 0xffff878000028580 0001 00000000 0x0 0x0 0xffff878000028600 0001 00000000 0x0 0x0 0xffff878000028680 0001 00000000 0x0 0x0 0xffff878000028700 0001 00000000 0x0 0x0 0xffff878000028780 0001 00000000 0x0 0x0 0xffff878000028800 0001 00000000 0x0 0x0 0xffff878000028880 0001 00000000 0x0 0x0 0xffff878000028900 0001 00000000 0x0 0x0 0xffff878000028980 0001 00000000 0x0 0x0 0xffff878000028a00 0001 00000000 0x0 0x0 0xffff878000028a80 0001 00000000 0x0 0x0 0xffff878000028b00 0001 00000000 0x0 0x0 0xffff878000028b80 0001 00000000 0x0 0x0 0xffff878000028c00 0001 00000000 0x0 0x0 0xffff878000028c80 0001 00000000 0x0 0x0 0xffff878000028d00 0001 00000000 0x0 0x0 0xffff878000028d80 0001 00000000 0x0 0x0 0xffff878000028e00 0001 00000000 0x0 0x0 0xffff878000028e80 0001 00000000 0x0 0x0 0xffff878000028f00 0001 00000000 0x0 0x0 0xffff878000028f80 0001 00000000 0x0 0x0 0xffff878000029000 0001 00000000 0x0 0x0 0xffff878000029080 0001 00000000 0x0 0x0 0xffff878000029100 0001 00000000 0x0 0x0 0xffff878000029180 0001 00000000 0x0 0x0 0xffff878000029200 0001 00000000 0x0 0x0 0xffff878000029280 0001 00000000 0x0 0x0 0xffff878000029300 0001 00000000 0x0 0x0 0xffff878000029380 0001 00000000 0x0 0x0 0xffff878000029400 0001 00000000 0x0 0x0 0xffff878000029480 0001 00000000 0x0 0x0 0xffff878000029500 0001 00000000 0x0 0x0 0xffff878000029580 0001 00000000 0x0 0x0 0xffff878000029600 0001 00000000 0x0 0x0 0xffff878000029680 0001 00000000 0x0 0x0 0xffff878000029700 0001 00000000 0x0 0x0 0xffff878000029780 0001 00000000 0x0 0x0 0xffff878000029800 0001 00000000 0x0 0x0 0xffff878000029880 0001 00000000 0x0 0x0 0xffff878000029900 0001 00000000 0x0 0x0 0xffff878000029980 0001 00000000 0x0 0x0 0xffff878000029a00 0001 00000000 0x0 0x0 0xffff878000029a80 0001 00000000 0x0 0x0 0xffff878000029b00 0001 00000000 0x0 0x0 0xffff878000029b80 0001 00000000 0x0 0x0 0xffff878000029c00 0001 00000000 0x0 0x0 0xffff878000029c80 0001 00000000 0x0 0x0 0xffff878000029d00 0001 00000000 0x0 0x0 0xffff878000029d80 0001 00000000 0x0 0x0 0xffff878000029e00 0001 00000000 0x0 0x0 0xffff878000029e80 0001 00000000 0x0 0x0 0xffff878000029f00 0001 00000000 0x0 0x0 0xffff878000029f80 0001 00000000 0x0 0x0 0xffff87800002a000 0001 00000000 0x0 0x0 0xffff87800002a080 0001 00000000 0x0 0x0 0xffff87800002a100 0001 00000000 0x0 0x0 0xffff87800002a180 0001 00000000 0x0 0x0 0xffff87800002a200 0001 00000000 0x0 0x0 0xffff87800002a280 0001 00000000 0x0 0x0 0xffff87800002a300 0001 00000000 0x0 0x0 0xffff87800002a380 0001 00000000 0x0 0x0 0xffff87800002a400 0001 00000000 0x0 0x0 0xffff87800002a480 0001 00000000 0x0 0x0 0xffff87800002a500 0001 00000000 0x0 0x0 0xffff87800002a580 0001 00000000 0x0 0x0 0xffff87800002a600 0001 00000000 0x0 0x0 0xffff87800002a680 0001 00000000 0x0 0x0 0xffff87800002a700 0001 00000000 0x0 0x0 0xffff87800002a780 0001 00000000 0x0 0x0 0xffff87800002a800 0001 00000000 0x0 0x0 0xffff87800002a880 0001 00000000 0x0 0x0 0xffff87800002a900 0001 00000000 0x0 0x0 0xffff87800002a980 0001 00000000 0x0 0x0 0xffff87800002aa00 0001 00000000 0x0 0x0 0xffff87800002aa80 0001 00000000 0x0 0x0 0xffff87800002ab00 0001 00000000 0x0 0x0 0xffff87800002ab80 0001 00000000 0x0 0x0 0xffff87800002ac00 0001 00000000 0x0 0x0 0xffff87800002ac80 0001 00000000 0x0 0x0 0xffff87800002ad00 0001 00000000 0x0 0x0 0xffff87800002ad80 0001 00000000 0x0 0x0 0xffff87800002ae00 0001 00000000 0x0 0x0 0xffff87800002ae80 0001 00000000 0x0 0x0 0xffff87800002af00 0001 00000000 0x0 0x0 0xffff87800002af80 0001 00000000 0x0 0x0 0xffff87800002b000 0001 00000000 0x0 0x0 0xffff87800002b080 0001 00000000 0x0 0x0 0xffff87800002b100 0001 00000000 0x0 0x0 0xffff87800002b180 0001 00000000 0x0 0x0 0xffff87800002b200 0001 00000000 0x0 0x0 0xffff87800002b280 0001 00000000 0x0 0x0 0xffff87800002b300 0001 00000000 0x0 0x0 0xffff87800002b380 0001 00000000 0x0 0x0 0xffff87800002b400 0001 00000000 0x0 0x0 0xffff87800002b480 0001 00000000 0x0 0x0 0xffff87800002b500 0001 00000000 0x0 0x0 0xffff87800002b580 0001 00000000 0x0 0x0 0xffff87800002b600 0001 00000000 0x0 0x0 0xffff87800002b680 0001 00000000 0x0 0x0 0xffff87800002b700 0001 00000000 0x0 0x0 0xffff87800002b780 0001 00000000 0x0 0x0 0xffff87800002b800 0001 00000000 0x0 0x0 0xffff87800002b880 0001 00000000 0x0 0x0 0xffff87800002b900 0001 00000000 0x0 0x0 0xffff87800002b980 0001 00000000 0x0 0x0 0xffff87800002ba00 0001 00000000 0x0 0x0 0xffff87800002ba80 0001 00000000 0x0 0x0 0xffff87800002bb00 0001 00000000 0x0 0x0 0xffff87800002bb80 0001 00000000 0x0 0x0 0xffff87800002bc00 0001 00000000 0x0 0x0 0xffff87800002bc80 0001 00000000 0x0 0x0 0xffff87800002bd00 0001 00000000 0x0 0x0 0xffff87800002bd80 0001 00000000 0x0 0x0 0xffff87800002be00 0001 00000000 0x0 0x0 0xffff87800002be80 0001 00000000 0x0 0x0 0xffff87800002bf00 0001 00000000 0x0 0x0 0xffff87800002bf80 0001 00000000 0x0 0x0 0xffff87800002c000 0001 00000000 0x0 0x0 0xffff87800002c080 0001 00000000 0x0 0x0 0xffff87800002c100 0001 00000000 0x0 0x0 0xffff87800002c180 0001 00000000 0x0 0x0 0xffff87800002c200 0001 00000000 0x0 0x0 0xffff87800002c280 0001 00000000 0x0 0x0 0xffff87800002c300 0001 00000000 0x0 0x0 0xffff87800002c380 0001 00000000 0x0 0x0 0xffff87800002c400 0001 00000000 0x0 0x0 0xffff87800002c480 0001 00000000 0x0 0x0 0xffff87800002c500 0001 00000000 0x0 0x0 0xffff87800002c580 0001 00000000 0x0 0x0 0xffff87800002c600 0001 00000000 0x0 0x0 0xffff87800002c680 0001 00000000 0x0 0x0 0xffff87800002c700 0001 00000000 0x0 0x0 0xffff87800002c780 0001 00000000 0x0 0x0 0xffff87800002c800 0001 00000000 0x0 0x0 0xffff87800002c880 0001 00000000 0x0 0x0 0xffff87800002c900 0001 00000000 0x0 0x0 0xffff87800002c980 0001 00000000 0x0 0x0 0xffff87800002ca00 0001 00000000 0x0 0x0 0xffff87800002ca80 0001 00000000 0x0 0x0 0xffff87800002cb00 0001 00000000 0x0 0x0 0xffff87800002cb80 0001 00000000 0x0 0x0 0xffff87800002cc00 0001 00000000 0x0 0x0 0xffff87800002cc80 0001 00000000 0x0 0x0 0xffff87800002cd00 0001 00000000 0x0 0x0 0xffff87800002cd80 0001 00000000 0x0 0x0 0xffff87800002ce00 0001 00000000 0x0 0x0 0xffff87800002ce80 0001 00000000 0x0 0x0 0xffff87800002cf00 0001 00000000 0x0 0x0 0xffff87800002cf80 0001 00000000 0x0 0x0 0xffff87800002d000 0001 00000000 0x0 0x0 0xffff87800002d080 0001 00000000 0x0 0x0 0xffff87800002d100 0001 00000000 0x0 0x0 0xffff87800002d180 0001 00000000 0x0 0x0 0xffff87800002d200 0001 00000000 0x0 0x0 0xffff87800002d280 0001 00000000 0x0 0x0 0xffff87800002d300 0001 00000000 0x0 0x0 0xffff87800002d380 0001 00000000 0x0 0x0 0xffff87800002d400 0001 00000000 0x0 0x0 0xffff87800002d480 0001 00000000 0x0 0x0 0xffff87800002d500 0001 00000000 0x0 0x0 0xffff87800002d580 0001 00000000 0x0 0x0 0xffff87800002d600 0001 00000000 0x0 0x0 0xffff87800002d680 0001 00000000 0x0 0x0 0xffff87800002d700 0001 00000000 0x0 0x0 0xffff87800002d780 0001 00000000 0x0 0x0 0xffff87800002d800 0001 00000000 0x0 0x0 0xffff87800002d880 0001 00000000 0x0 0x0 0xffff87800002d900 0001 00000000 0x0 0x0 0xffff87800002d980 0001 00000000 0x0 0x0 0xffff87800002da00 0001 00000000 0x0 0x0 0xffff87800002da80 0001 00000000 0x0 0x0 0xffff87800002db00 0001 00000000 0x0 0x0 0xffff87800002db80 0001 00000000 0x0 0x0 0xffff87800002dc00 0001 00000000 0x0 0x0 0xffff87800002dc80 0001 00000000 0x0 0x0 0xffff87800002dd00 0001 00000000 0x0 0x0 0xffff87800002dd80 0001 00000000 0x0 0x0 0xffff87800002de00 0001 00000000 0x0 0x0 0xffff87800002de80 0001 00000000 0x0 0x0 0xffff87800002df00 0001 00000000 0x0 0x0 0xffff87800002df80 0001 00000000 0x0 0x0 0xffff87800002e000 0001 00000000 0x0 0x0 0xffff87800002e080 0001 00000000 0x0 0x0 0xffff87800002e100 0001 00000000 0x0 0x0 0xffff87800002e180 0001 00000000 0x0 0x0 0xffff87800002e200 0001 00000000 0x0 0x0 0xffff87800002e280 0001 00000000 0x0 0x0 0xffff87800002e300 0001 00000000 0x0 0x0 0xffff87800002e380 0001 00000000 0x0 0x0 0xffff87800002e400 0001 00000000 0x0 0x0 0xffff87800002e480 0001 00000000 0x0 0x0 0xffff87800002e500 0001 00000000 0x0 0x0 0xffff87800002e580 0001 00000000 0x0 0x0 0xffff87800002e600 0001 00000000 0x0 0x0 0xffff87800002e680 0001 00000000 0x0 0x0 0xffff87800002e700 0001 00000000 0x0 0x0 0xffff87800002e780 0001 00000000 0x0 0x0 0xffff87800002e800 0001 00000000 0x0 0x0 0xffff87800002e880 0001 00000000 0x0 0x0 0xffff87800002e900 0001 00000000 0x0 0x0 0xffff87800002e980 0001 00000000 0x0 0x0 0xffff87800002ea00 0001 00000000 0x0 0x0 0xffff87800002ea80 0001 00000000 0x0 0x0 0xffff87800002eb00 0001 00000000 0x0 0x0 0xffff87800002eb80 0001 00000000 0x0 0x0 0xffff87800002ec00 0001 00000000 0x0 0x0 0xffff87800002ec80 0001 00000000 0x0 0x0 0xffff87800002ed00 0001 00000000 0x0 0x0 0xffff87800002ed80 0001 00000000 0x0 0x0 0xffff87800002ee00 0001 00000000 0x0 0x0 0xffff87800002ee80 0001 00000000 0x0 0x0 0xffff87800002ef00 0001 00000000 0x0 0x0 0xffff87800002ef80 0001 00000000 0x0 0x0 0xffff87800002f000 0001 00000000 0x0 0x0 0xffff87800002f080 0001 00000000 0x0 0x0 0xffff87800002f100 0001 00000000 0x0 0x0 0xffff87800002f180 0001 00000000 0x0 0x0 0xffff87800002f200 0001 00000000 0x0 0x0 0xffff87800002f280 0001 00000000 0x0 0x0 0xffff87800002f300 0001 00000000 0x0 0x0 0xffff87800002f380 0001 00000000 0x0 0x0 0xffff87800002f400 0001 00000000 0x0 0x0 0xffff87800002f480 0001 00000000 0x0 0x0 0xffff87800002f500 0001 00000000 0x0 0x0 0xffff87800002f580 0001 00000000 0x0 0x0 0xffff87800002f600 0001 00000000 0x0 0x0 0xffff87800002f680 0001 00000000 0x0 0x0 0xffff87800002f700 0001 00000000 0x0 0x0 0xffff87800002f780 0001 00000000 0x0 0x0 0xffff87800002f800 0001 00000000 0x0 0x0 0xffff87800002f880 0001 00000000 0x0 0x0 0xffff87800002f900 0001 00000000 0x0 0x0 0xffff87800002f980 0001 00000000 0x0 0x0 0xffff87800002fa00 0001 00000000 0x0 0x0 0xffff87800002fa80 0001 00000000 0x0 0x0 0xffff87800002fb00 0001 00000000 0x0 0x0 0xffff87800002fb80 0001 00000000 0x0 0x0 0xffff87800002fc00 0001 00000000 0x0 0x0 0xffff87800002fc80 0001 00000000 0x0 0x0 0xffff87800002fd00 0001 00000000 0x0 0x0 0xffff87800002fd80 0001 00000000 0x0 0x0 0xffff87800002fe00 0001 00000000 0x0 0x0 0xffff87800002fe80 0001 00000000 0x0 0x0 0xffff87800002ff00 0001 00000000 0x0 0x0 0xffff87800002ff80 0001 00000000 0x0 0x0 0xffff878000030000 0001 00000000 0x0 0x0 0xffff878000030080 0001 00000000 0x0 0x0 0xffff878000030100 0001 00000000 0x0 0x0 0xffff878000030180 0001 00000000 0x0 0x0 0xffff878000030200 0001 00000000 0x0 0x0 0xffff878000030280 0001 00000000 0x0 0x0 0xffff878000030300 0001 00000000 0x0 0x0 0xffff878000030380 0001 00000000 0x0 0x0 0xffff878000030400 0001 00000000 0x0 0x0 0xffff878000030480 0001 00000000 0x0 0x0 0xffff878000030500 0001 00000000 0x0 0x0 0xffff878000030580 0001 00000000 0x0 0x0 0xffff878000030600 0001 00000000 0x0 0x0 0xffff878000030680 0001 00000000 0x0 0x0 0xffff878000030700 0001 00000000 0x0 0x0 0xffff878000030780 0001 00000000 0x0 0x0 0xffff878000030800 0001 00000000 0x0 0x0 0xffff878000030880 0001 00000000 0x0 0x0 0xffff878000030900 0001 00000000 0x0 0x0 0xffff878000030980 0001 00000000 0x0 0x0 0xffff878000030a00 0001 00000000 0x0 0x0 0xffff878000030a80 0001 00000000 0x0 0x0 0xffff878000030b00 0001 00000000 0x0 0x0 0xffff878000030b80 0001 00000000 0x0 0x0 0xffff878000030c00 0001 00000000 0x0 0x0 0xffff878000030c80 0001 00000000 0x0 0x0 0xffff878000030d00 0001 00000000 0x0 0x0 0xffff878000030d80 0001 00000000 0x0 0x0 0xffff878000030e00 0001 00000000 0x0 0x0 0xffff878000030e80 0001 00000000 0x0 0x0 0xffff878000030f00 0001 00000000 0x0 0x0 0xffff878000030f80 0001 00000000 0x0 0x0 0xffff878000031000 0001 00000000 0x0 0x0 0xffff878000031080 0001 00000000 0x0 0x0 0xffff878000031100 0001 00000000 0x0 0x0 0xffff878000031180 0001 00000000 0x0 0x0 0xffff878000031200 0001 00000000 0x0 0x0 0xffff878000031280 0001 00000000 0x0 0x0 0xffff878000031300 0001 00000000 0x0 0x0 0xffff878000031380 0001 00000000 0x0 0x0 0xffff878000031400 0001 00000000 0x0 0x0 0xffff878000031480 0001 00000000 0x0 0x0 0xffff878000031500 0001 00000000 0x0 0x0 0xffff878000031580 0001 00000000 0x0 0x0 0xffff878000031600 0001 00000000 0x0 0x0 0xffff878000031680 0001 00000000 0x0 0x0 0xffff878000031700 0001 00000000 0x0 0x0 0xffff878000031780 0001 00000000 0x0 0x0 0xffff878000031800 0001 00000000 0x0 0x0 0xffff878000031880 0001 00000000 0x0 0x0 0xffff878000031900 0001 00000000 0x0 0x0 0xffff878000031980 0001 00000000 0x0 0x0 0xffff878000031a00 0001 00000000 0x0 0x0 0xffff878000031a80 0001 00000000 0x0 0x0 0xffff878000031b00 0001 00000000 0x0 0x0 0xffff878000031b80 0001 00000000 0x0 0x0 0xffff878000031c00 0001 00000000 0x0 0x0 0xffff878000031c80 0001 00000000 0x0 0x0 0xffff878000031d00 0001 00000000 0x0 0x0 0xffff878000031d80 0001 00000000 0x0 0x0 0xffff878000031e00 0001 00000000 0x0 0x0 0xffff878000031e80 0001 00000000 0x0 0x0 0xffff878000031f00 0001 00000000 0x0 0x0 0xffff878000031f80 0001 00000000 0x0 0x0 0xffff878000032000 0001 00000000 0x0 0x0 0xffff878000032080 0001 00000000 0x0 0x0 0xffff878000032100 0001 00000000 0x0 0x0 0xffff878000032180 0001 00000000 0x0 0x0 0xffff878000032200 0001 00000000 0x0 0x0 0xffff878000032280 0001 00000000 0x0 0x0 0xffff878000032300 0001 00000000 0x0 0x0 0xffff878000032380 0001 00000000 0x0 0x0 0xffff878000032400 0001 00000000 0x0 0x0 0xffff878000032480 0001 00000000 0x0 0x0 0xffff878000032500 0001 00000000 0x0 0x0 0xffff878000032580 0001 00000000 0x0 0x0 0xffff878000032600 0001 00000000 0x0 0x0 0xffff878000032680 0001 00000000 0x0 0x0 0xffff878000032700 0001 00000000 0x0 0x0 0xffff878000032780 0001 00000000 0x0 0x0 0xffff878000032800 0001 00000000 0x0 0x0 0xffff878000032880 0001 00000000 0x0 0x0 0xffff878000032900 0001 00000000 0x0 0x0 0xffff878000032980 0001 00000000 0x0 0x0 0xffff878000032a00 0001 00000000 0x0 0x0 0xffff878000032a80 0001 00000000 0x0 0x0 0xffff878000032b00 0001 00000000 0x0 0x0 0xffff878000032b80 0001 00000000 0x0 0x0 0xffff878000032c00 0001 00000000 0x0 0x0 0xffff878000032c80 0001 00000000 0x0 0x0 0xffff878000032d00 0001 00000000 0x0 0x0 0xffff878000032d80 0001 00000000 0x0 0x0 0xffff878000032e00 0001 00000000 0x0 0x0 0xffff878000032e80 0001 00000000 0x0 0x0 0xffff878000032f00 0001 00000000 0x0 0x0 0xffff878000032f80 0001 00000000 0x0 0x0 0xffff878000033000 0001 00000000 0x0 0x0 0xffff878000033080 0001 00000000 0x0 0x0 0xffff878000033100 0001 00000000 0x0 0x0 0xffff878000033180 0001 00000000 0x0 0x0 0xffff878000033200 0001 00000000 0x0 0x0 0xffff878000033280 0001 00000000 0x0 0x0 0xffff878000033300 0001 00000000 0x0 0x0 0xffff878000033380 0001 00000000 0x0 0x0 0xffff878000033400 0001 00000000 0x0 0x0 0xffff878000033480 0001 00000000 0x0 0x0 0xffff878000033500 0001 00000000 0x0 0x0 0xffff878000033580 0001 00000000 0x0 0x0 0xffff878000033600 0001 00000000 0x0 0x0 0xffff878000033680 0001 00000000 0x0 0x0 0xffff878000033700 0001 00000000 0x0 0x0 0xffff878000033780 0001 00000000 0x0 0x0 0xffff878000033800 0001 00000000 0x0 0x0 0xffff878000033880 0001 00000000 0x0 0x0 0xffff878000033900 0001 00000000 0x0 0x0 0xffff878000033980 0001 00000000 0x0 0x0 0xffff878000033a00 0001 00000000 0x0 0x0 0xffff878000033a80 0001 00000000 0x0 0x0 0xffff878000033b00 0001 00000000 0x0 0x0 0xffff878000033b80 0001 00000000 0x0 0x0 0xffff878000033c00 0001 00000000 0x0 0x0 0xffff878000033c80 0001 00000000 0x0 0x0 0xffff878000033d00 0001 00000000 0x0 0x0 0xffff878000033d80 0001 00000000 0x0 0x0 0xffff878000033e00 0001 00000000 0x0 0x0 0xffff878000033e80 0001 00000000 0x0 0x0 0xffff878000033f00 0001 00000000 0x0 0x0 0xffff878000033f80 0001 00000000 0x0 0x0 0xffff878000034000 0001 00000000 0x0 0x0 0xffff878000034080 0001 00000000 0x0 0x0 0xffff878000034100 0001 00000000 0x0 0x0 0xffff878000034180 0001 00000000 0x0 0x0 0xffff878000034200 0001 00000000 0x0 0x0 0xffff878000034280 0001 00000000 0x0 0x0 0xffff878000034300 0001 00000000 0x0 0x0 0xffff878000034380 0001 00000000 0x0 0x0 0xffff878000034400 0001 00000000 0x0 0x0 0xffff878000034480 0001 00000000 0x0 0x0 0xffff878000034500 0001 00000000 0x0 0x0 0xffff878000034580 0001 00000000 0x0 0x0 0xffff878000034600 0001 00000000 0x0 0x0 0xffff878000034680 0001 00000000 0x0 0x0 0xffff878000034700 0001 00000000 0x0 0x0 0xffff878000034780 0001 00000000 0x0 0x0 0xffff878000034800 0001 00000000 0x0 0x0 0xffff878000034880 0001 00000000 0x0 0x0 0xffff878000034900 0001 00000000 0x0 0x0 0xffff878000034980 0001 00000000 0x0 0x0 0xffff878000034a00 0001 00000000 0x0 0x0 0xffff878000034a80 0001 00000000 0x0 0x0 0xffff878000034b00 0001 00000000 0x0 0x0 0xffff878000034b80 0001 00000000 0x0 0x0 0xffff878000034c00 0001 00000000 0x0 0x0 0xffff878000034c80 0001 00000000 0x0 0x0 0xffff878000034d00 0001 00000000 0x0 0x0 0xffff878000034d80 0001 00000000 0x0 0x0 0xffff878000034e00 0001 00000000 0x0 0x0 0xffff878000034e80 0001 00000000 0x0 0x0 0xffff878000034f00 0001 00000000 0x0 0x0 0xffff878000034f80 0001 00000000 0x0 0x0 0xffff878000035000 0001 00000000 0x0 0x0 0xffff878000035080 0001 00000000 0x0 0x0 0xffff878000035100 0001 00000000 0x0 0x0 0xffff878000035180 0001 00000000 0x0 0x0 0xffff878000035200 0001 00000000 0x0 0x0 0xffff878000035280 0001 00000000 0x0 0x0 0xffff878000035300 0001 00000000 0x0 0x0 0xffff878000035380 0001 00000000 0x0 0x0 0xffff878000035400 0001 00000000 0x0 0x0 0xffff878000035480 0001 00000000 0x0 0x0 0xffff878000035500 0001 00000000 0x0 0x0 0xffff878000035580 0001 00000000 0x0 0x0 0xffff878000035600 0001 00000000 0x0 0x0 0xffff878000035680 0001 00000000 0x0 0x0 0xffff878000035700 0001 00000000 0x0 0x0 0xffff878000035780 0001 00000000 0x0 0x0 0xffff878000035800 0001 00000000 0x0 0x0 0xffff878000035880 0001 00000000 0x0 0x0 0xffff878000035900 0001 00000000 0x0 0x0 0xffff878000035980 0001 00000000 0x0 0x0 0xffff878000035a00 0001 00000000 0x0 0x0 0xffff878000035a80 0001 00000000 0x0 0x0 0xffff878000035b00 0001 00000000 0x0 0x0 0xffff878000035b80 0001 00000000 0x0 0x0 0xffff878000035c00 0001 00000000 0x0 0x0 0xffff878000035c80 0001 00000000 0x0 0x0 0xffff878000035d00 0001 00000000 0x0 0x0 0xffff878000035d80 0001 00000000 0x0 0x0 0xffff878000035e00 0001 00000000 0x0 0x0 0xffff878000035e80 0001 00000000 0x0 0x0 0xffff878000035f00 0001 00000000 0x0 0x0 0xffff878000035f80 0001 00000000 0x0 0x0 0xffff878000036000 0001 00000000 0x0 0x0 0xffff878000036080 0001 00000000 0x0 0x0 0xffff878000036100 0001 00000000 0x0 0x0 0xffff878000036180 0001 00000000 0x0 0x0 0xffff878000036200 0001 00000000 0x0 0x0 0xffff878000036280 0001 00000000 0x0 0x0 0xffff878000036300 0001 00000000 0x0 0x0 0xffff878000036380 0001 00000000 0x0 0x0 0xffff878000036400 0001 00000000 0x0 0x0 0xffff878000036480 0001 00000000 0x0 0x0 0xffff878000036500 0001 00000000 0x0 0x0 0xffff878000036580 0001 00000000 0x0 0x0 0xffff878000036600 0001 00000000 0x0 0x0 0xffff878000036680 0001 00000000 0x0 0x0 0xffff878000036700 0001 00000000 0x0 0x0 0xffff878000036780 0001 00000000 0x0 0x0 0xffff878000036800 0001 00000000 0x0 0x0 0xffff878000036880 0001 00000000 0x0 0x0 0xffff878000036900 0001 00000000 0x0 0x0 0xffff878000036980 0001 00000000 0x0 0x0 0xffff878000036a00 0001 00000000 0x0 0x0 0xffff878000036a80 0001 00000000 0x0 0x0 0xffff878000036b00 0001 00000000 0x0 0x0 0xffff878000036b80 0001 00000000 0x0 0x0 0xffff878000036c00 0001 00000000 0x0 0x0 0xffff878000036c80 0001 00000000 0x0 0x0 0xffff878000036d00 0001 00000000 0x0 0x0 0xffff878000036d80 0001 00000000 0x0 0x0 0xffff878000036e00 0001 00000000 0x0 0x0 0xffff878000036e80 0001 00000000 0x0 0x0 0xffff878000036f00 0001 00000000 0x0 0x0 0xffff878000036f80 0001 00000000 0x0 0x0 0xffff878000037000 0001 00000000 0x0 0x0 0xffff878000037080 0001 00000000 0x0 0x0 0xffff878000037100 0001 00000000 0x0 0x0 0xffff878000037180 0001 00000000 0x0 0x0 0xffff878000037200 0001 00000000 0x0 0x0 0xffff878000037280 0001 00000000 0x0 0x0 0xffff878000037300 0001 00000000 0x0 0x0 0xffff878000037380 0001 00000000 0x0 0x0 0xffff878000037400 0001 00000000 0x0 0x0 0xffff878000037480 0001 00000000 0x0 0x0 0xffff878000037500 0001 00000000 0x0 0x0 0xffff878000037580 0001 00000000 0x0 0x0 0xffff878000037600 0001 00000000 0x0 0x0 0xffff878000037680 0001 00000000 0x0 0x0 0xffff878000037700 0001 00000000 0x0 0x0 0xffff878000037780 0001 00000000 0x0 0x0 0xffff878000037800 0001 00000000 0x0 0x0 0xffff878000037880 0001 00000000 0x0 0x0 0xffff878000037900 0001 00000000 0x0 0x0 0xffff878000037980 0001 00000000 0x0 0x0 0xffff878000037a00 0001 00000000 0x0 0x0 0xffff878000037a80 0001 00000000 0x0 0x0 0xffff878000037b00 0001 00000000 0x0 0x0 0xffff878000037b80 0001 00000000 0x0 0x0 0xffff878000037c00 0001 00000000 0x0 0x0 0xffff878000037c80 0001 00000000 0x0 0x0 0xffff878000037d00 0001 00000000 0x0 0x0 0xffff878000037d80 0001 00000000 0x0 0x0 0xffff878000037e00 0001 00000000 0x0 0x0 0xffff878000037e80 0001 00000000 0x0 0x0 0xffff878000037f00 0001 00000000 0x0 0x0 0xffff878000037f80 0001 00000000 0x0 0x0 0xffff878000038000 0001 00000000 0x0 0x0 0xffff878000038080 0001 00000000 0x0 0x0 0xffff878000038100 0001 00000000 0x0 0x0 0xffff878000038180 0001 00000000 0x0 0x0 0xffff878000038200 0001 00000000 0x0 0x0 0xffff878000038280 0001 00000000 0x0 0x0 0xffff878000038300 0001 00000000 0x0 0x0 0xffff878000038380 0001 00000000 0x0 0x0 0xffff878000038400 0001 00000000 0x0 0x0 0xffff878000038480 0001 00000000 0x0 0x0 0xffff878000038500 0001 00000000 0x0 0x0 0xffff878000038580 0001 00000000 0x0 0x0 0xffff878000038600 0001 00000000 0x0 0x0 0xffff878000038680 0001 00000000 0x0 0x0 0xffff878000038700 0001 00000000 0x0 0x0 0xffff878000038780 0001 00000000 0x0 0x0 0xffff878000038800 0001 00000000 0x0 0x0 0xffff878000038880 0001 00000000 0x0 0x0 0xffff878000038900 0001 00000000 0x0 0x0 0xffff878000038980 0001 00000000 0x0 0x0 0xffff878000038a00 0001 00000000 0x0 0x0 0xffff878000038a80 0001 00000000 0x0 0x0 0xffff878000038b00 0001 00000000 0x0 0x0 0xffff878000038b80 0001 00000000 0x0 0x0 0xffff878000038c00 0001 00000000 0x0 0x0 0xffff878000038c80 0001 00000000 0x0 0x0 0xffff878000038d00 0001 00000000 0x0 0x0 0xffff878000038d80 0001 00000000 0x0 0x0 0xffff878000038e00 0001 00000000 0x0 0x0 0xffff878000038e80 0001 00000000 0x0 0x0 0xffff878000038f00 0001 00000000 0x0 0x0 0xffff878000038f80 0001 00000000 0x0 0x0 0xffff878000039000 0001 00000000 0x0 0x0 0xffff878000039080 0001 00000000 0x0 0x0 0xffff878000039100 0001 00000000 0x0 0x0 0xffff878000039180 0001 00000000 0x0 0x0 0xffff878000039200 0001 00000000 0x0 0x0 0xffff878000039280 0001 00000000 0x0 0x0 0xffff878000039300 0001 00000000 0x0 0x0 0xffff878000039380 0001 00000000 0x0 0x0 0xffff878000039400 0001 00000000 0x0 0x0 0xffff878000039480 0001 00000000 0x0 0x0 0xffff878000039500 0001 00000000 0x0 0x0 0xffff878000039580 0001 00000000 0x0 0x0 0xffff878000039600 0001 00000000 0x0 0x0 0xffff878000039680 0001 00000000 0x0 0x0 0xffff878000039700 0001 00000000 0x0 0x0 0xffff878000039780 0001 00000000 0x0 0x0 0xffff878000039800 0001 00000000 0x0 0x0 0xffff878000039880 0001 00000000 0x0 0x0 0xffff878000039900 0001 00000000 0x0 0x0 0xffff878000039980 0001 00000000 0x0 0x0 0xffff878000039a00 0001 00000000 0x0 0x0 0xffff878000039a80 0001 00000000 0x0 0x0 0xffff878000039b00 0001 00000000 0x0 0x0 0xffff878000039b80 0001 00000000 0x0 0x0 0xffff878000039c00 0001 00000000 0x0 0x0 0xffff878000039c80 0001 00000000 0x0 0x0 0xffff878000039d00 0001 00000000 0x0 0x0 0xffff878000039d80 0001 00000000 0x0 0x0 0xffff878000039e00 0001 00000000 0x0 0x0 0xffff878000039e80 0001 00000000 0x0 0x0 0xffff878000039f00 0001 00000000 0x0 0x0 0xffff878000039f80 0001 00000000 0x0 0x0 0xffff87800003a000 0001 00000000 0x0 0x0 0xffff87800003a080 0001 00000000 0x0 0x0 0xffff87800003a100 0001 00000000 0x0 0x0 0xffff87800003a180 0001 00000000 0x0 0x0 0xffff87800003a200 0001 00000000 0x0 0x0 0xffff87800003a280 0001 00000000 0x0 0x0 0xffff87800003a300 0001 00000000 0x0 0x0 0xffff87800003a380 0001 00000000 0x0 0x0 0xffff87800003a400 0001 00000000 0x0 0x0 0xffff87800003a480 0001 00000000 0x0 0x0 0xffff87800003a500 0001 00000000 0x0 0x0 0xffff87800003a580 0001 00000000 0x0 0x0 0xffff87800003a600 0001 00000000 0x0 0x0 0xffff87800003a680 0001 00000000 0x0 0x0 0xffff87800003a700 0001 00000000 0x0 0x0 0xffff87800003a780 0001 00000000 0x0 0x0 0xffff87800003a800 0001 00000000 0x0 0x0 0xffff87800003a880 0001 00000000 0x0 0x0 0xffff87800003a900 0001 00000000 0x0 0x0 0xffff87800003a980 0001 00000000 0x0 0x0 0xffff87800003aa00 0001 00000000 0x0 0x0 0xffff87800003aa80 0001 00000000 0x0 0x0 0xffff87800003ab00 0001 00000000 0x0 0x0 0xffff87800003ab80 0001 00000000 0x0 0x0 0xffff87800003ac00 0001 00000000 0x0 0x0 0xffff87800003ac80 0001 00000000 0x0 0x0 0xffff87800003ad00 0001 00000000 0x0 0x0 0xffff87800003ad80 0001 00000000 0x0 0x0 0xffff87800003ae00 0001 00000000 0x0 0x0 0xffff87800003ae80 0001 00000000 0x0 0x0 0xffff87800003af00 0001 00000000 0x0 0x0 0xffff87800003af80 0001 00000000 0x0 0x0 0xffff87800003b000 0001 00000000 0x0 0x0 0xffff87800003b080 0001 00000000 0x0 0x0 0xffff87800003b100 0001 00000000 0x0 0x0 0xffff87800003b180 0001 00000000 0x0 0x0 0xffff87800003b200 0001 00000000 0x0 0x0 0xffff87800003b280 0001 00000000 0x0 0x0 0xffff87800003b300 0001 00000000 0x0 0x0 0xffff87800003b380 0001 00000000 0x0 0x0 0xffff87800003b400 0001 00000000 0x0 0x0 0xffff87800003b480 0001 00000000 0x0 0x0 0xffff87800003b500 0001 00000000 0x0 0x0 0xffff87800003b580 0001 00000000 0x0 0x0 0xffff87800003b600 0001 00000000 0x0 0x0 0xffff87800003b680 0001 00000000 0x0 0x0 0xffff87800003b700 0001 00000000 0x0 0x0 0xffff87800003b780 0001 00000000 0x0 0x0