00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:30 executing program 3: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:32 executing program 5: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:32 executing program 4: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:32 executing program 2: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:32 executing program 1: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:32 executing program 5: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:32 executing program 4: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:32 executing program 3: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:32 executing program 1: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:32 executing program 0: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:32 executing program 2: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:33 executing program 5: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:33 executing program 0: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:33 executing program 4: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:33 executing program 3: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:33 executing program 5: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:33 executing program 1: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:33 executing program 2: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:33 executing program 4: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:33 executing program 0: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:33 executing program 3: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:33 executing program 1: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:33 executing program 5: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:33 executing program 2: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:01:33 executing program 4: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:33 executing program 3: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:33 executing program 1: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:33 executing program 0: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r0, &(0x7f00000000c0)={0x0, 0x0, &(0x7f0000000000)={&(0x7f0000000340)={{0x14}, [@NFT_MSG_NEWTABLE={0x20, 0x0, 0xa, 0x201, 0x0, 0x0, {0x7}, [@NFTA_TABLE_NAME={0x9, 0x1, 'syz0\x00'}]}, @NFT_MSG_NEWSET={0x58, 0x9, 0xa, 0x401, 0x0, 0x0, {0x7}, [@NFTA_SET_ID={0x8}, @NFTA_SET_NAME={0x9, 0x2, 'syz0\x00'}, @NFTA_SET_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_SET_KEY_LEN={0x8, 0x5, 0x1, 0x0, 0x23}, @NFTA_SET_EXPR={0x1c, 0x11, 0x0, 0x1, @payload={{0xc}, @val={0xc, 0x2, 0x0, 0x1, [@NFTA_PAYLOAD_BASE={0x8}]}}}]}], {0x14, 0x10}}, 0xa0}}, 0x0) 02:01:33 executing program 5: mkdir(&(0x7f00000002c0)='./file0\x00', 0x0) inotify_add_watch(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0x0) mount(0x0, 0x0, &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file1\x00', 0x0, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0), 0x0) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) mmap(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x0, 0x12, 0xffffffffffffffff, 0x0) r2 = getpid() sched_setscheduler(r2, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r3, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r4, &(0x7f00000bd000), 0x318, 0x0) ioctl$KDSETKEYCODE(0xffffffffffffffff, 0x4b4d, 0x0) getrlimit(0x7, 0x0) recvmmsg(r3, &(0x7f00000000c0), 0x10106, 0x2, 0x0) syz_mount_image$udf(&(0x7f0000000c00), &(0x7f0000000180)='./bus\x00', 0x40, &(0x7f0000000400)=ANY=[@ANYBLOB="000d4bb72c1e1cda0e94577ab5fc264780410e33dff8000800000000000000000000830c595717c5a71e11ac4571358d12afc0b3a184e666a72541505b739620886d0ece3638"], 0x1, 0xbee, &(0x7f0000000cc0)="$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") accept$inet6(0xffffffffffffffff, &(0x7f0000000300)={0xa, 0x0, 0x0, @mcast1}, 0x0) recvmmsg(0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(0xffffffffffffffff, 0x89f0, 0x0) r5 = creat(&(0x7f0000000000)='./bus\x00', 0x0) fcntl$setstatus(r5, 0x4, 0x6100) ftruncate(r5, 0x481fc) write$cgroup_type(r5, &(0x7f0000000200), 0x175d900f) [ 1255.723836][T17378] workqueue: Failed to create a rescuer kthread for wq "wg-crypt-wireguard%d": -EINTR [ 1256.683251][T17434] capability: warning: `syz-executor.2' uses 32-bit capabilities (legacy support in use) [ 1256.807153][ T26] audit: type=1400 audit(1687485693.592:909): avc: denied { checkpoint_restore } for pid=17430 comm="syz-executor.2" capability=40 scontext=root:sysadm_r:sysadm_t tcontext=root:sysadm_r:sysadm_t tclass=capability2 permissive=1 02:01:34 executing program 2: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) [ 1257.437462][ T26] audit: type=1400 audit(1687485693.802:910): avc: denied { sys_admin } for pid=17430 comm="syz-executor.2" capability=21 scontext=root:sysadm_r:sysadm_t tcontext=root:sysadm_r:sysadm_t tclass=cap_userns permissive=1 02:01:34 executing program 1: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:34 executing program 3: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:01:34 executing program 4: openat(0xffffffffffffff9c, &(0x7f000000c380)='./file0\x00', 0x40, 0x0) r0 = openat$fuse(0xffffffffffffff9c, &(0x7f00000000c0), 0x42, 0x0) mount$fuse(0x0, &(0x7f00000020c0)='./file0\x00', &(0x7f00000002c0), 0x0, &(0x7f00000003c0)=ANY=[]) read$FUSE(r0, &(0x7f00000021c0)={0x2020, 0x0, 0x0}, 0x2020) write$FUSE_INIT(r0, &(0x7f0000000040)={0x50, 0x0, r1, {0x7, 0x1f, 0x0, 0x10400}}, 0x50) syz_fuse_handle_req(r0, &(0x7f0000006380)="000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000008dceba394fc9d73b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000dc4e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ba045abcd5dfc67d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000070000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000000000000000000000000000000000000000000000000000000000000030000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e7ffffff00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000209bfd66eea2105600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000f8ffffffffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000f87c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001354c4b600", 0x2000, &(0x7f00000062c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, &(0x7f0000000100)={0x20}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mkdir(0x0, 0x0) mount(0x0, &(0x7f0000000680)='./file0\x00', &(0x7f0000000040)='nsfs\x00', 0x2080841, 0x0) r2 = open(&(0x7f0000000100)='./file0\x00', 0x0, 0x0) r3 = accept$unix(0xffffffffffffffff, &(0x7f0000000b80), &(0x7f0000000980)=0x6e) write$binfmt_script(r3, &(0x7f0000000c80)=ANY=[@ANYBLOB="2321202e2f66696c65302f66696c65302040206664696e666f2f33000a86b2366c46d13e9678f6bf440e5bfb83dc676886e17470f890d5f545161a19000000000000de74187986d62b4fc4001aac5225fbeae5765ebe8c6cf57112c9f5c38585c5491daf37f6d13a52176e3e40c69dbc63d3aa6f31ed0650777e7090bf0f792847064c45653acb7faa3619623dd57a3d8d7ad082511091d1304fa0fd3f1a15bfe734b505b4464fc9e587ace12cd249d3dc973a319e655ab2435387f0e893b825a4df54348638cec5aa01deeafeaf9b79ac7c6c5a4d4704bc37e4424fc73f092670239754a9b9758ddf6e"], 0xe2) openat$cgroup_procs(r2, &(0x7f0000000940)='tasks\x00', 0x2, 0x0) r4 = socket(0x40000000002, 0x3, 0x80000000002) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, 0x0) setsockopt$SO_BINDTODEVICE(r4, 0x1, 0x19, &(0x7f0000000140)='veth1_virt_wifi\x00', 0x10) r5 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r5, &(0x7f0000000000)={0xa, 0x8000002}, 0x1c) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) sendto$inet6(r5, 0x0, 0x0, 0x2204c001, &(0x7f0000000180)={0xa, 0x2, 0x0, @loopback}, 0x1c) request_key(0x0, 0x0, 0x0, 0x0) syz_open_procfs(0x0, 0x0) pread64(0xffffffffffffffff, 0x0, 0x0, 0x0) keyctl$invalidate(0x15, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(0xffffffffffffffff, 0x6, 0x23, 0x0, 0x0) setsockopt$SO_TIMESTAMPING(r5, 0x1, 0x41, &(0x7f0000000100)=0x10000000001b5f, 0x4) sendto$inet6(r5, &(0x7f0000000440)='9', 0x1, 0x0, 0x0, 0x0) recvmmsg(r5, &(0x7f0000001f40)=[{{&(0x7f00000001c0)=@nfc_llcp, 0x80, 0x0, 0x0, &(0x7f00000006c0)=""/84, 0x19}}, {{0x0, 0x0, &(0x7f0000001c80)=[{&(0x7f0000000140)=""/21, 0x15}, {0x0, 0x55}, {0x0}, {&(0x7f00000009c0)=""/235, 0xeb}, {0x0}], 0x5}}, {{0x0, 0x0, 0x0, 0x0, &(0x7f0000000340)=""/125, 0xfffffc58}}], 0x3, 0x2043, 0x0) 02:01:34 executing program 0: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r0, &(0x7f00000000c0)={0x0, 0x0, &(0x7f0000000000)={&(0x7f0000000340)={{0x14}, [@NFT_MSG_NEWTABLE={0x20, 0x0, 0xa, 0x201, 0x0, 0x0, {0x7}, [@NFTA_TABLE_NAME={0x9, 0x1, 'syz0\x00'}]}, @NFT_MSG_NEWSET={0x58, 0x9, 0xa, 0x401, 0x0, 0x0, {0x7}, [@NFTA_SET_ID={0x8}, @NFTA_SET_NAME={0x9, 0x2, 'syz0\x00'}, @NFTA_SET_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_SET_KEY_LEN={0x8, 0x5, 0x1, 0x0, 0x23}, @NFTA_SET_EXPR={0x1c, 0x11, 0x0, 0x1, @payload={{0xc}, @val={0xc, 0x2, 0x0, 0x1, [@NFTA_PAYLOAD_BASE={0x8}]}}}]}], {0x14, 0x10}}, 0xa0}}, 0x0) [ 1258.097425][T17454] loop5: detected capacity change from 0 to 2048 [ 1259.569818][T17454] UDF-fs: INFO Mounting volume 'LinuxUDF', timestamp 2022/11/22 14:59 (1000) 02:01:36 executing program 5: mkdir(&(0x7f00000002c0)='./file0\x00', 0x0) inotify_add_watch(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0x0) mount(0x0, 0x0, &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file1\x00', 0x0, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0), 0x0) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) mmap(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x0, 0x12, 0xffffffffffffffff, 0x0) r2 = getpid() sched_setscheduler(r2, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r3, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r4, &(0x7f00000bd000), 0x318, 0x0) ioctl$KDSETKEYCODE(0xffffffffffffffff, 0x4b4d, 0x0) getrlimit(0x7, 0x0) recvmmsg(r3, &(0x7f00000000c0), 0x10106, 0x2, 0x0) syz_mount_image$udf(&(0x7f0000000c00), &(0x7f0000000180)='./bus\x00', 0x40, &(0x7f0000000400)=ANY=[@ANYBLOB="000d4bb72c1e1cda0e94577ab5fc264780410e33dff8000800000000000000000000830c595717c5a71e11ac4571358d12afc0b3a184e666a72541505b739620886d0ece3638"], 0x1, 0xbee, &(0x7f0000000cc0)="$eJzs3UFsHNd5B/DvDUmRkotk61iykxrB2gUcVWlUkootGwxQq2aJplFs1hSTtu7BK3GlbkUtFyTlyEabuCcfWqBsCvTSFChQpDB6CNhDDu0pBQr0ShS5FQXUNHVdFAX2ECOXwixm9i25kmiLMEVRkn8/QPoPZ7+3O/Peema4b8cKAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACDiV371zPhEOuitAADuphfnXh6fdP4HgI+Vc37/BwAAAAAAAAAAAACAe12KIt6NFN94vJterX7uGTvbal+9Nj89s3Ozw6lqOVTVl3/GJiZPffHpZ04/288Pb3+nfTpemjt3pv7C0pXOcnNlpblQn2+3LiwtNHf9DHttf7MTVQfUr1y+unDx4kp98uSpGx6+Vntn9KFjtanTE68c7dfOT8/MzA3UDI985Fe/xQfd4XEoirgYKS6//W5qREQRe++L27x39tvhaidOVDsxPz1T7chiq9FeLR+c7XdEEVEbaPR8v4/uwljsST3izXLzyw0+Ue7eXKex3Di/2KzPNpZXW6utpfZs6m1tqsqLeDZFdCKiO3rr041EEd+MFG99p5vOR8RQvx8+X90YfPvtKfZhH3dhOCJqIxEbxX0wZvew0Sjie5Hi298ajwu5X6tueyriq2Uei7ha5vWItTI/G5HKN8gjEe/t8H7i/jIcRfxRpPjJVDct9Me+Oq6c/Vr9y+2LSwO1/ePKfX9+uJvu8WPTWBTRqI743fTRL3YAAAAAALj3FPGnkeKJHx5PnRicU2y1L9XPNc4v9j4V7n/2X8+tNjc3N2upl+M5Z3N2cq7lXM+5kbObs1bk9jlnc3ZyruVcz7mRs5uzNpTb55zN2cm5lnM950bObs7acG6fczZnJ+dazvWcGzm7wwc4XAAAAAAAAACwg8NRxNcjxVO/9Fp1X3FU96V/cur0yyd/ffCe8cdu8zxl7cmIWC92d0/uoXzr8GyaTemA7iGmd//fH+T7//7woDcGAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA4UEUU8WSkeO373RQpIuoRr0Yvr48e9NYBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB3wlgq4r1I8edfGat+3igifjsi3t98fzMirr+/eacd9B4DAAAAAAAAwAMoFfF6pHjy5W6qRcS12jujDx2rTZ2eeOXoUAxFKksG61+aO3em/sLSlc5yc2WluVCfb7cuLC00d/tyY2db7avX5qdn9mVnbuvwPm//4bEXljqvL7cu/e7qjo8fGTtzfmV1uXFh54fjcBQR9cE1J6oNnp+eqTZ6sdVoV01n0263GAAAAAAAAICPg5FUxPuR4q2/eXtr3nm4N+c/fHPtd78UUeTl6Tz/vDUNXX1v4BPV9wZ6y5+cOv0bk58ZXN5xyvpENaFen5+emZkbWD08cmvpWH7d8b3tMgPK8V+NFH/8l/X0RF534/gPbdV+9/e3x/vNm5/oA8Z8r+P/swPrytdMqYi/ixQ/95uPxRPVdh6JW74zkeu+Eil+a/3xXBeHyron8+MPV3+PXWwtNsfL2muR4h+v3lj7VK791HbtxK479j5Rjv+TkeJ/fmd9q2/y+OcR2B61wfH/zM3vjn0a/4cH1tXy6/78ndl1ImLl9TcuNxYXm8sWLFiwsLVw0Ecm7oby/P/1SPEPf/avW9c7+fz/M72ftq//fvrN7fP/1M1PtE/n/08NrJvKVyMjwxFjq1c6I49GjK28/sYXWlcal5qXmu1Tp597ZnL8uYnxUyOH+hd320t77qsHUTn+vxcpvvejv43P5XU3Xv/tfP1/5OYn2qfxf2Rg3ZEbrlf2vOvk8f+/SPHP0z+I43ndh13/93//P54vwreuz/dp/I8OrKt+x/tExC8MrDt+NOKB+6UMAAAA7rCUivhBnk8dv8186j9Fijf++xdzXTpW1j2fH69Vf4+9uNT+wpnFxaULjdXG+cVmfa7TuNAs2/44UnT/+vHctqjmV/vzzb053u252H+JFM/9Wr+2Nxfb/2zqke3aibL2ZKT4kxdvrO1/jnF0u3ayrP23SDHxys61x7ZrT5W1/xUpfvoX9X7tkbL2S7n20e3akxeWFhf2YVgAAAAAAGBPRlIRz0SKvz81nPqfb+/m+5+3fOi9T9//e3Rg3cJdul9lz50KAPe48vx/vDyr//Jfbc3l33j+3/7/AAye/282+O8GfNDyRzn/1+7MbgIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAB87KQoYilSfOPxbro+Wv7cM3a21b56bX56Zudmh1PVcqiqL/+MTUye+uLTz5x+tp8f3v5O+3S8NHfuTP2FpSud5ebKSnOhPt9uXVhaaO76Gfba/mYnqg6oX7l8deHixZX65MlTNzx8rfbO6EPHalOnJ1452q+dn56ZmRuoGR75yK9+i/QB6w9FEf8bKS6//W7699GIIvbeF7d57+y3w9VOnKh2Yn56ptqRxVajvVo+ONvviCKiNtDo+X4f3YWx2JN6xJvl5pcbfKLcvblOY7lxfrFZn20sr7ZWW0vt2dTb2lSVF/FsiuhERHf01qcbiSJGIsVb3+mmH41GDPX74fMvzr08Pnn77Sn2YR93YTgiaiMRG8V9MGb3sNEo4ulI8e1vjcd/jPb6teq2pyK+WuaxiKtlXo9YK/OzEal8gzwS8d4O7yfuL8NRxMOR4idT3fTj0Tz21XHl7NfqX25fXBqo7R9X7vvzw910jx+bxqKId6sjfjf9p/+eAQAAAAAeIEU8Fime+OHxVM0Pbs0pttqX6uca5xd7H+v3P/uv51abm5ubtdTL8ZyzOTs513Ku59zI2c1ZK3L7nLM5OznXcq7n3MjZzVkbyu1zzubs5FzLuZ5zI2c3Z204t885m7OTcy3nes6NnN3hgxwvAAAAAAAAgJ0VUcTnIsVr3++mzdHeBO+r0cvr7gd64P1/AAAA//9W5Vt6") accept$inet6(0xffffffffffffffff, &(0x7f0000000300)={0xa, 0x0, 0x0, @mcast1}, 0x0) recvmmsg(0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(0xffffffffffffffff, 0x89f0, 0x0) r5 = creat(&(0x7f0000000000)='./bus\x00', 0x0) fcntl$setstatus(r5, 0x4, 0x6100) ftruncate(r5, 0x481fc) write$cgroup_type(r5, &(0x7f0000000200), 0x175d900f) [ 1259.913024][ T1212] ieee802154 phy0 wpan0: encryption failed: -22 [ 1259.919508][ T1212] ieee802154 phy1 wpan1: encryption failed: -22 02:01:36 executing program 2: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:01:37 executing program 0: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r0, &(0x7f00000000c0)={0x0, 0x0, &(0x7f0000000000)={&(0x7f0000000340)={{0x14}, [@NFT_MSG_NEWTABLE={0x20, 0x0, 0xa, 0x201, 0x0, 0x0, {0x7}, [@NFTA_TABLE_NAME={0x9, 0x1, 'syz0\x00'}]}, @NFT_MSG_NEWSET={0x58, 0x9, 0xa, 0x401, 0x0, 0x0, {0x7}, [@NFTA_SET_ID={0x8}, @NFTA_SET_NAME={0x9, 0x2, 'syz0\x00'}, @NFTA_SET_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_SET_KEY_LEN={0x8, 0x5, 0x1, 0x0, 0x23}, @NFTA_SET_EXPR={0x1c, 0x11, 0x0, 0x1, @payload={{0xc}, @val={0xc, 0x2, 0x0, 0x1, [@NFTA_PAYLOAD_BASE={0x8}]}}}]}], {0x14, 0x10}}, 0xa0}}, 0x0) 02:01:37 executing program 3: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) [ 1261.659954][T17482] loop5: detected capacity change from 0 to 2048 [ 1263.119229][T17482] UDF-fs: INFO Mounting volume 'LinuxUDF', timestamp 2022/11/22 14:59 (1000) 02:01:40 executing program 4: mkdir(&(0x7f00000002c0)='./file0\x00', 0x0) inotify_add_watch(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0x0) mount(0x0, 0x0, &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file1\x00', 0x0, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0), 0x0) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) mmap(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x0, 0x12, 0xffffffffffffffff, 0x0) r2 = getpid() sched_setscheduler(r2, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r3, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r4, &(0x7f00000bd000), 0x318, 0x0) ioctl$KDSETKEYCODE(0xffffffffffffffff, 0x4b4d, 0x0) getrlimit(0x7, 0x0) recvmmsg(r3, &(0x7f00000000c0), 0x10106, 0x2, 0x0) syz_mount_image$udf(&(0x7f0000000c00), &(0x7f0000000180)='./bus\x00', 0x40, &(0x7f0000000400)=ANY=[@ANYBLOB="000d4bb72c1e1cda0e94577ab5fc264780410e33dff8000800000000000000000000830c595717c5a71e11ac4571358d12afc0b3a184e666a72541505b739620886d0ece3638"], 0x1, 0xbee, &(0x7f0000000cc0)="$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") accept$inet6(0xffffffffffffffff, &(0x7f0000000300)={0xa, 0x0, 0x0, @mcast1}, 0x0) recvmmsg(0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(0xffffffffffffffff, 0x89f0, 0x0) r5 = creat(&(0x7f0000000000)='./bus\x00', 0x0) fcntl$setstatus(r5, 0x4, 0x6100) ftruncate(r5, 0x481fc) write$cgroup_type(r5, &(0x7f0000000200), 0x175d900f) 02:01:40 executing program 0: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r0, &(0x7f00000000c0)={0x0, 0x0, &(0x7f0000000000)={&(0x7f0000000340)={{0x14}, [@NFT_MSG_NEWTABLE={0x20, 0x0, 0xa, 0x201, 0x0, 0x0, {0x7}, [@NFTA_TABLE_NAME={0x9, 0x1, 'syz0\x00'}]}, @NFT_MSG_NEWSET={0x58, 0x9, 0xa, 0x401, 0x0, 0x0, {0x7}, [@NFTA_SET_ID={0x8}, @NFTA_SET_NAME={0x9, 0x2, 'syz0\x00'}, @NFTA_SET_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_SET_KEY_LEN={0x8, 0x5, 0x1, 0x0, 0x23}, @NFTA_SET_EXPR={0x1c, 0x11, 0x0, 0x1, @payload={{0xc}, @val={0xc, 0x2, 0x0, 0x1, [@NFTA_PAYLOAD_BASE={0x8}]}}}]}], {0x14, 0x10}}, 0xa0}}, 0x0) 02:01:40 executing program 1: mkdir(&(0x7f00000002c0)='./file0\x00', 0x0) inotify_add_watch(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0x0) mount(0x0, 0x0, &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file1\x00', 0x0, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0), 0x0) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) mmap(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x0, 0x12, 0xffffffffffffffff, 0x0) r2 = getpid() sched_setscheduler(r2, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r3, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r4, &(0x7f00000bd000), 0x318, 0x0) ioctl$KDSETKEYCODE(0xffffffffffffffff, 0x4b4d, 0x0) getrlimit(0x7, 0x0) recvmmsg(r3, &(0x7f00000000c0), 0x10106, 0x2, 0x0) syz_mount_image$udf(&(0x7f0000000c00), &(0x7f0000000180)='./bus\x00', 0x40, &(0x7f0000000400)=ANY=[@ANYBLOB="000d4bb72c1e1cda0e94577ab5fc264780410e33dff8000800000000000000000000830c595717c5a71e11ac4571358d12afc0b3a184e666a72541505b739620886d0ece3638"], 0x1, 0xbee, &(0x7f0000000cc0)="$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") accept$inet6(0xffffffffffffffff, &(0x7f0000000300)={0xa, 0x0, 0x0, @mcast1}, 0x0) recvmmsg(0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(0xffffffffffffffff, 0x89f0, 0x0) r5 = creat(&(0x7f0000000000)='./bus\x00', 0x0) fcntl$setstatus(r5, 0x4, 0x6100) ftruncate(r5, 0x481fc) write$cgroup_type(r5, &(0x7f0000000200), 0x175d900f) 02:01:40 executing program 5: mkdir(&(0x7f00000002c0)='./file0\x00', 0x0) inotify_add_watch(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0x0) mount(0x0, 0x0, &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file1\x00', 0x0, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0), 0x0) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) mmap(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x0, 0x12, 0xffffffffffffffff, 0x0) r2 = getpid() sched_setscheduler(r2, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r3, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r4, &(0x7f00000bd000), 0x318, 0x0) ioctl$KDSETKEYCODE(0xffffffffffffffff, 0x4b4d, 0x0) getrlimit(0x7, 0x0) recvmmsg(r3, &(0x7f00000000c0), 0x10106, 0x2, 0x0) syz_mount_image$udf(&(0x7f0000000c00), &(0x7f0000000180)='./bus\x00', 0x40, &(0x7f0000000400)=ANY=[@ANYBLOB="000d4bb72c1e1cda0e94577ab5fc264780410e33dff8000800000000000000000000830c595717c5a71e11ac4571358d12afc0b3a184e666a72541505b739620886d0ece3638"], 0x1, 0xbee, &(0x7f0000000cc0)="$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") accept$inet6(0xffffffffffffffff, &(0x7f0000000300)={0xa, 0x0, 0x0, @mcast1}, 0x0) recvmmsg(0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(0xffffffffffffffff, 0x89f0, 0x0) r5 = creat(&(0x7f0000000000)='./bus\x00', 0x0) fcntl$setstatus(r5, 0x4, 0x6100) ftruncate(r5, 0x481fc) write$cgroup_type(r5, &(0x7f0000000200), 0x175d900f) [ 1264.172511][T17496] loop4: detected capacity change from 0 to 2048 [ 1264.316877][T17496] UDF-fs: INFO Mounting volume 'LinuxUDF', timestamp 2022/11/22 14:59 (1000) [ 1264.399046][T17501] loop1: detected capacity change from 0 to 2048 [ 1264.412269][T17501] UDF-fs: INFO Mounting volume 'LinuxUDF', timestamp 2022/11/22 14:59 (1000) 02:01:41 executing program 0: mkdir(&(0x7f00000002c0)='./file0\x00', 0x0) inotify_add_watch(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0x0) mount(0x0, 0x0, &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file1\x00', 0x0, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0), 0x0) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) mmap(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x0, 0x12, 0xffffffffffffffff, 0x0) r2 = getpid() sched_setscheduler(r2, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r3, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r4, &(0x7f00000bd000), 0x318, 0x0) ioctl$KDSETKEYCODE(0xffffffffffffffff, 0x4b4d, 0x0) getrlimit(0x7, 0x0) recvmmsg(r3, &(0x7f00000000c0), 0x10106, 0x2, 0x0) syz_mount_image$udf(&(0x7f0000000c00), &(0x7f0000000180)='./bus\x00', 0x40, &(0x7f0000000400)=ANY=[@ANYBLOB="000d4bb72c1e1cda0e94577ab5fc264780410e33dff8000800000000000000000000830c595717c5a71e11ac4571358d12afc0b3a184e666a72541505b739620886d0ece3638"], 0x1, 0xbee, &(0x7f0000000cc0)="$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") accept$inet6(0xffffffffffffffff, &(0x7f0000000300)={0xa, 0x0, 0x0, @mcast1}, 0x0) recvmmsg(0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(0xffffffffffffffff, 0x89f0, 0x0) r5 = creat(&(0x7f0000000000)='./bus\x00', 0x0) fcntl$setstatus(r5, 0x4, 0x6100) ftruncate(r5, 0x481fc) write$cgroup_type(r5, &(0x7f0000000200), 0x175d900f) 02:01:41 executing program 4: mkdir(&(0x7f00000002c0)='./file0\x00', 0x0) inotify_add_watch(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0x0) mount(0x0, 0x0, &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file1\x00', 0x0, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0), 0x0) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) mmap(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x0, 0x12, 0xffffffffffffffff, 0x0) r2 = getpid() sched_setscheduler(r2, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r3, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r4, &(0x7f00000bd000), 0x318, 0x0) ioctl$KDSETKEYCODE(0xffffffffffffffff, 0x4b4d, 0x0) getrlimit(0x7, 0x0) recvmmsg(r3, &(0x7f00000000c0), 0x10106, 0x2, 0x0) syz_mount_image$udf(&(0x7f0000000c00), &(0x7f0000000180)='./bus\x00', 0x40, &(0x7f0000000400)=ANY=[@ANYBLOB="000d4bb72c1e1cda0e94577ab5fc264780410e33dff8000800000000000000000000830c595717c5a71e11ac4571358d12afc0b3a184e666a72541505b739620886d0ece3638"], 0x1, 0xbee, &(0x7f0000000cc0)="$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") accept$inet6(0xffffffffffffffff, &(0x7f0000000300)={0xa, 0x0, 0x0, @mcast1}, 0x0) recvmmsg(0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(0xffffffffffffffff, 0x89f0, 0x0) r5 = creat(&(0x7f0000000000)='./bus\x00', 0x0) fcntl$setstatus(r5, 0x4, 0x6100) ftruncate(r5, 0x481fc) write$cgroup_type(r5, &(0x7f0000000200), 0x175d900f) [ 1264.767566][T17507] loop5: detected capacity change from 0 to 2048 [ 1264.796846][T17507] UDF-fs: INFO Mounting volume 'LinuxUDF', timestamp 2022/11/22 14:59 (1000) 02:01:42 executing program 5: mkdir(&(0x7f00000002c0)='./file0\x00', 0x0) inotify_add_watch(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0x0) mount(0x0, 0x0, &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file1\x00', 0x0, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0), 0x0) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) mmap(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x0, 0x12, 0xffffffffffffffff, 0x0) r2 = getpid() sched_setscheduler(r2, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r3, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r4, &(0x7f00000bd000), 0x318, 0x0) ioctl$KDSETKEYCODE(0xffffffffffffffff, 0x4b4d, 0x0) getrlimit(0x7, 0x0) recvmmsg(r3, &(0x7f00000000c0), 0x10106, 0x2, 0x0) syz_mount_image$udf(&(0x7f0000000c00), &(0x7f0000000180)='./bus\x00', 0x40, &(0x7f0000000400)=ANY=[@ANYBLOB="000d4bb72c1e1cda0e94577ab5fc264780410e33dff8000800000000000000000000830c595717c5a71e11ac4571358d12afc0b3a184e666a72541505b739620886d0ece3638"], 0x1, 0xbee, &(0x7f0000000cc0)="$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") accept$inet6(0xffffffffffffffff, &(0x7f0000000300)={0xa, 0x0, 0x0, @mcast1}, 0x0) recvmmsg(0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(0xffffffffffffffff, 0x89f0, 0x0) r5 = creat(&(0x7f0000000000)='./bus\x00', 0x0) fcntl$setstatus(r5, 0x4, 0x6100) ftruncate(r5, 0x481fc) write$cgroup_type(r5, &(0x7f0000000200), 0x175d900f) [ 1265.556652][T17511] loop0: detected capacity change from 0 to 2048 [ 1265.576271][T17511] UDF-fs: INFO Mounting volume 'LinuxUDF', timestamp 2022/11/22 14:59 (1000) [ 1265.894916][T17516] loop5: detected capacity change from 0 to 2048 [ 1266.074281][T17518] loop4: detected capacity change from 0 to 2048 [ 1268.400232][T17516] UDF-fs: INFO Mounting volume 'LinuxUDF', timestamp 2022/11/22 14:59 (1000) [ 1268.401050][T17518] UDF-fs: INFO Mounting volume 'LinuxUDF', timestamp 2022/11/22 14:59 (1000) 02:01:45 executing program 4: mkdir(&(0x7f00000002c0)='./file0\x00', 0x0) inotify_add_watch(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0x0) mount(0x0, 0x0, &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file1\x00', 0x0, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0), 0x0) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) mmap(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x0, 0x12, 0xffffffffffffffff, 0x0) r2 = getpid() sched_setscheduler(r2, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r3, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r4, &(0x7f00000bd000), 0x318, 0x0) ioctl$KDSETKEYCODE(0xffffffffffffffff, 0x4b4d, 0x0) getrlimit(0x7, 0x0) recvmmsg(r3, &(0x7f00000000c0), 0x10106, 0x2, 0x0) syz_mount_image$udf(&(0x7f0000000c00), &(0x7f0000000180)='./bus\x00', 0x40, &(0x7f0000000400)=ANY=[@ANYBLOB="000d4bb72c1e1cda0e94577ab5fc264780410e33dff8000800000000000000000000830c595717c5a71e11ac4571358d12afc0b3a184e666a72541505b739620886d0ece3638"], 0x1, 0xbee, &(0x7f0000000cc0)="$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") accept$inet6(0xffffffffffffffff, &(0x7f0000000300)={0xa, 0x0, 0x0, @mcast1}, 0x0) recvmmsg(0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(0xffffffffffffffff, 0x89f0, 0x0) r5 = creat(&(0x7f0000000000)='./bus\x00', 0x0) fcntl$setstatus(r5, 0x4, 0x6100) ftruncate(r5, 0x481fc) write$cgroup_type(r5, &(0x7f0000000200), 0x175d900f) 02:01:45 executing program 5: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) [ 1269.614630][T17525] loop4: detected capacity change from 0 to 2048 [ 1271.243833][T17525] UDF-fs: INFO Mounting volume 'LinuxUDF', timestamp 2022/11/22 14:59 (1000) 02:01:50 executing program 2: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:01:50 executing program 4: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:01:53 executing program 3: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:01:53 executing program 0: mkdir(&(0x7f00000002c0)='./file0\x00', 0x0) inotify_add_watch(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0x0) mount(0x0, 0x0, &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file1\x00', 0x0, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0), 0x0) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) mmap(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x0, 0x12, 0xffffffffffffffff, 0x0) r2 = getpid() sched_setscheduler(r2, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r3, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r4, &(0x7f00000bd000), 0x318, 0x0) ioctl$KDSETKEYCODE(0xffffffffffffffff, 0x4b4d, 0x0) getrlimit(0x7, 0x0) recvmmsg(r3, &(0x7f00000000c0), 0x10106, 0x2, 0x0) syz_mount_image$udf(&(0x7f0000000c00), &(0x7f0000000180)='./bus\x00', 0x40, &(0x7f0000000400)=ANY=[@ANYBLOB="000d4bb72c1e1cda0e94577ab5fc264780410e33dff8000800000000000000000000830c595717c5a71e11ac4571358d12afc0b3a184e666a72541505b739620886d0ece3638"], 0x1, 0xbee, &(0x7f0000000cc0)="$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") accept$inet6(0xffffffffffffffff, &(0x7f0000000300)={0xa, 0x0, 0x0, @mcast1}, 0x0) recvmmsg(0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(0xffffffffffffffff, 0x89f0, 0x0) r5 = creat(&(0x7f0000000000)='./bus\x00', 0x0) fcntl$setstatus(r5, 0x4, 0x6100) ftruncate(r5, 0x481fc) write$cgroup_type(r5, &(0x7f0000000200), 0x175d900f) 02:01:53 executing program 1: mkdir(&(0x7f00000002c0)='./file0\x00', 0x0) inotify_add_watch(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0x0) mount(0x0, 0x0, &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file1\x00', 0x0, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0), 0x0) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) mmap(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x0, 0x12, 0xffffffffffffffff, 0x0) r2 = getpid() sched_setscheduler(r2, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r3, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r4, &(0x7f00000bd000), 0x318, 0x0) ioctl$KDSETKEYCODE(0xffffffffffffffff, 0x4b4d, 0x0) getrlimit(0x7, 0x0) recvmmsg(r3, &(0x7f00000000c0), 0x10106, 0x2, 0x0) syz_mount_image$udf(&(0x7f0000000c00), &(0x7f0000000180)='./bus\x00', 0x40, &(0x7f0000000400)=ANY=[@ANYBLOB="000d4bb72c1e1cda0e94577ab5fc264780410e33dff8000800000000000000000000830c595717c5a71e11ac4571358d12afc0b3a184e666a72541505b739620886d0ece3638"], 0x1, 0xbee, &(0x7f0000000cc0)="$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") accept$inet6(0xffffffffffffffff, &(0x7f0000000300)={0xa, 0x0, 0x0, @mcast1}, 0x0) recvmmsg(0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(0xffffffffffffffff, 0x89f0, 0x0) r5 = creat(&(0x7f0000000000)='./bus\x00', 0x0) fcntl$setstatus(r5, 0x4, 0x6100) ftruncate(r5, 0x481fc) write$cgroup_type(r5, &(0x7f0000000200), 0x175d900f) [ 1277.152461][T17552] loop0: detected capacity change from 0 to 2048 [ 1277.195746][T17554] loop1: detected capacity change from 0 to 2048 02:01:59 executing program 0: mkdir(&(0x7f00000002c0)='./file0\x00', 0x0) inotify_add_watch(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0x0) mount(0x0, 0x0, &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file1\x00', 0x0, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0), 0x0) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) mmap(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x0, 0x12, 0xffffffffffffffff, 0x0) r2 = getpid() sched_setscheduler(r2, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r3, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r4, &(0x7f00000bd000), 0x318, 0x0) ioctl$KDSETKEYCODE(0xffffffffffffffff, 0x4b4d, 0x0) getrlimit(0x7, 0x0) recvmmsg(r3, &(0x7f00000000c0), 0x10106, 0x2, 0x0) syz_mount_image$udf(&(0x7f0000000c00), &(0x7f0000000180)='./bus\x00', 0x40, &(0x7f0000000400)=ANY=[@ANYBLOB="000d4bb72c1e1cda0e94577ab5fc264780410e33dff8000800000000000000000000830c595717c5a71e11ac4571358d12afc0b3a184e666a72541505b739620886d0ece3638"], 0x1, 0xbee, &(0x7f0000000cc0)="$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") accept$inet6(0xffffffffffffffff, &(0x7f0000000300)={0xa, 0x0, 0x0, @mcast1}, 0x0) recvmmsg(0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(0xffffffffffffffff, 0x89f0, 0x0) r5 = creat(&(0x7f0000000000)='./bus\x00', 0x0) fcntl$setstatus(r5, 0x4, 0x6100) ftruncate(r5, 0x481fc) write$cgroup_type(r5, &(0x7f0000000200), 0x175d900f) 02:01:59 executing program 1: mkdir(&(0x7f00000002c0)='./file0\x00', 0x0) inotify_add_watch(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0x0) mount(0x0, 0x0, &(0x7f0000000040)='cgroup2\x00', 0x0, 0x0) r0 = open(&(0x7f0000000100)='./file1\x00', 0x0, 0x0) r1 = openat$cgroup_procs(r0, &(0x7f0000000000)='cgroup.procs\x00', 0x2, 0x0) writev(r1, &(0x7f00000001c0), 0x0) prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x7) mmap(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x0, 0x12, 0xffffffffffffffff, 0x0) r2 = getpid() sched_setscheduler(r2, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r3, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r4, &(0x7f00000bd000), 0x318, 0x0) ioctl$KDSETKEYCODE(0xffffffffffffffff, 0x4b4d, 0x0) getrlimit(0x7, 0x0) recvmmsg(r3, &(0x7f00000000c0), 0x10106, 0x2, 0x0) syz_mount_image$udf(&(0x7f0000000c00), &(0x7f0000000180)='./bus\x00', 0x40, &(0x7f0000000400)=ANY=[@ANYBLOB="000d4bb72c1e1cda0e94577ab5fc264780410e33dff8000800000000000000000000830c595717c5a71e11ac4571358d12afc0b3a184e666a72541505b739620886d0ece3638"], 0x1, 0xbee, &(0x7f0000000cc0)="$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") accept$inet6(0xffffffffffffffff, &(0x7f0000000300)={0xa, 0x0, 0x0, @mcast1}, 0x0) recvmmsg(0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(0xffffffffffffffff, 0x89f0, 0x0) r5 = creat(&(0x7f0000000000)='./bus\x00', 0x0) fcntl$setstatus(r5, 0x4, 0x6100) ftruncate(r5, 0x481fc) write$cgroup_type(r5, &(0x7f0000000200), 0x175d900f) [ 1282.192455][T17554] UDF-fs: INFO Mounting volume 'LinuxUDF', timestamp 2022/11/22 14:59 (1000) [ 1282.192473][T17552] UDF-fs: INFO Mounting volume 'LinuxUDF', timestamp 2022/11/22 14:59 (1000) 02:01:59 executing program 3: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) [ 1282.376209][T14280] I/O error, dev loop0, sector 0 op 0x0:(READ) flags 0x80700 phys_seg 1 prio class 2 [ 1282.970841][T17567] loop1: detected capacity change from 0 to 2048 [ 1283.726351][T17568] loop0: detected capacity change from 0 to 2048 [ 1285.568692][T17568] UDF-fs: INFO Mounting volume 'LinuxUDF', timestamp 2022/11/22 14:59 (1000) [ 1285.808840][T17567] UDF-fs: INFO Mounting volume 'LinuxUDF', timestamp 2022/11/22 14:59 (1000) 02:02:02 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:02:03 executing program 1: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:02:05 executing program 5: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:02:06 executing program 5: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:02:10 executing program 2: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:02:10 executing program 4: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:02:12 executing program 2: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:02:13 executing program 4: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:02:17 executing program 3: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:02:17 executing program 1: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:02:17 executing program 4: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:02:21 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:02:21 executing program 5: syz_mount_image$hfsplus(&(0x7f0000000e00), &(0x7f0000000040)='./bus\x00', 0x8, &(0x7f0000000080)={[{@nls={'nls', 0x3d, 'macgreek'}}, {@creator={'creator', 0x3d, "f1aa3993"}}, {@umask={'umask', 0x3d, 0x2}}, {@nodecompose}, {@nobarrier}, {@uid={'uid', 0x3d, 0xee00}}, {@uid}]}, 0xb, 0x697, &(0x7f0000000e40)="$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") open(&(0x7f0000000000)='./bus\x00', 0x0, 0x0) open(&(0x7f0000000000)='./bus\x00', 0x60142, 0x0) [ 1304.972716][T17639] loop5: detected capacity change from 0 to 1024 02:02:22 executing program 5: syz_mount_image$hfsplus(&(0x7f0000000e00), &(0x7f0000000040)='./bus\x00', 0x8, &(0x7f0000000080)={[{@nls={'nls', 0x3d, 'macgreek'}}, {@creator={'creator', 0x3d, "f1aa3993"}}, {@umask={'umask', 0x3d, 0x2}}, {@nodecompose}, {@nobarrier}, {@uid={'uid', 0x3d, 0xee00}}, {@uid}]}, 0xb, 0x697, &(0x7f0000000e40)="$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") open(&(0x7f0000000000)='./bus\x00', 0x0, 0x0) open(&(0x7f0000000000)='./bus\x00', 0x60142, 0x0) 02:02:22 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) [ 1306.633895][T17649] loop5: detected capacity change from 0 to 1024 02:02:23 executing program 0: syz_mount_image$hfsplus(&(0x7f0000000e00), &(0x7f0000000040)='./bus\x00', 0x8, &(0x7f0000000080)={[{@nls={'nls', 0x3d, 'macgreek'}}, {@creator={'creator', 0x3d, "f1aa3993"}}, {@umask={'umask', 0x3d, 0x2}}, {@nodecompose}, {@nobarrier}, {@uid={'uid', 0x3d, 0xee00}}, {@uid}]}, 0xb, 0x697, &(0x7f0000000e40)="$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") open(&(0x7f0000000000)='./bus\x00', 0x0, 0x0) open(&(0x7f0000000000)='./bus\x00', 0x60142, 0x0) 02:02:23 executing program 5: syz_mount_image$hfsplus(&(0x7f0000000e00), &(0x7f0000000040)='./bus\x00', 0x8, &(0x7f0000000080)={[{@nls={'nls', 0x3d, 'macgreek'}}, {@creator={'creator', 0x3d, "f1aa3993"}}, {@umask={'umask', 0x3d, 0x2}}, {@nodecompose}, {@nobarrier}, {@uid={'uid', 0x3d, 0xee00}}, {@uid}]}, 0xb, 0x697, &(0x7f0000000e40)="$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") open(&(0x7f0000000000)='./bus\x00', 0x0, 0x0) open(&(0x7f0000000000)='./bus\x00', 0x60142, 0x0) [ 1307.022136][T17657] loop0: detected capacity change from 0 to 1024 02:02:24 executing program 0: syz_mount_image$hfsplus(&(0x7f0000000e00), &(0x7f0000000040)='./bus\x00', 0x8, &(0x7f0000000080)={[{@nls={'nls', 0x3d, 'macgreek'}}, {@creator={'creator', 0x3d, "f1aa3993"}}, {@umask={'umask', 0x3d, 0x2}}, {@nodecompose}, {@nobarrier}, {@uid={'uid', 0x3d, 0xee00}}, {@uid}]}, 0xb, 0x697, &(0x7f0000000e40)="$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") open(&(0x7f0000000000)='./bus\x00', 0x0, 0x0) open(&(0x7f0000000000)='./bus\x00', 0x60142, 0x0) [ 1307.475755][T17659] loop5: detected capacity change from 0 to 1024 [ 1308.099028][T17661] loop0: detected capacity change from 0 to 1024 02:02:25 executing program 2: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:02:25 executing program 5: syz_mount_image$hfsplus(&(0x7f0000000e00), &(0x7f0000000040)='./bus\x00', 0x8, &(0x7f0000000080)={[{@nls={'nls', 0x3d, 'macgreek'}}, {@creator={'creator', 0x3d, "f1aa3993"}}, {@umask={'umask', 0x3d, 0x2}}, {@nodecompose}, {@nobarrier}, {@uid={'uid', 0x3d, 0xee00}}, {@uid}]}, 0xb, 0x697, &(0x7f0000000e40)="$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") open(&(0x7f0000000000)='./bus\x00', 0x0, 0x0) open(&(0x7f0000000000)='./bus\x00', 0x60142, 0x0) [ 1308.283001][T17665] loop5: detected capacity change from 0 to 1024 02:02:28 executing program 5: syz_mount_image$hfsplus(&(0x7f0000000e00), &(0x7f0000000040)='./bus\x00', 0x8, &(0x7f0000000080)={[{@nls={'nls', 0x3d, 'macgreek'}}, {@creator={'creator', 0x3d, "f1aa3993"}}, {@umask={'umask', 0x3d, 0x2}}, {@nodecompose}, {@nobarrier}, {@uid={'uid', 0x3d, 0xee00}}, {@uid}]}, 0xb, 0x697, &(0x7f0000000e40)="$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") open(&(0x7f0000000000)='./bus\x00', 0x0, 0x0) open(&(0x7f0000000000)='./bus\x00', 0x60142, 0x0) 02:02:28 executing program 0: syz_mount_image$hfsplus(&(0x7f0000000e00), &(0x7f0000000040)='./bus\x00', 0x8, &(0x7f0000000080)={[{@nls={'nls', 0x3d, 'macgreek'}}, {@creator={'creator', 0x3d, "f1aa3993"}}, {@umask={'umask', 0x3d, 0x2}}, {@nodecompose}, {@nobarrier}, {@uid={'uid', 0x3d, 0xee00}}, {@uid}]}, 0xb, 0x697, &(0x7f0000000e40)="$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") open(&(0x7f0000000000)='./bus\x00', 0x0, 0x0) open(&(0x7f0000000000)='./bus\x00', 0x60142, 0x0) 02:02:28 executing program 3: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:02:28 executing program 1: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) 02:02:28 executing program 4: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) [ 1311.643952][T17678] loop5: detected capacity change from 0 to 1024 [ 1311.661071][T17673] loop0: detected capacity change from 0 to 1024 [ 1315.079475][T17673] hfsplus: xattr searching failed 02:02:32 executing program 0: r0 = syz_open_dev$tty1(0xc, 0x4, 0x1) ioctl$GIO_UNIMAP(r0, 0x4b66, &(0x7f0000000040)={0x801, 0x0}) 02:02:32 executing program 5: syz_mount_image$hfsplus(&(0x7f0000000e00), &(0x7f0000000040)='./bus\x00', 0x8, &(0x7f0000000080)={[{@nls={'nls', 0x3d, 'macgreek'}}, {@creator={'creator', 0x3d, "f1aa3993"}}, {@umask={'umask', 0x3d, 0x2}}, {@nodecompose}, {@nobarrier}, {@uid={'uid', 0x3d, 0xee00}}, {@uid}]}, 0xb, 0x697, &(0x7f0000000e40)="$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") open(&(0x7f0000000000)='./bus\x00', 0x0, 0x0) open(&(0x7f0000000000)='./bus\x00', 0x60142, 0x0) 02:02:32 executing program 4: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x1, &(0x7f0000000080)=0x8) r0 = getpid() sched_setaffinity(0x0, 0x8, &(0x7f0000000240)=0xce) sched_setscheduler(r0, 0x2, &(0x7f0000000200)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(r1, &(0x7f0000000180)=@file={0x0, './file0\x00'}, 0x6e) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) r3 = getpid() r4 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000ac0), 0x80, 0x0) syz_clone3(&(0x7f0000000b00)={0x2300400, 0x0, &(0x7f00000006c0), &(0x7f0000000700), {0x25}, &(0x7f0000000740)=""/164, 0xa4, &(0x7f0000000a40)=""/122, &(0x7f0000000800)=[r3], 0x1, {r4}}, 0x58) sched_setscheduler(r3, 0x1, &(0x7f0000000240)=0x1) sched_setaffinity(r3, 0x8, &(0x7f0000000440)) capget(&(0x7f0000000100)={0x19980330, r3}, &(0x7f0000000340)={0x6, 0x292a, 0x1, 0x80000001, 0x8000, 0x6a950fe1}) r5 = openat$hwrng(0xffffffffffffff9c, 0x0, 0x400002, 0x0) r6 = bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f0000000200)={0x11, 0x5, &(0x7f0000000000)=ANY=[@ANYBLOB="18000000000000000000000000000000850000000e000000850000002a00000095"], &(0x7f0000000400)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x2, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000000)={&(0x7f0000000180)='sched_switch\x00', r6}, 0x10) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) sendmmsg$unix(r7, &(0x7f00000bd000), 0x318, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', 0x0, 0x2, 0x0) ioctl$KVM_CREATE_VM(0xffffffffffffffff, 0xae01, 0x0) write$P9_RVERSION(r5, &(0x7f00000000c0)=ANY=[@ANYBLOB="150000006508ff0800001008003950323030302e75"], 0x15) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000000840)) sched_setaffinity(0x0, 0x8, &(0x7f00000003c0)=0xae) mount(0x0, 0x0, &(0x7f0000000380)='logfs\x00', 0xc4420, &(0x7f0000000300)='\x00') ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r5, 0x81f8943c, &(0x7f0000000480)) syz_clone3(&(0x7f0000000280)={0xd6064000, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) [ 1315.332307][T17698] loop5: detected capacity change from 0 to 1024 02:02:33 executing program 1: r0 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route(r0, &(0x7f0000000280)={0x0, 0x0, &(0x7f0000000240)={&(0x7f00000002c0)=@ipv4_newnexthop={0x30, 0x18, 0x1, 0x0, 0x0, {}, [@NHA_ENCAP={0x18, 0x8, 0x0, 0x1, @SEG6_IPTUNNEL_SRH={0x14, 0x1, {{0x2}}}}]}, 0x30}}, 0x0) 02:02:33 executing program 0: r0 = syz_open_dev$tty1(0xc, 0x4, 0x1) ioctl$GIO_UNIMAP(r0, 0x4b66, &(0x7f0000000040)={0x801, 0x0}) [ 1316.352711][T17706] netlink: 'syz-executor.1': attribute type 1 has an invalid length. 02:02:34 executing program 5: syz_mount_image$hfsplus(&(0x7f0000000e00), &(0x7f0000000040)='./bus\x00', 0x8, &(0x7f0000000080)={[{@nls={'nls', 0x3d, 'macgreek'}}, {@creator={'creator', 0x3d, "f1aa3993"}}, {@umask={'umask', 0x3d, 0x2}}, {@nodecompose}, {@nobarrier}, {@uid={'uid', 0x3d, 0xee00}}, {@uid}]}, 0xb, 0x697, &(0x7f0000000e40)="$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") open(&(0x7f0000000000)='./bus\x00', 0x0, 0x0) open(&(0x7f0000000000)='./bus\x00', 0x60142, 0x0) 02:02:34 executing program 0: r0 = syz_open_dev$tty1(0xc, 0x4, 0x1) ioctl$GIO_UNIMAP(r0, 0x4b66, &(0x7f0000000040)={0x801, 0x0}) 02:02:34 executing program 1: r0 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route(r0, &(0x7f0000000280)={0x0, 0x0, &(0x7f0000000240)={&(0x7f00000002c0)=@ipv4_newnexthop={0x30, 0x18, 0x1, 0x0, 0x0, {}, [@NHA_ENCAP={0x18, 0x8, 0x0, 0x1, @SEG6_IPTUNNEL_SRH={0x14, 0x1, {{0x2}}}}]}, 0x30}}, 0x0) [ 1317.555032][T17715] netlink: 'syz-executor.1': attribute type 1 has an invalid length. [ 1317.592643][T17714] loop5: detected capacity change from 0 to 1024 02:02:35 executing program 5: prlimit64(0x0, 0x0, 0x0, 0x0) sched_setscheduler(0x0, 0x0, 0x0) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, 0x0, 0x0) open(0x0, 0x0, 0x0) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000000200)=0x5) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(0xffffffffffffffff, 0x0, 0x0) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) open(0x0, 0x40c2, 0x0) bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, 0x0, 0x0) r3 = dup2(0xffffffffffffffff, 0xffffffffffffffff) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000340)={&(0x7f0000000280)='ufshcd_clk_gating\x00', r3}, 0x10) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x0) r4 = socket$netlink(0x10, 0x3, 0x0) r5 = socket(0x10, 0x803, 0x0) sendmsg$TIPC_NL_NET_GET(r5, &(0x7f0000000200)={0x0, 0x0, &(0x7f0000000140)={0x0, 0x14}}, 0x0) getsockname$packet(r5, &(0x7f0000000600)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000300)=0x14) sendmsg$nl_route(r4, &(0x7f0000000240)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000100)=@newlink={0x40, 0x10, 0xffffff1f, 0x0, 0x0, {}, [@IFLA_LINKINFO={0x18, 0x12, 0x0, 0x0, @wireguard={{0xe}, {0x4}}}, @IFLA_MASTER={0x8, 0xa, r6}]}, 0x40}}, 0x0) ioctl$sock_SIOCGIFINDEX(0xffffffffffffffff, 0x8933, 0x0) 02:02:35 executing program 2: r0 = bpf$BPF_BTF_LOAD(0x12, &(0x7f0000000740)={&(0x7f0000000600)={{0xeb9f, 0x1, 0x0, 0x18, 0x0, 0x10, 0x10, 0x2, [@int]}}, 0x0, 0x2a}, 0x20) bpf$PROG_LOAD_XDP(0x5, &(0x7f00000004c0)={0x6, 0x3, &(0x7f0000000200)=@framed, &(0x7f0000000280)='GPL\x00', 0x7, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x25, r0, 0x8, 0x0, 0x0, 0x10, &(0x7f0000000480)={0x2}, 0x10}, 0x80) 02:02:35 executing program 0: r0 = syz_open_dev$tty1(0xc, 0x4, 0x1) ioctl$GIO_UNIMAP(r0, 0x4b66, &(0x7f0000000040)={0x801, 0x0}) 02:02:35 executing program 1: r0 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route(r0, &(0x7f0000000280)={0x0, 0x0, &(0x7f0000000240)={&(0x7f00000002c0)=@ipv4_newnexthop={0x30, 0x18, 0x1, 0x0, 0x0, {}, [@NHA_ENCAP={0x18, 0x8, 0x0, 0x1, @SEG6_IPTUNNEL_SRH={0x14, 0x1, {{0x2}}}}]}, 0x30}}, 0x0) 02:02:35 executing program 3: r0 = syz_open_dev$tty20(0xc, 0x4, 0x0) ioctl$TIOCL_GETKMSGREDIRECT(r0, 0x4b49, &(0x7f0000000180)) 02:02:35 executing program 2: r0 = bpf$BPF_BTF_LOAD(0x12, &(0x7f0000000740)={&(0x7f0000000600)={{0xeb9f, 0x1, 0x0, 0x18, 0x0, 0x10, 0x10, 0x2, [@int]}}, 0x0, 0x2a}, 0x20) bpf$PROG_LOAD_XDP(0x5, &(0x7f00000004c0)={0x6, 0x3, &(0x7f0000000200)=@framed, &(0x7f0000000280)='GPL\x00', 0x7, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x25, r0, 0x8, 0x0, 0x0, 0x10, &(0x7f0000000480)={0x2}, 0x10}, 0x80) [ 1318.310717][T17721] netlink: 'syz-executor.1': attribute type 1 has an invalid length. 02:02:36 executing program 2: r0 = bpf$BPF_BTF_LOAD(0x12, &(0x7f0000000740)={&(0x7f0000000600)={{0xeb9f, 0x1, 0x0, 0x18, 0x0, 0x10, 0x10, 0x2, [@int]}}, 0x0, 0x2a}, 0x20) bpf$PROG_LOAD_XDP(0x5, &(0x7f00000004c0)={0x6, 0x3, &(0x7f0000000200)=@framed, &(0x7f0000000280)='GPL\x00', 0x7, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x25, r0, 0x8, 0x0, 0x0, 0x10, &(0x7f0000000480)={0x2}, 0x10}, 0x80) 02:02:36 executing program 4: syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) syz_usb_connect$cdc_ncm(0x3, 0x8a, &(0x7f00000003c0)={{0x12, 0x1, 0x0, 0x2, 0x0, 0x0, 0x40, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x78, 0x2, 0x1, 0x0, 0x80, 0x0, {{0x9, 0x4, 0x0, 0x0, 0x1, 0x2, 0xd, 0x0, 0x0, {{0x5}, {0x5}, {0xd}, {0x6}, [@mdlm={0x15}, @dmm={0x7}]}, {{0x9, 0x5, 0x81, 0x3, 0x0, 0x3f}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x2, 0x2, 0xd, 0x0, 0x0, "", {{{0x9, 0x5, 0x82, 0x2, 0x0, 0x14}}, {{0x9, 0x5, 0x3, 0x2, 0x40, 0x3f, 0x0, 0x1}}}}}}}]}}, &(0x7f0000000580)={0x0, 0x0, 0x5, &(0x7f0000000080)={0x5, 0xf, 0x5}, 0x1, [{0x0, 0x0}]}) syz_genetlink_get_family_id$ieee802154(0x0, 0xffffffffffffffff) 02:02:36 executing program 1: r0 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route(r0, &(0x7f0000000280)={0x0, 0x0, &(0x7f0000000240)={&(0x7f00000002c0)=@ipv4_newnexthop={0x30, 0x18, 0x1, 0x0, 0x0, {}, [@NHA_ENCAP={0x18, 0x8, 0x0, 0x1, @SEG6_IPTUNNEL_SRH={0x14, 0x1, {{0x2}}}}]}, 0x30}}, 0x0) 02:02:36 executing program 0: r0 = bpf$BPF_BTF_LOAD(0x12, &(0x7f0000000740)={&(0x7f0000000600)={{0xeb9f, 0x1, 0x0, 0x18, 0x0, 0x10, 0x10, 0x2, [@int]}}, 0x0, 0x2a}, 0x20) bpf$PROG_LOAD_XDP(0x5, &(0x7f00000004c0)={0x6, 0x3, &(0x7f0000000200)=@framed, &(0x7f0000000280)='GPL\x00', 0x7, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x25, r0, 0x8, 0x0, 0x0, 0x10, &(0x7f0000000480)={0x2}, 0x10}, 0x80) 02:02:36 executing program 3: r0 = syz_open_dev$tty20(0xc, 0x4, 0x0) ioctl$TIOCL_GETKMSGREDIRECT(r0, 0x4b49, &(0x7f0000000180)) [ 1319.470343][T17734] netlink: 'syz-executor.1': attribute type 1 has an invalid length. 02:02:36 executing program 1: r0 = socket$inet6(0xa, 0x3, 0x2f) setsockopt$inet6_IPV6_XFRM_POLICY(r0, 0x29, 0x23, &(0x7f00000003c0)={{{@in=@initdev={0xac, 0x1e, 0x0, 0x0}, @in6=@empty, 0x0, 0x3825, 0x0, 0x0, 0x2}, {}, {}, 0x0, 0x0, 0x1}, {{@in6=@dev, 0x0, 0x6c}, 0x0, @in6=@local}}, 0xe8) connect$inet6(r0, &(0x7f00000001c0)={0xa, 0x0, 0x0, @ipv4={'\x00', '\xff\xff', @local}}, 0x1c) [ 1319.876347][T10138] usb 5-1: new high-speed USB device number 17 using dummy_hcd 02:02:36 executing program 5: prlimit64(0x0, 0x0, 0x0, 0x0) sched_setscheduler(0x0, 0x0, 0x0) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, 0x0, 0x0) open(0x0, 0x0, 0x0) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000000200)=0x5) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(0xffffffffffffffff, 0x0, 0x0) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) open(0x0, 0x40c2, 0x0) bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, 0x0, 0x0) r3 = dup2(0xffffffffffffffff, 0xffffffffffffffff) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000340)={&(0x7f0000000280)='ufshcd_clk_gating\x00', r3}, 0x10) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x0) r4 = socket$netlink(0x10, 0x3, 0x0) r5 = socket(0x10, 0x803, 0x0) sendmsg$TIPC_NL_NET_GET(r5, &(0x7f0000000200)={0x0, 0x0, &(0x7f0000000140)={0x0, 0x14}}, 0x0) getsockname$packet(r5, &(0x7f0000000600)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000300)=0x14) sendmsg$nl_route(r4, &(0x7f0000000240)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000100)=@newlink={0x40, 0x10, 0xffffff1f, 0x0, 0x0, {}, [@IFLA_LINKINFO={0x18, 0x12, 0x0, 0x0, @wireguard={{0xe}, {0x4}}}, @IFLA_MASTER={0x8, 0xa, r6}]}, 0x40}}, 0x0) ioctl$sock_SIOCGIFINDEX(0xffffffffffffffff, 0x8933, 0x0) 02:02:36 executing program 3: r0 = syz_open_dev$tty20(0xc, 0x4, 0x0) ioctl$TIOCL_GETKMSGREDIRECT(r0, 0x4b49, &(0x7f0000000180)) 02:02:36 executing program 0: r0 = bpf$BPF_BTF_LOAD(0x12, &(0x7f0000000740)={&(0x7f0000000600)={{0xeb9f, 0x1, 0x0, 0x18, 0x0, 0x10, 0x10, 0x2, [@int]}}, 0x0, 0x2a}, 0x20) bpf$PROG_LOAD_XDP(0x5, &(0x7f00000004c0)={0x6, 0x3, &(0x7f0000000200)=@framed, &(0x7f0000000280)='GPL\x00', 0x7, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x25, r0, 0x8, 0x0, 0x0, 0x10, &(0x7f0000000480)={0x2}, 0x10}, 0x80) 02:02:36 executing program 2: r0 = bpf$BPF_BTF_LOAD(0x12, &(0x7f0000000740)={&(0x7f0000000600)={{0xeb9f, 0x1, 0x0, 0x18, 0x0, 0x10, 0x10, 0x2, [@int]}}, 0x0, 0x2a}, 0x20) bpf$PROG_LOAD_XDP(0x5, &(0x7f00000004c0)={0x6, 0x3, &(0x7f0000000200)=@framed, &(0x7f0000000280)='GPL\x00', 0x7, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x25, r0, 0x8, 0x0, 0x0, 0x10, &(0x7f0000000480)={0x2}, 0x10}, 0x80) 02:02:36 executing program 1: r0 = socket$inet6(0xa, 0x3, 0x2f) setsockopt$inet6_IPV6_XFRM_POLICY(r0, 0x29, 0x23, &(0x7f00000003c0)={{{@in=@initdev={0xac, 0x1e, 0x0, 0x0}, @in6=@empty, 0x0, 0x3825, 0x0, 0x0, 0x2}, {}, {}, 0x0, 0x0, 0x1}, {{@in6=@dev, 0x0, 0x6c}, 0x0, @in6=@local}}, 0xe8) connect$inet6(r0, &(0x7f00000001c0)={0xa, 0x0, 0x0, @ipv4={'\x00', '\xff\xff', @local}}, 0x1c) 02:02:37 executing program 3: r0 = syz_open_dev$tty20(0xc, 0x4, 0x0) ioctl$TIOCL_GETKMSGREDIRECT(r0, 0x4b49, &(0x7f0000000180)) 02:02:37 executing program 0: r0 = bpf$BPF_BTF_LOAD(0x12, &(0x7f0000000740)={&(0x7f0000000600)={{0xeb9f, 0x1, 0x0, 0x18, 0x0, 0x10, 0x10, 0x2, [@int]}}, 0x0, 0x2a}, 0x20) bpf$PROG_LOAD_XDP(0x5, &(0x7f00000004c0)={0x6, 0x3, &(0x7f0000000200)=@framed, &(0x7f0000000280)='GPL\x00', 0x7, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x25, r0, 0x8, 0x0, 0x0, 0x10, &(0x7f0000000480)={0x2}, 0x10}, 0x80) [ 1320.256448][T10138] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 63, changing to 9 [ 1320.278548][T10138] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 1320.307028][T10138] usb 5-1: config 1 interface 1 altsetting 1 endpoint 0x82 has invalid wMaxPacketSize 0 [ 1320.336335][T10138] usb 5-1: config 1 interface 1 altsetting 1 bulk endpoint 0x82 has invalid maxpacket 0 [ 1320.346777][T10138] usb 5-1: config 1 interface 1 altsetting 1 bulk endpoint 0x3 has invalid maxpacket 64 [ 1320.606465][T10138] usb 5-1: string descriptor 0 read error: -22 [ 1320.612840][T10138] usb 5-1: New USB device found, idVendor=0525, idProduct=a4a1, bcdDevice= 0.40 [ 1320.633725][T10138] usb 5-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 1320.926658][T10138] cdc_ncm 5-1:1.0: bind() failure [ 1320.944619][T10138] cdc_ncm 5-1:1.1: CDC Union missing and no IAD found [ 1320.959530][T10138] cdc_ncm 5-1:1.1: bind() failure [ 1320.996010][T10138] usb 5-1: USB disconnect, device number 17 [ 1321.154474][ T1212] ieee802154 phy0 wpan0: encryption failed: -22 [ 1321.169090][ T1212] ieee802154 phy1 wpan1: encryption failed: -22 02:02:38 executing program 4: syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) syz_usb_connect$cdc_ncm(0x3, 0x8a, &(0x7f00000003c0)={{0x12, 0x1, 0x0, 0x2, 0x0, 0x0, 0x40, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x78, 0x2, 0x1, 0x0, 0x80, 0x0, {{0x9, 0x4, 0x0, 0x0, 0x1, 0x2, 0xd, 0x0, 0x0, {{0x5}, {0x5}, {0xd}, {0x6}, [@mdlm={0x15}, @dmm={0x7}]}, {{0x9, 0x5, 0x81, 0x3, 0x0, 0x3f}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x2, 0x2, 0xd, 0x0, 0x0, "", {{{0x9, 0x5, 0x82, 0x2, 0x0, 0x14}}, {{0x9, 0x5, 0x3, 0x2, 0x40, 0x3f, 0x0, 0x1}}}}}}}]}}, &(0x7f0000000580)={0x0, 0x0, 0x5, &(0x7f0000000080)={0x5, 0xf, 0x5}, 0x1, [{0x0, 0x0}]}) syz_genetlink_get_family_id$ieee802154(0x0, 0xffffffffffffffff) 02:02:38 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f00000000c0)=0x6) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file1\x00'}, 0x6e) pivot_root(0x0, &(0x7f00000009c0)='./bus\x00') move_mount(0xffffffffffffffff, 0x0, 0xffffffffffffffff, &(0x7f0000000980)='./bus\x00', 0x2) sendmmsg$unix(0xffffffffffffffff, &(0x7f00000bd000), 0x318, 0x0) connect$unix(0xffffffffffffffff, &(0x7f0000000180)=@abs={0x1, 0x0, 0x4e22}, 0x6e) recvmmsg(r1, &(0x7f0000000740)=[{{&(0x7f00000005c0)=@tipc, 0x80, &(0x7f0000000400)=[{&(0x7f0000001740)=""/4096, 0x1000}, {&(0x7f0000000640)=""/251, 0xfb}], 0x2}}], 0x40002db, 0x2, 0x0) r2 = socket$inet_icmp_raw(0x2, 0x3, 0x1) ioctl$sock_inet_SIOCSARP(r2, 0x8955, &(0x7f0000000040)={{0x2, 0x0, @dev}, {0x0, @link_local}, 0x4, {0x2, 0x0, @dev}, 'xfrm0\x00'}) bpf$MAP_CREATE(0x0, 0x0, 0x0) rt_sigqueueinfo(0x0, 0x0, &(0x7f0000001140)={0x0, 0x0, 0xfffff801}) sched_setaffinity(r0, 0x8, &(0x7f0000000500)=0x6) renameat2(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0xffffffffffffffff, &(0x7f00000003c0)='./bus\x00', 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f00000745c0)={0x0, [], 0x81}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)) socket$netlink(0x10, 0x3, 0x0) r3 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x11, 0x8, &(0x7f0000002340)=ANY=[@ANYBLOB="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"/3238], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00', r3}, 0x10) syz_mount_image$exfat(&(0x7f0000001500), &(0x7f0000000040)='./file0\x00', 0x20010080, &(0x7f0000000440)={[{@errors_remount}, {@umask={'umask', 0x3d, 0xcd}}, {@errors_remount}, {@errors_remount}, {@gid}, {@errors_continue}, {@errors_continue}, {}, {@discard}]}, 0x1, 0x14d7, &(0x7f0000001580)="$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") r4 = openat(0xffffffffffffff9c, &(0x7f0000000380)='./file1\x00', 0x141c42, 0x0) pwritev(r4, &(0x7f0000000100)=[{&(0x7f0000000240)="1f715fbb461189a09b1c59687d0b92a70c64c1c4ee571b63ebfddcf3c772d93e72f846345921d94ce2d0c112b33886f837e125fd8f4836655463bdbc874887194753d0440324107750403fda90063a2650b009261708395cb23bcd327a28335bf8c9fca2869602997953794e998aed15bbae33b32f5832099b42aeabfc32de598d9425fc1fdc15a40bf781372da0086c1a7b2d99acca3017fe2ab464a62b75addd79cd44f0c91bb04a17c8ca3d3191b98b6567fd085c2ae5471d4750d3ef734424b9e5cddf2f1e207a47e28e05c7b47d", 0xd0}, {0x0}], 0x2, 0x2, 0x3100) 02:02:38 executing program 5: prlimit64(0x0, 0x0, 0x0, 0x0) sched_setscheduler(0x0, 0x0, 0x0) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, 0x0, 0x0) open(0x0, 0x0, 0x0) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000000200)=0x5) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(0xffffffffffffffff, 0x0, 0x0) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) open(0x0, 0x40c2, 0x0) bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, 0x0, 0x0) r3 = dup2(0xffffffffffffffff, 0xffffffffffffffff) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000340)={&(0x7f0000000280)='ufshcd_clk_gating\x00', r3}, 0x10) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x0) r4 = socket$netlink(0x10, 0x3, 0x0) r5 = socket(0x10, 0x803, 0x0) sendmsg$TIPC_NL_NET_GET(r5, &(0x7f0000000200)={0x0, 0x0, &(0x7f0000000140)={0x0, 0x14}}, 0x0) getsockname$packet(r5, &(0x7f0000000600)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000300)=0x14) sendmsg$nl_route(r4, &(0x7f0000000240)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000100)=@newlink={0x40, 0x10, 0xffffff1f, 0x0, 0x0, {}, [@IFLA_LINKINFO={0x18, 0x12, 0x0, 0x0, @wireguard={{0xe}, {0x4}}}, @IFLA_MASTER={0x8, 0xa, r6}]}, 0x40}}, 0x0) ioctl$sock_SIOCGIFINDEX(0xffffffffffffffff, 0x8933, 0x0) 02:02:38 executing program 1: r0 = socket$inet6(0xa, 0x3, 0x2f) setsockopt$inet6_IPV6_XFRM_POLICY(r0, 0x29, 0x23, &(0x7f00000003c0)={{{@in=@initdev={0xac, 0x1e, 0x0, 0x0}, @in6=@empty, 0x0, 0x3825, 0x0, 0x0, 0x2}, {}, {}, 0x0, 0x0, 0x1}, {{@in6=@dev, 0x0, 0x6c}, 0x0, @in6=@local}}, 0xe8) connect$inet6(r0, &(0x7f00000001c0)={0xa, 0x0, 0x0, @ipv4={'\x00', '\xff\xff', @local}}, 0x1c) 02:02:38 executing program 2: r0 = socket$inet6(0xa, 0x3, 0x2f) setsockopt$inet6_IPV6_XFRM_POLICY(r0, 0x29, 0x23, &(0x7f00000003c0)={{{@in=@initdev={0xac, 0x1e, 0x0, 0x0}, @in6=@empty, 0x0, 0x3825, 0x0, 0x0, 0x2}, {}, {}, 0x0, 0x0, 0x1}, {{@in6=@dev, 0x0, 0x6c}, 0x0, @in6=@local}}, 0xe8) connect$inet6(r0, &(0x7f00000001c0)={0xa, 0x0, 0x0, @ipv4={'\x00', '\xff\xff', @local}}, 0x1c) 02:02:38 executing program 3: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f00000001c0), 0xffffffffffffffff) r2 = socket$inet_udplite(0x2, 0x2, 0x88) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000400)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_SET_WIPHY_NETNS(r0, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000080)={&(0x7f0000000580)={0x3c, r1, 0xabb9668bbdb764d3, 0x0, 0x0, {{}, {@val={0x8}, @val={0x8}, @void}}, [@NL80211_ATTR_PID={0x8}, @NL80211_ATTR_IFINDEX={0x8, 0x3, r3}, @NL80211_ATTR_WIPHY={0x8, 0x1, 0x2b}]}, 0x3c}}, 0x0) 02:02:38 executing program 2: r0 = socket$inet6(0xa, 0x3, 0x2f) setsockopt$inet6_IPV6_XFRM_POLICY(r0, 0x29, 0x23, &(0x7f00000003c0)={{{@in=@initdev={0xac, 0x1e, 0x0, 0x0}, @in6=@empty, 0x0, 0x3825, 0x0, 0x0, 0x2}, {}, {}, 0x0, 0x0, 0x1}, {{@in6=@dev, 0x0, 0x6c}, 0x0, @in6=@local}}, 0xe8) connect$inet6(r0, &(0x7f00000001c0)={0xa, 0x0, 0x0, @ipv4={'\x00', '\xff\xff', @local}}, 0x1c) 02:02:38 executing program 1: r0 = socket$inet6(0xa, 0x3, 0x2f) setsockopt$inet6_IPV6_XFRM_POLICY(r0, 0x29, 0x23, &(0x7f00000003c0)={{{@in=@initdev={0xac, 0x1e, 0x0, 0x0}, @in6=@empty, 0x0, 0x3825, 0x0, 0x0, 0x2}, {}, {}, 0x0, 0x0, 0x1}, {{@in6=@dev, 0x0, 0x6c}, 0x0, @in6=@local}}, 0xe8) connect$inet6(r0, &(0x7f00000001c0)={0xa, 0x0, 0x0, @ipv4={'\x00', '\xff\xff', @local}}, 0x1c) 02:02:38 executing program 3: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f00000001c0), 0xffffffffffffffff) r2 = socket$inet_udplite(0x2, 0x2, 0x88) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000400)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_SET_WIPHY_NETNS(r0, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000080)={&(0x7f0000000580)={0x3c, r1, 0xabb9668bbdb764d3, 0x0, 0x0, {{}, {@val={0x8}, @val={0x8}, @void}}, [@NL80211_ATTR_PID={0x8}, @NL80211_ATTR_IFINDEX={0x8, 0x3, r3}, @NL80211_ATTR_WIPHY={0x8, 0x1, 0x2b}]}, 0x3c}}, 0x0) 02:02:38 executing program 2: r0 = socket$inet6(0xa, 0x3, 0x2f) setsockopt$inet6_IPV6_XFRM_POLICY(r0, 0x29, 0x23, &(0x7f00000003c0)={{{@in=@initdev={0xac, 0x1e, 0x0, 0x0}, @in6=@empty, 0x0, 0x3825, 0x0, 0x0, 0x2}, {}, {}, 0x0, 0x0, 0x1}, {{@in6=@dev, 0x0, 0x6c}, 0x0, @in6=@local}}, 0xe8) connect$inet6(r0, &(0x7f00000001c0)={0xa, 0x0, 0x0, @ipv4={'\x00', '\xff\xff', @local}}, 0x1c) [ 1321.580773][T17776] loop0: detected capacity change from 0 to 256 [ 1321.667244][T17776] exFAT-fs (loop0): failed to load upcase table (idx : 0x00010000, chksum : 0x4f9d53fa, utbl_chksum : 0xe619d30d) 02:02:38 executing program 1: syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) syz_usb_connect$cdc_ncm(0x3, 0x8a, &(0x7f00000003c0)={{0x12, 0x1, 0x0, 0x2, 0x0, 0x0, 0x40, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x78, 0x2, 0x1, 0x0, 0x80, 0x0, {{0x9, 0x4, 0x0, 0x0, 0x1, 0x2, 0xd, 0x0, 0x0, {{0x5}, {0x5}, {0xd}, {0x6}, [@mdlm={0x15}, @dmm={0x7}]}, {{0x9, 0x5, 0x81, 0x3, 0x0, 0x3f}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x2, 0x2, 0xd, 0x0, 0x0, "", {{{0x9, 0x5, 0x82, 0x2, 0x0, 0x14}}, {{0x9, 0x5, 0x3, 0x2, 0x40, 0x3f, 0x0, 0x1}}}}}}}]}}, &(0x7f0000000580)={0x0, 0x0, 0x5, &(0x7f0000000080)={0x5, 0xf, 0x5}, 0x1, [{0x0, 0x0}]}) syz_genetlink_get_family_id$ieee802154(0x0, 0xffffffffffffffff) 02:02:38 executing program 3: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f00000001c0), 0xffffffffffffffff) r2 = socket$inet_udplite(0x2, 0x2, 0x88) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000400)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_SET_WIPHY_NETNS(r0, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000080)={&(0x7f0000000580)={0x3c, r1, 0xabb9668bbdb764d3, 0x0, 0x0, {{}, {@val={0x8}, @val={0x8}, @void}}, [@NL80211_ATTR_PID={0x8}, @NL80211_ATTR_IFINDEX={0x8, 0x3, r3}, @NL80211_ATTR_WIPHY={0x8, 0x1, 0x2b}]}, 0x3c}}, 0x0) [ 1321.796255][ T9046] usb 5-1: new high-speed USB device number 18 using dummy_hcd [ 1321.845824][ T26] audit: type=1400 audit(1687485758.792:911): avc: denied { write } for pid=17763 comm="syz-executor.0" name="/" dev="loop0" ino=1 scontext=root:sysadm_r:sysadm_t tcontext=system_u:object_r:unlabeled_t tclass=dir permissive=1 [ 1321.939276][ T26] audit: type=1400 audit(1687485758.812:912): avc: denied { add_name } for pid=17763 comm="syz-executor.0" name="file1" scontext=root:sysadm_r:sysadm_t tcontext=system_u:object_r:unlabeled_t tclass=dir permissive=1 [ 1322.003084][ T26] audit: type=1400 audit(1687485758.812:913): avc: denied { associate } for pid=17763 comm="syz-executor.0" name="file1" scontext=root:object_r:unlabeled_t tcontext=system_u:object_r:unlabeled_t tclass=filesystem permissive=1 [ 1322.089730][ T26] audit: type=1400 audit(1687485758.812:914): avc: denied { read append } for pid=17763 comm="syz-executor.0" name="file1" dev="loop0" ino=1048658 scontext=root:sysadm_r:sysadm_t tcontext=system_u:object_r:unlabeled_t tclass=file permissive=1 [ 1322.163113][ T26] audit: type=1400 audit(1687485758.812:915): avc: denied { open } for pid=17763 comm="syz-executor.0" path="/root/syzkaller-testdir877985492/syzkaller.jvy1pp/569/file0/file1" dev="loop0" ino=1048658 scontext=root:sysadm_r:sysadm_t tcontext=system_u:object_r:unlabeled_t tclass=file permissive=1 [ 1322.196323][ T8] usb 2-1: new high-speed USB device number 5 using dummy_hcd [ 1322.206346][ T9046] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 63, changing to 9 [ 1322.229005][ T9046] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 1322.261366][ T9046] usb 5-1: config 1 interface 1 altsetting 1 endpoint 0x82 has invalid wMaxPacketSize 0 [ 1322.290166][ T9046] usb 5-1: config 1 interface 1 altsetting 1 bulk endpoint 0x82 has invalid maxpacket 0 [ 1322.320977][ T9046] usb 5-1: config 1 interface 1 altsetting 1 bulk endpoint 0x3 has invalid maxpacket 64 [ 1322.583412][ T8] usb 2-1: config 1 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 63, changing to 9 [ 1322.596414][ T9046] usb 5-1: string descriptor 0 read error: -22 [ 1322.602703][ T9046] usb 5-1: New USB device found, idVendor=0525, idProduct=a4a1, bcdDevice= 0.40 [ 1322.626144][ T8] usb 2-1: config 1 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 1322.636541][ T9046] usb 5-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 1322.656151][ T8] usb 2-1: config 1 interface 1 altsetting 1 endpoint 0x82 has invalid wMaxPacketSize 0 [ 1322.686579][ T8] usb 2-1: config 1 interface 1 altsetting 1 bulk endpoint 0x82 has invalid maxpacket 0 [ 1322.707701][ T8] usb 2-1: config 1 interface 1 altsetting 1 bulk endpoint 0x3 has invalid maxpacket 64 [ 1322.946445][ T9046] cdc_ncm 5-1:1.0: bind() failure [ 1322.953715][ T9046] cdc_ncm 5-1:1.1: CDC Union missing and no IAD found [ 1322.976243][ T8] usb 2-1: string descriptor 0 read error: -22 [ 1322.982616][ T8] usb 2-1: New USB device found, idVendor=0525, idProduct=a4a1, bcdDevice= 0.40 [ 1322.991859][ T9046] cdc_ncm 5-1:1.1: bind() failure [ 1323.000727][ T9046] usb 5-1: USB disconnect, device number 18 [ 1323.007332][ T8] usb 2-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 1323.326447][ T8] cdc_ncm 2-1:1.0: bind() failure [ 1323.333951][ T8] cdc_ncm 2-1:1.1: CDC Union missing and no IAD found [ 1323.345648][ T8] cdc_ncm 2-1:1.1: bind() failure [ 1323.355261][ T8] usb 2-1: USB disconnect, device number 5 02:02:40 executing program 4: syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) syz_usb_connect$cdc_ncm(0x3, 0x8a, &(0x7f00000003c0)={{0x12, 0x1, 0x0, 0x2, 0x0, 0x0, 0x40, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x78, 0x2, 0x1, 0x0, 0x80, 0x0, {{0x9, 0x4, 0x0, 0x0, 0x1, 0x2, 0xd, 0x0, 0x0, {{0x5}, {0x5}, {0xd}, {0x6}, [@mdlm={0x15}, @dmm={0x7}]}, {{0x9, 0x5, 0x81, 0x3, 0x0, 0x3f}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x2, 0x2, 0xd, 0x0, 0x0, "", {{{0x9, 0x5, 0x82, 0x2, 0x0, 0x14}}, {{0x9, 0x5, 0x3, 0x2, 0x40, 0x3f, 0x0, 0x1}}}}}}}]}}, &(0x7f0000000580)={0x0, 0x0, 0x5, &(0x7f0000000080)={0x5, 0xf, 0x5}, 0x1, [{0x0, 0x0}]}) syz_genetlink_get_family_id$ieee802154(0x0, 0xffffffffffffffff) 02:02:40 executing program 3: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f00000001c0), 0xffffffffffffffff) r2 = socket$inet_udplite(0x2, 0x2, 0x88) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f0000000400)={'wlan1\x00', 0x0}) sendmsg$NL80211_CMD_SET_WIPHY_NETNS(r0, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000080)={&(0x7f0000000580)={0x3c, r1, 0xabb9668bbdb764d3, 0x0, 0x0, {{}, {@val={0x8}, @val={0x8}, @void}}, [@NL80211_ATTR_PID={0x8}, @NL80211_ATTR_IFINDEX={0x8, 0x3, r3}, @NL80211_ATTR_WIPHY={0x8, 0x1, 0x2b}]}, 0x3c}}, 0x0) 02:02:40 executing program 2: bpf$PROG_LOAD_XDP(0x5, &(0x7f0000000700)={0xd, 0x4, &(0x7f00000004c0)=@framed={{}, [@call={0x85, 0x0, 0x0, 0x39}]}, &(0x7f0000000500)='syzkaller\x00', 0x5, 0x89, &(0x7f0000000540)=""/137, 0x0, 0x0, '\x00', 0x0, 0x25, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) 02:02:40 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f00000000c0)=0x6) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file1\x00'}, 0x6e) pivot_root(0x0, &(0x7f00000009c0)='./bus\x00') move_mount(0xffffffffffffffff, 0x0, 0xffffffffffffffff, &(0x7f0000000980)='./bus\x00', 0x2) sendmmsg$unix(0xffffffffffffffff, &(0x7f00000bd000), 0x318, 0x0) connect$unix(0xffffffffffffffff, &(0x7f0000000180)=@abs={0x1, 0x0, 0x4e22}, 0x6e) recvmmsg(r1, &(0x7f0000000740)=[{{&(0x7f00000005c0)=@tipc, 0x80, &(0x7f0000000400)=[{&(0x7f0000001740)=""/4096, 0x1000}, {&(0x7f0000000640)=""/251, 0xfb}], 0x2}}], 0x40002db, 0x2, 0x0) r2 = socket$inet_icmp_raw(0x2, 0x3, 0x1) ioctl$sock_inet_SIOCSARP(r2, 0x8955, &(0x7f0000000040)={{0x2, 0x0, @dev}, {0x0, @link_local}, 0x4, {0x2, 0x0, @dev}, 'xfrm0\x00'}) bpf$MAP_CREATE(0x0, 0x0, 0x0) rt_sigqueueinfo(0x0, 0x0, &(0x7f0000001140)={0x0, 0x0, 0xfffff801}) sched_setaffinity(r0, 0x8, &(0x7f0000000500)=0x6) renameat2(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0xffffffffffffffff, &(0x7f00000003c0)='./bus\x00', 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f00000745c0)={0x0, [], 0x81}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)) socket$netlink(0x10, 0x3, 0x0) r3 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x11, 0x8, &(0x7f0000002340)=ANY=[@ANYBLOB="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"/3238], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00', r3}, 0x10) syz_mount_image$exfat(&(0x7f0000001500), &(0x7f0000000040)='./file0\x00', 0x20010080, &(0x7f0000000440)={[{@errors_remount}, {@umask={'umask', 0x3d, 0xcd}}, {@errors_remount}, {@errors_remount}, {@gid}, {@errors_continue}, {@errors_continue}, {}, {@discard}]}, 0x1, 0x14d7, &(0x7f0000001580)="$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") r4 = openat(0xffffffffffffff9c, &(0x7f0000000380)='./file1\x00', 0x141c42, 0x0) pwritev(r4, &(0x7f0000000100)=[{&(0x7f0000000240)="1f715fbb461189a09b1c59687d0b92a70c64c1c4ee571b63ebfddcf3c772d93e72f846345921d94ce2d0c112b33886f837e125fd8f4836655463bdbc874887194753d0440324107750403fda90063a2650b009261708395cb23bcd327a28335bf8c9fca2869602997953794e998aed15bbae33b32f5832099b42aeabfc32de598d9425fc1fdc15a40bf781372da0086c1a7b2d99acca3017fe2ab464a62b75addd79cd44f0c91bb04a17c8ca3d3191b98b6567fd085c2ae5471d4750d3ef734424b9e5cddf2f1e207a47e28e05c7b47d", 0xd0}, {0x0}], 0x2, 0x2, 0x3100) 02:02:40 executing program 5: prlimit64(0x0, 0x0, 0x0, 0x0) sched_setscheduler(0x0, 0x0, 0x0) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, 0x0, 0x0) open(0x0, 0x0, 0x0) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000000200)=0x5) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff, 0xffffffffffffffff}) connect$unix(0xffffffffffffffff, 0x0, 0x0) sendmmsg$unix(r2, &(0x7f00000bd000), 0x318, 0x0) recvmmsg(r1, &(0x7f00000000c0), 0x10106, 0x2, 0x0) open(0x0, 0x40c2, 0x0) bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, 0x0, 0x0) r3 = dup2(0xffffffffffffffff, 0xffffffffffffffff) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000340)={&(0x7f0000000280)='ufshcd_clk_gating\x00', r3}, 0x10) sendmmsg$unix(0xffffffffffffffff, 0x0, 0x0, 0x0) r4 = socket$netlink(0x10, 0x3, 0x0) r5 = socket(0x10, 0x803, 0x0) sendmsg$TIPC_NL_NET_GET(r5, &(0x7f0000000200)={0x0, 0x0, &(0x7f0000000140)={0x0, 0x14}}, 0x0) getsockname$packet(r5, &(0x7f0000000600)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000300)=0x14) sendmsg$nl_route(r4, &(0x7f0000000240)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000100)=@newlink={0x40, 0x10, 0xffffff1f, 0x0, 0x0, {}, [@IFLA_LINKINFO={0x18, 0x12, 0x0, 0x0, @wireguard={{0xe}, {0x4}}}, @IFLA_MASTER={0x8, 0xa, r6}]}, 0x40}}, 0x0) ioctl$sock_SIOCGIFINDEX(0xffffffffffffffff, 0x8933, 0x0) 02:02:40 executing program 2: bpf$PROG_LOAD_XDP(0x5, &(0x7f0000000700)={0xd, 0x4, &(0x7f00000004c0)=@framed={{}, [@call={0x85, 0x0, 0x0, 0x39}]}, &(0x7f0000000500)='syzkaller\x00', 0x5, 0x89, &(0x7f0000000540)=""/137, 0x0, 0x0, '\x00', 0x0, 0x25, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) 02:02:40 executing program 3: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f00000000c0)=0x6) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file1\x00'}, 0x6e) pivot_root(0x0, &(0x7f00000009c0)='./bus\x00') move_mount(0xffffffffffffffff, 0x0, 0xffffffffffffffff, &(0x7f0000000980)='./bus\x00', 0x2) sendmmsg$unix(0xffffffffffffffff, &(0x7f00000bd000), 0x318, 0x0) connect$unix(0xffffffffffffffff, &(0x7f0000000180)=@abs={0x1, 0x0, 0x4e22}, 0x6e) recvmmsg(r1, &(0x7f0000000740)=[{{&(0x7f00000005c0)=@tipc, 0x80, &(0x7f0000000400)=[{&(0x7f0000001740)=""/4096, 0x1000}, {&(0x7f0000000640)=""/251, 0xfb}], 0x2}}], 0x40002db, 0x2, 0x0) r2 = socket$inet_icmp_raw(0x2, 0x3, 0x1) ioctl$sock_inet_SIOCSARP(r2, 0x8955, &(0x7f0000000040)={{0x2, 0x0, @dev}, {0x0, @link_local}, 0x4, {0x2, 0x0, @dev}, 'xfrm0\x00'}) bpf$MAP_CREATE(0x0, 0x0, 0x0) rt_sigqueueinfo(0x0, 0x0, &(0x7f0000001140)={0x0, 0x0, 0xfffff801}) sched_setaffinity(r0, 0x8, &(0x7f0000000500)=0x6) renameat2(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0xffffffffffffffff, &(0x7f00000003c0)='./bus\x00', 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f00000745c0)={0x0, [], 0x81}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)) socket$netlink(0x10, 0x3, 0x0) r3 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x11, 0x8, &(0x7f0000002340)=ANY=[@ANYBLOB="620af8ff25200021bfa100000000000007010000f8ffffffb702000003000000bd120000000000008500000006000000b70000000000000095000000000000003faf4f2aa3d9b18ed812a2e2c49e8020a6f4e0e4a9446c7670568982b4e020f698393aa0f3881f9c24aa56f15199fa093c59d66b5ece9f36c70d0f13905ea23c22624c9f87f9793f50bb546040677b0c5077da80fb982c1e9400e693146cea484a415bd1966118b64f751a0f241b072e90080008002d75593a286cecc93e64c227c95aa0b7845e6b607130c89f18c016089d8b853289d01aa27ae82e61b0f9223684198e1148f49faf2ad0000000000000026fa03c6468972089b302d7bf6023cdcedb5e0125ebbc08dee510cb2364145835108333719acd97cfa107d40224edc5465a932b77e74e802a0d42bc6099ad2300000080006ef6c1ff0900000000000010c63a949e8b7955394ffa82b8e942c89112f4ab87b1bfeda7be586602d985430cea0162ab3fcf4591c926abfb076719237c8d0e60b0eea24492a660583eecdbf5bcd3de3a83209da17a0faf60fd6ad9b97aa5fa68480366c9c6fd6fa5043aa3926b81e3b59c95c25a573dc2edcaea2b1a52496dfcaf99431412fd134a996382a1a04d5bb924cfe5f3185418d605ffff9c4d2ec7c32f2095e68242aff9fa740b5b7632f32030916f89c6dad7603f2ba2a790d62d6faec2fed44da4928b30142ba11de6c5d50b83bae613402216b5054d1e7c13b1355d6f4a8245ffa4997da9c77af4c0eb97fca585ec6bf58351d564beb6d952aab9c70764b0a8a7583c90b3433b809bdb9fbd48bc873495cbff8a326eea31ae4e0f7505ebf6c9d13330ca006bce1a84521f14518c9b476fccbd6c712016219848624b87cec2dbe98223a0eb4fa39f6b5c02e6d6d90756ff57902a8f57000000009700cf0b4b8bc2294133000000000000000000030000ee70cb646400000000000000000000009711e6e8861c46495ba585a4b2d02edc3e28dd271c896249ed85b980680b000020435ac15fc0288d9b2a169cdcacc413b48dafb7a2c8cb482bac0ac502d9ba96ffffff7f0000100000000000007d5ad897ef3b7cda42013d53046da21b40216e14ba2d6ad5656bfff17addaedab25b30002abbba7fa725f38400be7c1f001b2cd317902f19e385be9e48dccff729433282830689da6b53b263339863297771429d120000003341bf4abacac95900fca0493cf29b33dcc9ffffffffffffffd39fec2271ff01589646efd1cf870cd7bb2366fde41f94290c2a5ff870ce5dfd3467decb05cfd9fcb32c8ed1dbd9d10a64c1083d5e71b5565b1748ee58969c41595229df17bcad70fb4021428ce970275d13b78100788f11f761038b75d4fe32b561d46ea3abe0fa4d30dc94ef241875f3b4ce0232fcea69c271d7fa29822aea68a660e717a04becff0f719197724f4fce1093b62d7e8c7123d8ec571be54c72d978cf906df0042e36acd37d7f9e119f2c06f815312e0cfe222a06f56dd022c074eb8a322fb0bf47c0a8d154b405c37feaf3dd95f6ef2acd1fe582786105c7df8be5877050c91320bb997316dbf17866fb84d4173731efe895ff2e1c55609a6e90109b598502d3e959efc71f665c4d75cf2458e3542c9062ece84c99a061887a20639b41c8c12ee86c50804042b3eac1f879b13634c31da2c25cf67ca3fb5aac518a75f9e7d7101d5e186c489b3a06fb99e0aa7f23a054de2f4d92d6bd72ee2c9fdc75aaaf1e3e483b4ad05573af40326993947d9a631bcbf3583784acbda216550d7aec6b79e30cbd128f54c2d3335457acf37331766e472391e358c3b377327ac9ecc34f24c9ae153ece0ac0694dc55bff9f5f4df90400000000ddffffd5b2c5ea1393fdf24285bf16b99c9cc0ad1857216f1a985f369191ae954febb3df464bfe0f7f3ee9afe7befb89d2777399f5874c553aeb3729cffe86e66964ae09bb6d163118e4cbe024fd4500f8ff0700000000cc9d8046c216c1f895778cb25122a2a998de44aeadea2a40b6e59f211fad9fe3da8daccf080842a486721737390cbf3a74cb2003016f1514216bdf57d2a40d40b51ab63e96ec8485b3b8a8c9ae3d14f93100c2e0893862eef552fcde2981f48c486e4a4304e50c349f4f9ecee27defc93871c5f99b355b72d538ba4958ea8e4aa37094191e10096e7e60fc3541a2c905a1a95e9571bf38ae1981c4238ecaee6f75cd0a6881bd1517a8250df98674152f94e32409e2a3bce109b60000000000000000d6d5210d7560eb92d6a97a27602b81f76386f1535bef1497f92186086e29c6bc5a1fad6ec9a31137abf9a404abde7750898b1bd627e87306703be8672d70d1ab57075228a9f46ed9bd1f08fb8191bbab2dc51de3a61f0868afc4294859323e7a45319f18101288d139bd3da20fed05a8fe64680b0a3fc22dd704e4214de5946912d6c98cd1a9fbe1e7d58c08acaf30235b918a31d2eca55f74a23641f61f2d5b308cf0d031b0c7f0ced699f5f76015e6006e56237badf4e7965bbe2777e808fcba821aa8e8c5c39609ff854356cb4900000000000000000000000000c1fee30a3f7a85d1b29e58c77685efc0ceb1c8e5729c66418d169fc03aa188546b3ad2a182068e1e3a0e2505bc7f41019645466ac96e0d4b3bc19faa5449209b0a3dbd334b47f067bbab40743b2a428f1da1f68df75cf43f8ecc8d3726602111b40e761fd21081920382f14d122a7cca3c3431ee97471c7868dcda7eaa69eb7f7f80572fdd11bb1d0d1280fbc22bf73468788df51710db8f3e5c7ebfd6d7d31c632fc5ed1762eb0b428ee751c47d8e894f745a868404a0bf35f0121008b722b1eaa6aed7a1bf2e7ccb2d61d5d76331945e20fa26b8471d42645288d7226bbd9c9e9e1cc9eb3d541e407cc2dae5e690cd628ab84875f2c50ba891cea599b079b4b4ba686fcdf240430a537a395dc73bda367bf12cb7d81643a5fe8c47be395656a297e9df0e71f96756ea5cce7daac4be290159f6bcd75f0dda9de553101cae9e48b0ed1254a83100000000f6fbb869604d51a36a54c832e45b2569dc0d90b075225f728d44d0973171ad47d6b70ebc660309e1e245b00001743af932cd6db49a47613808bad959719c0000000000378a921c7f7f6933bee24c7e8000f2c9e8095e02985f28e678f66422436f949e2ab8f162d7e3f855e378f4a1f40b0c6fb2d4b205a800b6d713acebc5b014e61a543a5a194f9ac18d76b5440e3b1a569e7397f6cafa86966d7ba19e720413267a6ccea9c439671d2c680f2753ca184eeeb843450368acb4383a01d25eb3d1e23e000000000000037010632f95a5f622f851c66ee7e30393cd7a4d67ff2a49c4f93c0984b5c2d4523497e4d64f95f08493564a1df87111c9bf3194fef96ccecc467acc45feeb685c5870d05f88a0f463db88d377442e1349acaf766218b54a9d624778e1c4cba6e6390a9f302c6eb2df7766411bef0ebb5000000000006065d6735eb7a00e127cf72748a028daf5fc4d4e6d5265bec44219ee8fbfe86f441c724fa7b3d1ff0555e63ad00a1c7f9f9af10154f1e109dc3f7dd87ea308a1fb5a983490c6c93610864623613dabec4c0b64461d21f807515d8fadec636c99aa95ff895c25aad5ac0993a65c7668ca2b6d46edbad410df7390d27e4ddc8f47d5a918b14da4ec07c8199259b8e3dd36de9b35ce25d39686f2470afb1b1db18221841cee6e5531280d65f1d28886e0f06856a5ca37a91ea6e19977c517b10fb66858a05b03084d1f3bd5542d2796a33cfe545be3dc03d302e4839492cdc7694142e48f23271787d3a2360996ca3c9b18000000000700000000000000000000004882ce2e7a68512b23b0ab1f7a6c960bd002984955dc620614f97a234c8e1df96d5e7a67c8d26cd7a4bbacc4a086c2cb5a9af5fab1f01e2b7cf653f9d25f942b1cff6d738e17df64464fbc9d89911829458645ef2d2d23f55eb1b09855cc74d29cbca2aeff07a9bf56c3fa68a7d71aad094d5d968ad88fdda027c65e434e9a6bc68ec751d6d21fa471ac8646d714ce68f1f46f6ec4c1e87d720385be6f3a70fe730ccad42a9051cd07f356023e855e5acd5ec7d990cebcac66cbd3229d18511bfa1e3d2c82af72932cfd875584d0fc2daff4dfebe41c37494b8136a37f12caecba3e09a31a00410ff161089935db30d853b0150445d088da47c170155cd0cc863f4efc2bd7e2246916e0322494eb7bbc0f6c4efeac3d49b5318c410ddd8892aae7e22a558acfc4c2c08d54bd8f64469c43feaf6c9d49e701af9471f9d0cc02ed80f05f0a196bf4695cec437bea2d62515882d856c8a70f8f158da9e89472655529a4e87fc7e0b5e743080d59d747d4377e7e9d1d62b1d08eb1f051412b309208c8be79f66271b4ebd6800688955132ecc654d0e3bcb258b1da03b77cb17d2f4c1e557462f0a710b68056f3e272000d3bf4f49631f8d3677e5803ea1e52727c69afe25f0905a1dfaa0ffc168601e0fdfaeff35269e24ba5675504f0c4f735cf00"/3238], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00', r3}, 0x10) syz_mount_image$exfat(&(0x7f0000001500), &(0x7f0000000040)='./file0\x00', 0x20010080, &(0x7f0000000440)={[{@errors_remount}, {@umask={'umask', 0x3d, 0xcd}}, {@errors_remount}, {@errors_remount}, {@gid}, {@errors_continue}, {@errors_continue}, {}, {@discard}]}, 0x1, 0x14d7, &(0x7f0000001580)="$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") r4 = openat(0xffffffffffffff9c, &(0x7f0000000380)='./file1\x00', 0x141c42, 0x0) pwritev(r4, &(0x7f0000000100)=[{&(0x7f0000000240)="1f715fbb461189a09b1c59687d0b92a70c64c1c4ee571b63ebfddcf3c772d93e72f846345921d94ce2d0c112b33886f837e125fd8f4836655463bdbc874887194753d0440324107750403fda90063a2650b009261708395cb23bcd327a28335bf8c9fca2869602997953794e998aed15bbae33b32f5832099b42aeabfc32de598d9425fc1fdc15a40bf781372da0086c1a7b2d99acca3017fe2ab464a62b75addd79cd44f0c91bb04a17c8ca3d3191b98b6567fd085c2ae5471d4750d3ef734424b9e5cddf2f1e207a47e28e05c7b47d", 0xd0}, {0x0}], 0x2, 0x2, 0x3100) 02:02:40 executing program 2: bpf$PROG_LOAD_XDP(0x5, &(0x7f0000000700)={0xd, 0x4, &(0x7f00000004c0)=@framed={{}, [@call={0x85, 0x0, 0x0, 0x39}]}, &(0x7f0000000500)='syzkaller\x00', 0x5, 0x89, &(0x7f0000000540)=""/137, 0x0, 0x0, '\x00', 0x0, 0x25, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) [ 1323.574929][T17803] loop0: detected capacity change from 0 to 256 [ 1323.654111][T17803] exFAT-fs (loop0): failed to load upcase table (idx : 0x00010000, chksum : 0x4f9d53fa, utbl_chksum : 0xe619d30d) 02:02:40 executing program 2: bpf$PROG_LOAD_XDP(0x5, &(0x7f0000000700)={0xd, 0x4, &(0x7f00000004c0)=@framed={{}, [@call={0x85, 0x0, 0x0, 0x39}]}, &(0x7f0000000500)='syzkaller\x00', 0x5, 0x89, &(0x7f0000000540)=""/137, 0x0, 0x0, '\x00', 0x0, 0x25, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) [ 1323.746880][T17813] loop3: detected capacity change from 0 to 256 02:02:40 executing program 1: syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) syz_usb_connect$cdc_ncm(0x3, 0x8a, &(0x7f00000003c0)={{0x12, 0x1, 0x0, 0x2, 0x0, 0x0, 0x40, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x78, 0x2, 0x1, 0x0, 0x80, 0x0, {{0x9, 0x4, 0x0, 0x0, 0x1, 0x2, 0xd, 0x0, 0x0, {{0x5}, {0x5}, {0xd}, {0x6}, [@mdlm={0x15}, @dmm={0x7}]}, {{0x9, 0x5, 0x81, 0x3, 0x0, 0x3f}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x2, 0x2, 0xd, 0x0, 0x0, "", {{{0x9, 0x5, 0x82, 0x2, 0x0, 0x14}}, {{0x9, 0x5, 0x3, 0x2, 0x40, 0x3f, 0x0, 0x1}}}}}}}]}}, &(0x7f0000000580)={0x0, 0x0, 0x5, &(0x7f0000000080)={0x5, 0xf, 0x5}, 0x1, [{0x0, 0x0}]}) syz_genetlink_get_family_id$ieee802154(0x0, 0xffffffffffffffff) 02:02:40 executing program 2: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f00000000c0)=0x6) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file1\x00'}, 0x6e) pivot_root(0x0, &(0x7f00000009c0)='./bus\x00') move_mount(0xffffffffffffffff, 0x0, 0xffffffffffffffff, &(0x7f0000000980)='./bus\x00', 0x2) sendmmsg$unix(0xffffffffffffffff, &(0x7f00000bd000), 0x318, 0x0) connect$unix(0xffffffffffffffff, &(0x7f0000000180)=@abs={0x1, 0x0, 0x4e22}, 0x6e) recvmmsg(r1, &(0x7f0000000740)=[{{&(0x7f00000005c0)=@tipc, 0x80, &(0x7f0000000400)=[{&(0x7f0000001740)=""/4096, 0x1000}, {&(0x7f0000000640)=""/251, 0xfb}], 0x2}}], 0x40002db, 0x2, 0x0) r2 = socket$inet_icmp_raw(0x2, 0x3, 0x1) ioctl$sock_inet_SIOCSARP(r2, 0x8955, &(0x7f0000000040)={{0x2, 0x0, @dev}, {0x0, @link_local}, 0x4, {0x2, 0x0, @dev}, 'xfrm0\x00'}) bpf$MAP_CREATE(0x0, 0x0, 0x0) rt_sigqueueinfo(0x0, 0x0, &(0x7f0000001140)={0x0, 0x0, 0xfffff801}) sched_setaffinity(r0, 0x8, &(0x7f0000000500)=0x6) renameat2(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0xffffffffffffffff, &(0x7f00000003c0)='./bus\x00', 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f00000745c0)={0x0, [], 0x81}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)) socket$netlink(0x10, 0x3, 0x0) r3 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x11, 0x8, &(0x7f0000002340)=ANY=[@ANYBLOB="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"/3238], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00', r3}, 0x10) syz_mount_image$exfat(&(0x7f0000001500), &(0x7f0000000040)='./file0\x00', 0x20010080, &(0x7f0000000440)={[{@errors_remount}, {@umask={'umask', 0x3d, 0xcd}}, {@errors_remount}, {@errors_remount}, {@gid}, {@errors_continue}, {@errors_continue}, {}, {@discard}]}, 0x1, 0x14d7, &(0x7f0000001580)="$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") r4 = openat(0xffffffffffffff9c, &(0x7f0000000380)='./file1\x00', 0x141c42, 0x0) pwritev(r4, &(0x7f0000000100)=[{&(0x7f0000000240)="1f715fbb461189a09b1c59687d0b92a70c64c1c4ee571b63ebfddcf3c772d93e72f846345921d94ce2d0c112b33886f837e125fd8f4836655463bdbc874887194753d0440324107750403fda90063a2650b009261708395cb23bcd327a28335bf8c9fca2869602997953794e998aed15bbae33b32f5832099b42aeabfc32de598d9425fc1fdc15a40bf781372da0086c1a7b2d99acca3017fe2ab464a62b75addd79cd44f0c91bb04a17c8ca3d3191b98b6567fd085c2ae5471d4750d3ef734424b9e5cddf2f1e207a47e28e05c7b47d", 0xd0}, {0x0}], 0x2, 0x2, 0x3100) [ 1323.817941][ T4735] usb 5-1: new high-speed USB device number 19 using dummy_hcd [ 1323.843293][T17813] exFAT-fs (loop3): failed to load upcase table (idx : 0x00010000, chksum : 0x4f9d53fa, utbl_chksum : 0xe619d30d) [ 1324.016140][T17821] loop2: detected capacity change from 0 to 256 [ 1324.035236][T17821] exFAT-fs (loop2): failed to load upcase table (idx : 0x00010000, chksum : 0x4f9d53fa, utbl_chksum : 0xe619d30d) [ 1324.213392][ T4735] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 63, changing to 9 [ 1324.224804][ T4735] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 1324.243014][ T4735] usb 5-1: config 1 interface 1 altsetting 1 endpoint 0x82 has invalid wMaxPacketSize 0 [ 1324.253237][ T5081] usb 2-1: new high-speed USB device number 6 using dummy_hcd [ 1324.266245][ T4735] usb 5-1: config 1 interface 1 altsetting 1 bulk endpoint 0x82 has invalid maxpacket 0 [ 1324.276681][ T4735] usb 5-1: config 1 interface 1 altsetting 1 bulk endpoint 0x3 has invalid maxpacket 64 02:02:41 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f00000000c0)=0x6) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file1\x00'}, 0x6e) pivot_root(0x0, &(0x7f00000009c0)='./bus\x00') move_mount(0xffffffffffffffff, 0x0, 0xffffffffffffffff, &(0x7f0000000980)='./bus\x00', 0x2) sendmmsg$unix(0xffffffffffffffff, &(0x7f00000bd000), 0x318, 0x0) connect$unix(0xffffffffffffffff, &(0x7f0000000180)=@abs={0x1, 0x0, 0x4e22}, 0x6e) recvmmsg(r1, &(0x7f0000000740)=[{{&(0x7f00000005c0)=@tipc, 0x80, &(0x7f0000000400)=[{&(0x7f0000001740)=""/4096, 0x1000}, {&(0x7f0000000640)=""/251, 0xfb}], 0x2}}], 0x40002db, 0x2, 0x0) r2 = socket$inet_icmp_raw(0x2, 0x3, 0x1) ioctl$sock_inet_SIOCSARP(r2, 0x8955, &(0x7f0000000040)={{0x2, 0x0, @dev}, {0x0, @link_local}, 0x4, {0x2, 0x0, @dev}, 'xfrm0\x00'}) bpf$MAP_CREATE(0x0, 0x0, 0x0) rt_sigqueueinfo(0x0, 0x0, &(0x7f0000001140)={0x0, 0x0, 0xfffff801}) sched_setaffinity(r0, 0x8, &(0x7f0000000500)=0x6) renameat2(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0xffffffffffffffff, &(0x7f00000003c0)='./bus\x00', 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f00000745c0)={0x0, [], 0x81}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)) socket$netlink(0x10, 0x3, 0x0) r3 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x11, 0x8, &(0x7f0000002340)=ANY=[@ANYBLOB="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"/3238], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00', r3}, 0x10) syz_mount_image$exfat(&(0x7f0000001500), &(0x7f0000000040)='./file0\x00', 0x20010080, &(0x7f0000000440)={[{@errors_remount}, {@umask={'umask', 0x3d, 0xcd}}, {@errors_remount}, {@errors_remount}, {@gid}, {@errors_continue}, {@errors_continue}, {}, {@discard}]}, 0x1, 0x14d7, &(0x7f0000001580)="$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") r4 = openat(0xffffffffffffff9c, &(0x7f0000000380)='./file1\x00', 0x141c42, 0x0) pwritev(r4, &(0x7f0000000100)=[{&(0x7f0000000240)="1f715fbb461189a09b1c59687d0b92a70c64c1c4ee571b63ebfddcf3c772d93e72f846345921d94ce2d0c112b33886f837e125fd8f4836655463bdbc874887194753d0440324107750403fda90063a2650b009261708395cb23bcd327a28335bf8c9fca2869602997953794e998aed15bbae33b32f5832099b42aeabfc32de598d9425fc1fdc15a40bf781372da0086c1a7b2d99acca3017fe2ab464a62b75addd79cd44f0c91bb04a17c8ca3d3191b98b6567fd085c2ae5471d4750d3ef734424b9e5cddf2f1e207a47e28e05c7b47d", 0xd0}, {0x0}], 0x2, 0x2, 0x3100) [ 1324.483878][T17824] loop0: detected capacity change from 0 to 256 [ 1324.556841][ T4735] usb 5-1: string descriptor 0 read error: -22 [ 1324.563656][ T4735] usb 5-1: New USB device found, idVendor=0525, idProduct=a4a1, bcdDevice= 0.40 [ 1324.573840][ T4735] usb 5-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 1324.584223][T17824] exFAT-fs (loop0): failed to load upcase table (idx : 0x00010000, chksum : 0x4f9d53fa, utbl_chksum : 0xe619d30d) [ 1324.666971][ T5081] usb 2-1: config 1 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 63, changing to 9 [ 1324.679683][ T5081] usb 2-1: config 1 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 1324.689872][ T5081] usb 2-1: config 1 interface 1 altsetting 1 endpoint 0x82 has invalid wMaxPacketSize 0 [ 1324.700191][ T5081] usb 2-1: config 1 interface 1 altsetting 1 bulk endpoint 0x82 has invalid maxpacket 0 [ 1324.710314][ T5081] usb 2-1: config 1 interface 1 altsetting 1 bulk endpoint 0x3 has invalid maxpacket 64 [ 1324.906478][ T4735] cdc_ncm 5-1:1.0: bind() failure [ 1324.914538][ T4735] cdc_ncm 5-1:1.1: CDC Union missing and no IAD found [ 1324.923175][ T4735] cdc_ncm 5-1:1.1: bind() failure [ 1324.932591][ T4735] usb 5-1: USB disconnect, device number 19 [ 1324.976399][ T5081] usb 2-1: string descriptor 0 read error: -22 [ 1324.983397][ T5081] usb 2-1: New USB device found, idVendor=0525, idProduct=a4a1, bcdDevice= 0.40 [ 1324.993176][ T5081] usb 2-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 1325.316542][ T5081] cdc_ncm 2-1:1.0: bind() failure [ 1325.324106][ T5081] cdc_ncm 2-1:1.1: CDC Union missing and no IAD found [ 1325.332426][ T5081] cdc_ncm 2-1:1.1: bind() failure [ 1325.342425][ T5081] usb 2-1: USB disconnect, device number 6 02:02:42 executing program 4: syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) syz_usb_connect$cdc_ncm(0x3, 0x8a, &(0x7f00000003c0)={{0x12, 0x1, 0x0, 0x2, 0x0, 0x0, 0x40, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x78, 0x2, 0x1, 0x0, 0x80, 0x0, {{0x9, 0x4, 0x0, 0x0, 0x1, 0x2, 0xd, 0x0, 0x0, {{0x5}, {0x5}, {0xd}, {0x6}, [@mdlm={0x15}, @dmm={0x7}]}, {{0x9, 0x5, 0x81, 0x3, 0x0, 0x3f}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x2, 0x2, 0xd, 0x0, 0x0, "", {{{0x9, 0x5, 0x82, 0x2, 0x0, 0x14}}, {{0x9, 0x5, 0x3, 0x2, 0x40, 0x3f, 0x0, 0x1}}}}}}}]}}, &(0x7f0000000580)={0x0, 0x0, 0x5, &(0x7f0000000080)={0x5, 0xf, 0x5}, 0x1, [{0x0, 0x0}]}) syz_genetlink_get_family_id$ieee802154(0x0, 0xffffffffffffffff) 02:02:42 executing program 5: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f00000000c0)=0x6) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file1\x00'}, 0x6e) pivot_root(0x0, &(0x7f00000009c0)='./bus\x00') move_mount(0xffffffffffffffff, 0x0, 0xffffffffffffffff, &(0x7f0000000980)='./bus\x00', 0x2) sendmmsg$unix(0xffffffffffffffff, &(0x7f00000bd000), 0x318, 0x0) connect$unix(0xffffffffffffffff, &(0x7f0000000180)=@abs={0x1, 0x0, 0x4e22}, 0x6e) recvmmsg(r1, &(0x7f0000000740)=[{{&(0x7f00000005c0)=@tipc, 0x80, &(0x7f0000000400)=[{&(0x7f0000001740)=""/4096, 0x1000}, {&(0x7f0000000640)=""/251, 0xfb}], 0x2}}], 0x40002db, 0x2, 0x0) r2 = socket$inet_icmp_raw(0x2, 0x3, 0x1) ioctl$sock_inet_SIOCSARP(r2, 0x8955, &(0x7f0000000040)={{0x2, 0x0, @dev}, {0x0, @link_local}, 0x4, {0x2, 0x0, @dev}, 'xfrm0\x00'}) bpf$MAP_CREATE(0x0, 0x0, 0x0) rt_sigqueueinfo(0x0, 0x0, &(0x7f0000001140)={0x0, 0x0, 0xfffff801}) sched_setaffinity(r0, 0x8, &(0x7f0000000500)=0x6) renameat2(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0xffffffffffffffff, &(0x7f00000003c0)='./bus\x00', 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f00000745c0)={0x0, [], 0x81}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)) socket$netlink(0x10, 0x3, 0x0) r3 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x11, 0x8, &(0x7f0000002340)=ANY=[@ANYBLOB="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"/3238], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00', r3}, 0x10) syz_mount_image$exfat(&(0x7f0000001500), &(0x7f0000000040)='./file0\x00', 0x20010080, &(0x7f0000000440)={[{@errors_remount}, {@umask={'umask', 0x3d, 0xcd}}, {@errors_remount}, {@errors_remount}, {@gid}, {@errors_continue}, {@errors_continue}, {}, {@discard}]}, 0x1, 0x14d7, &(0x7f0000001580)="$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") r4 = openat(0xffffffffffffff9c, &(0x7f0000000380)='./file1\x00', 0x141c42, 0x0) pwritev(r4, &(0x7f0000000100)=[{&(0x7f0000000240)="1f715fbb461189a09b1c59687d0b92a70c64c1c4ee571b63ebfddcf3c772d93e72f846345921d94ce2d0c112b33886f837e125fd8f4836655463bdbc874887194753d0440324107750403fda90063a2650b009261708395cb23bcd327a28335bf8c9fca2869602997953794e998aed15bbae33b32f5832099b42aeabfc32de598d9425fc1fdc15a40bf781372da0086c1a7b2d99acca3017fe2ab464a62b75addd79cd44f0c91bb04a17c8ca3d3191b98b6567fd085c2ae5471d4750d3ef734424b9e5cddf2f1e207a47e28e05c7b47d", 0xd0}, {0x0}], 0x2, 0x2, 0x3100) 02:02:42 executing program 3: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f00000000c0)=0x6) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file1\x00'}, 0x6e) pivot_root(0x0, &(0x7f00000009c0)='./bus\x00') move_mount(0xffffffffffffffff, 0x0, 0xffffffffffffffff, &(0x7f0000000980)='./bus\x00', 0x2) sendmmsg$unix(0xffffffffffffffff, &(0x7f00000bd000), 0x318, 0x0) connect$unix(0xffffffffffffffff, &(0x7f0000000180)=@abs={0x1, 0x0, 0x4e22}, 0x6e) recvmmsg(r1, &(0x7f0000000740)=[{{&(0x7f00000005c0)=@tipc, 0x80, &(0x7f0000000400)=[{&(0x7f0000001740)=""/4096, 0x1000}, {&(0x7f0000000640)=""/251, 0xfb}], 0x2}}], 0x40002db, 0x2, 0x0) r2 = socket$inet_icmp_raw(0x2, 0x3, 0x1) ioctl$sock_inet_SIOCSARP(r2, 0x8955, &(0x7f0000000040)={{0x2, 0x0, @dev}, {0x0, @link_local}, 0x4, {0x2, 0x0, @dev}, 'xfrm0\x00'}) bpf$MAP_CREATE(0x0, 0x0, 0x0) rt_sigqueueinfo(0x0, 0x0, &(0x7f0000001140)={0x0, 0x0, 0xfffff801}) sched_setaffinity(r0, 0x8, &(0x7f0000000500)=0x6) renameat2(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0xffffffffffffffff, &(0x7f00000003c0)='./bus\x00', 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f00000745c0)={0x0, [], 0x81}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)) socket$netlink(0x10, 0x3, 0x0) r3 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x11, 0x8, &(0x7f0000002340)=ANY=[@ANYBLOB="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"/3238], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00', r3}, 0x10) syz_mount_image$exfat(&(0x7f0000001500), &(0x7f0000000040)='./file0\x00', 0x20010080, &(0x7f0000000440)={[{@errors_remount}, {@umask={'umask', 0x3d, 0xcd}}, {@errors_remount}, {@errors_remount}, {@gid}, {@errors_continue}, {@errors_continue}, {}, {@discard}]}, 0x1, 0x14d7, &(0x7f0000001580)="$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") r4 = openat(0xffffffffffffff9c, &(0x7f0000000380)='./file1\x00', 0x141c42, 0x0) pwritev(r4, &(0x7f0000000100)=[{&(0x7f0000000240)="1f715fbb461189a09b1c59687d0b92a70c64c1c4ee571b63ebfddcf3c772d93e72f846345921d94ce2d0c112b33886f837e125fd8f4836655463bdbc874887194753d0440324107750403fda90063a2650b009261708395cb23bcd327a28335bf8c9fca2869602997953794e998aed15bbae33b32f5832099b42aeabfc32de598d9425fc1fdc15a40bf781372da0086c1a7b2d99acca3017fe2ab464a62b75addd79cd44f0c91bb04a17c8ca3d3191b98b6567fd085c2ae5471d4750d3ef734424b9e5cddf2f1e207a47e28e05c7b47d", 0xd0}, {0x0}], 0x2, 0x2, 0x3100) 02:02:42 executing program 2: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f00000000c0)=0x6) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file1\x00'}, 0x6e) pivot_root(0x0, &(0x7f00000009c0)='./bus\x00') move_mount(0xffffffffffffffff, 0x0, 0xffffffffffffffff, &(0x7f0000000980)='./bus\x00', 0x2) sendmmsg$unix(0xffffffffffffffff, &(0x7f00000bd000), 0x318, 0x0) connect$unix(0xffffffffffffffff, &(0x7f0000000180)=@abs={0x1, 0x0, 0x4e22}, 0x6e) recvmmsg(r1, &(0x7f0000000740)=[{{&(0x7f00000005c0)=@tipc, 0x80, &(0x7f0000000400)=[{&(0x7f0000001740)=""/4096, 0x1000}, {&(0x7f0000000640)=""/251, 0xfb}], 0x2}}], 0x40002db, 0x2, 0x0) r2 = socket$inet_icmp_raw(0x2, 0x3, 0x1) ioctl$sock_inet_SIOCSARP(r2, 0x8955, &(0x7f0000000040)={{0x2, 0x0, @dev}, {0x0, @link_local}, 0x4, {0x2, 0x0, @dev}, 'xfrm0\x00'}) bpf$MAP_CREATE(0x0, 0x0, 0x0) rt_sigqueueinfo(0x0, 0x0, &(0x7f0000001140)={0x0, 0x0, 0xfffff801}) sched_setaffinity(r0, 0x8, &(0x7f0000000500)=0x6) renameat2(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0xffffffffffffffff, &(0x7f00000003c0)='./bus\x00', 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f00000745c0)={0x0, [], 0x81}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)) socket$netlink(0x10, 0x3, 0x0) r3 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x11, 0x8, &(0x7f0000002340)=ANY=[@ANYBLOB="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"/3238], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00', r3}, 0x10) syz_mount_image$exfat(&(0x7f0000001500), &(0x7f0000000040)='./file0\x00', 0x20010080, &(0x7f0000000440)={[{@errors_remount}, {@umask={'umask', 0x3d, 0xcd}}, {@errors_remount}, {@errors_remount}, {@gid}, {@errors_continue}, {@errors_continue}, {}, {@discard}]}, 0x1, 0x14d7, &(0x7f0000001580)="$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") r4 = openat(0xffffffffffffff9c, &(0x7f0000000380)='./file1\x00', 0x141c42, 0x0) pwritev(r4, &(0x7f0000000100)=[{&(0x7f0000000240)="1f715fbb461189a09b1c59687d0b92a70c64c1c4ee571b63ebfddcf3c772d93e72f846345921d94ce2d0c112b33886f837e125fd8f4836655463bdbc874887194753d0440324107750403fda90063a2650b009261708395cb23bcd327a28335bf8c9fca2869602997953794e998aed15bbae33b32f5832099b42aeabfc32de598d9425fc1fdc15a40bf781372da0086c1a7b2d99acca3017fe2ab464a62b75addd79cd44f0c91bb04a17c8ca3d3191b98b6567fd085c2ae5471d4750d3ef734424b9e5cddf2f1e207a47e28e05c7b47d", 0xd0}, {0x0}], 0x2, 0x2, 0x3100) 02:02:42 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f00000000c0)=0x6) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file1\x00'}, 0x6e) pivot_root(0x0, &(0x7f00000009c0)='./bus\x00') move_mount(0xffffffffffffffff, 0x0, 0xffffffffffffffff, &(0x7f0000000980)='./bus\x00', 0x2) sendmmsg$unix(0xffffffffffffffff, &(0x7f00000bd000), 0x318, 0x0) connect$unix(0xffffffffffffffff, &(0x7f0000000180)=@abs={0x1, 0x0, 0x4e22}, 0x6e) recvmmsg(r1, &(0x7f0000000740)=[{{&(0x7f00000005c0)=@tipc, 0x80, &(0x7f0000000400)=[{&(0x7f0000001740)=""/4096, 0x1000}, {&(0x7f0000000640)=""/251, 0xfb}], 0x2}}], 0x40002db, 0x2, 0x0) r2 = socket$inet_icmp_raw(0x2, 0x3, 0x1) ioctl$sock_inet_SIOCSARP(r2, 0x8955, &(0x7f0000000040)={{0x2, 0x0, @dev}, {0x0, @link_local}, 0x4, {0x2, 0x0, @dev}, 'xfrm0\x00'}) bpf$MAP_CREATE(0x0, 0x0, 0x0) rt_sigqueueinfo(0x0, 0x0, &(0x7f0000001140)={0x0, 0x0, 0xfffff801}) sched_setaffinity(r0, 0x8, &(0x7f0000000500)=0x6) renameat2(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0xffffffffffffffff, &(0x7f00000003c0)='./bus\x00', 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f00000745c0)={0x0, [], 0x81}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)) socket$netlink(0x10, 0x3, 0x0) r3 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x11, 0x8, &(0x7f0000002340)=ANY=[@ANYBLOB="620af8ff25200021bfa100000000000007010000f8ffffffb702000003000000bd120000000000008500000006000000b70000000000000095000000000000003faf4f2aa3d9b18ed812a2e2c49e8020a6f4e0e4a9446c7670568982b4e020f698393aa0f3881f9c24aa56f15199fa093c59d66b5ece9f36c70d0f13905ea23c22624c9f87f9793f50bb546040677b0c5077da80fb982c1e9400e693146cea484a415bd1966118b64f751a0f241b072e90080008002d75593a286cecc93e64c227c95aa0b7845e6b607130c89f18c016089d8b853289d01aa27ae82e61b0f9223684198e1148f49faf2ad0000000000000026fa03c6468972089b302d7bf6023cdcedb5e0125ebbc08dee510cb2364145835108333719acd97cfa107d40224edc5465a932b77e74e802a0d42bc6099ad2300000080006ef6c1ff0900000000000010c63a949e8b7955394ffa82b8e942c89112f4ab87b1bfeda7be586602d985430cea0162ab3fcf4591c926abfb076719237c8d0e60b0eea24492a660583eecdbf5bcd3de3a83209da17a0faf60fd6ad9b97aa5fa68480366c9c6fd6fa5043aa3926b81e3b59c95c25a573dc2edcaea2b1a52496dfcaf99431412fd134a996382a1a04d5bb924cfe5f3185418d605ffff9c4d2ec7c32f2095e68242aff9fa740b5b7632f32030916f89c6dad7603f2ba2a790d62d6faec2fed44da4928b30142ba11de6c5d50b83bae613402216b5054d1e7c13b1355d6f4a8245ffa4997da9c77af4c0eb97fca585ec6bf58351d564beb6d952aab9c70764b0a8a7583c90b3433b809bdb9fbd48bc873495cbff8a326eea31ae4e0f7505ebf6c9d13330ca006bce1a84521f14518c9b476fccbd6c712016219848624b87cec2dbe98223a0eb4fa39f6b5c02e6d6d90756ff57902a8f57000000009700cf0b4b8bc2294133000000000000000000030000ee70cb646400000000000000000000009711e6e8861c46495ba585a4b2d02edc3e28dd271c896249ed85b980680b000020435ac15fc0288d9b2a169cdcacc413b48dafb7a2c8cb482bac0ac502d9ba96ffffff7f0000100000000000007d5ad897ef3b7cda42013d53046da21b40216e14ba2d6ad5656bfff17addaedab25b30002abbba7fa725f38400be7c1f001b2cd317902f19e385be9e48dccff729433282830689da6b53b263339863297771429d120000003341bf4abacac95900fca0493cf29b33dcc9ffffffffffffffd39fec2271ff01589646efd1cf870cd7bb2366fde41f94290c2a5ff870ce5dfd3467decb05cfd9fcb32c8ed1dbd9d10a64c1083d5e71b5565b1748ee58969c41595229df17bcad70fb4021428ce970275d13b78100788f11f761038b75d4fe32b561d46ea3abe0fa4d30dc94ef241875f3b4ce0232fcea69c271d7fa29822aea68a660e717a04becff0f719197724f4fce1093b62d7e8c7123d8ec571be54c72d978cf906df0042e36acd37d7f9e119f2c06f815312e0cfe222a06f56dd022c074eb8a322fb0bf47c0a8d154b405c37feaf3dd95f6ef2acd1fe582786105c7df8be5877050c91320bb997316dbf17866fb84d4173731efe895ff2e1c55609a6e90109b598502d3e959efc71f665c4d75cf2458e3542c9062ece84c99a061887a20639b41c8c12ee86c50804042b3eac1f879b13634c31da2c25cf67ca3fb5aac518a75f9e7d7101d5e186c489b3a06fb99e0aa7f23a054de2f4d92d6bd72ee2c9fdc75aaaf1e3e483b4ad05573af40326993947d9a631bcbf3583784acbda216550d7aec6b79e30cbd128f54c2d3335457acf37331766e472391e358c3b377327ac9ecc34f24c9ae153ece0ac0694dc55bff9f5f4df90400000000ddffffd5b2c5ea1393fdf24285bf16b99c9cc0ad1857216f1a985f369191ae954febb3df464bfe0f7f3ee9afe7befb89d2777399f5874c553aeb3729cffe86e66964ae09bb6d163118e4cbe024fd4500f8ff0700000000cc9d8046c216c1f895778cb25122a2a998de44aeadea2a40b6e59f211fad9fe3da8daccf080842a486721737390cbf3a74cb2003016f1514216bdf57d2a40d40b51ab63e96ec8485b3b8a8c9ae3d14f93100c2e0893862eef552fcde2981f48c486e4a4304e50c349f4f9ecee27defc93871c5f99b355b72d538ba4958ea8e4aa37094191e10096e7e60fc3541a2c905a1a95e9571bf38ae1981c4238ecaee6f75cd0a6881bd1517a8250df98674152f94e32409e2a3bce109b60000000000000000d6d5210d7560eb92d6a97a27602b81f76386f1535bef1497f92186086e29c6bc5a1fad6ec9a31137abf9a404abde7750898b1bd627e87306703be8672d70d1ab57075228a9f46ed9bd1f08fb8191bbab2dc51de3a61f0868afc4294859323e7a45319f18101288d139bd3da20fed05a8fe64680b0a3fc22dd704e4214de5946912d6c98cd1a9fbe1e7d58c08acaf30235b918a31d2eca55f74a23641f61f2d5b308cf0d031b0c7f0ced699f5f76015e6006e56237badf4e7965bbe2777e808fcba821aa8e8c5c39609ff854356cb4900000000000000000000000000c1fee30a3f7a85d1b29e58c77685efc0ceb1c8e5729c66418d169fc03aa188546b3ad2a182068e1e3a0e2505bc7f41019645466ac96e0d4b3bc19faa5449209b0a3dbd334b47f067bbab40743b2a428f1da1f68df75cf43f8ecc8d3726602111b40e761fd21081920382f14d122a7cca3c3431ee97471c7868dcda7eaa69eb7f7f80572fdd11bb1d0d1280fbc22bf73468788df51710db8f3e5c7ebfd6d7d31c632fc5ed1762eb0b428ee751c47d8e894f745a868404a0bf35f0121008b722b1eaa6aed7a1bf2e7ccb2d61d5d76331945e20fa26b8471d42645288d7226bbd9c9e9e1cc9eb3d541e407cc2dae5e690cd628ab84875f2c50ba891cea599b079b4b4ba686fcdf240430a537a395dc73bda367bf12cb7d81643a5fe8c47be395656a297e9df0e71f96756ea5cce7daac4be290159f6bcd75f0dda9de553101cae9e48b0ed1254a83100000000f6fbb869604d51a36a54c832e45b2569dc0d90b075225f728d44d0973171ad47d6b70ebc660309e1e245b00001743af932cd6db49a47613808bad959719c0000000000378a921c7f7f6933bee24c7e8000f2c9e8095e02985f28e678f66422436f949e2ab8f162d7e3f855e378f4a1f40b0c6fb2d4b205a800b6d713acebc5b014e61a543a5a194f9ac18d76b5440e3b1a569e7397f6cafa86966d7ba19e720413267a6ccea9c439671d2c680f2753ca184eeeb843450368acb4383a01d25eb3d1e23e000000000000037010632f95a5f622f851c66ee7e30393cd7a4d67ff2a49c4f93c0984b5c2d4523497e4d64f95f08493564a1df87111c9bf3194fef96ccecc467acc45feeb685c5870d05f88a0f463db88d377442e1349acaf766218b54a9d624778e1c4cba6e6390a9f302c6eb2df7766411bef0ebb5000000000006065d6735eb7a00e127cf72748a028daf5fc4d4e6d5265bec44219ee8fbfe86f441c724fa7b3d1ff0555e63ad00a1c7f9f9af10154f1e109dc3f7dd87ea308a1fb5a983490c6c93610864623613dabec4c0b64461d21f807515d8fadec636c99aa95ff895c25aad5ac0993a65c7668ca2b6d46edbad410df7390d27e4ddc8f47d5a918b14da4ec07c8199259b8e3dd36de9b35ce25d39686f2470afb1b1db18221841cee6e5531280d65f1d28886e0f06856a5ca37a91ea6e19977c517b10fb66858a05b03084d1f3bd5542d2796a33cfe545be3dc03d302e4839492cdc7694142e48f23271787d3a2360996ca3c9b18000000000700000000000000000000004882ce2e7a68512b23b0ab1f7a6c960bd002984955dc620614f97a234c8e1df96d5e7a67c8d26cd7a4bbacc4a086c2cb5a9af5fab1f01e2b7cf653f9d25f942b1cff6d738e17df64464fbc9d89911829458645ef2d2d23f55eb1b09855cc74d29cbca2aeff07a9bf56c3fa68a7d71aad094d5d968ad88fdda027c65e434e9a6bc68ec751d6d21fa471ac8646d714ce68f1f46f6ec4c1e87d720385be6f3a70fe730ccad42a9051cd07f356023e855e5acd5ec7d990cebcac66cbd3229d18511bfa1e3d2c82af72932cfd875584d0fc2daff4dfebe41c37494b8136a37f12caecba3e09a31a00410ff161089935db30d853b0150445d088da47c170155cd0cc863f4efc2bd7e2246916e0322494eb7bbc0f6c4efeac3d49b5318c410ddd8892aae7e22a558acfc4c2c08d54bd8f64469c43feaf6c9d49e701af9471f9d0cc02ed80f05f0a196bf4695cec437bea2d62515882d856c8a70f8f158da9e89472655529a4e87fc7e0b5e743080d59d747d4377e7e9d1d62b1d08eb1f051412b309208c8be79f66271b4ebd6800688955132ecc654d0e3bcb258b1da03b77cb17d2f4c1e557462f0a710b68056f3e272000d3bf4f49631f8d3677e5803ea1e52727c69afe25f0905a1dfaa0ffc168601e0fdfaeff35269e24ba5675504f0c4f735cf00"/3238], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00', r3}, 0x10) syz_mount_image$exfat(&(0x7f0000001500), &(0x7f0000000040)='./file0\x00', 0x20010080, &(0x7f0000000440)={[{@errors_remount}, {@umask={'umask', 0x3d, 0xcd}}, {@errors_remount}, {@errors_remount}, {@gid}, {@errors_continue}, {@errors_continue}, {}, {@discard}]}, 0x1, 0x14d7, &(0x7f0000001580)="$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") r4 = openat(0xffffffffffffff9c, &(0x7f0000000380)='./file1\x00', 0x141c42, 0x0) pwritev(r4, &(0x7f0000000100)=[{&(0x7f0000000240)="1f715fbb461189a09b1c59687d0b92a70c64c1c4ee571b63ebfddcf3c772d93e72f846345921d94ce2d0c112b33886f837e125fd8f4836655463bdbc874887194753d0440324107750403fda90063a2650b009261708395cb23bcd327a28335bf8c9fca2869602997953794e998aed15bbae33b32f5832099b42aeabfc32de598d9425fc1fdc15a40bf781372da0086c1a7b2d99acca3017fe2ab464a62b75addd79cd44f0c91bb04a17c8ca3d3191b98b6567fd085c2ae5471d4750d3ef734424b9e5cddf2f1e207a47e28e05c7b47d", 0xd0}, {0x0}], 0x2, 0x2, 0x3100) [ 1325.536824][T17836] loop5: detected capacity change from 0 to 256 [ 1325.548571][T17835] loop2: detected capacity change from 0 to 256 [ 1325.556728][T17838] loop0: detected capacity change from 0 to 256 [ 1325.557627][T17837] loop3: detected capacity change from 0 to 256 [ 1325.575004][T17836] exFAT-fs (loop5): failed to load upcase table (idx : 0x00010000, chksum : 0x4f9d53fa, utbl_chksum : 0xe619d30d) [ 1325.583246][T17835] exFAT-fs (loop2): failed to load upcase table (idx : 0x00010000, chksum : 0x4f9d53fa, utbl_chksum : 0xe619d30d) [ 1325.608098][T17838] exFAT-fs (loop0): failed to load upcase table (idx : 0x00010000, chksum : 0x4f9d53fa, utbl_chksum : 0xe619d30d) [ 1325.659792][T17837] exFAT-fs (loop3): failed to load upcase table (idx : 0x00010000, chksum : 0x4f9d53fa, utbl_chksum : 0xe619d30d) 02:02:42 executing program 1: syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) syz_usb_connect$cdc_ncm(0x3, 0x8a, &(0x7f00000003c0)={{0x12, 0x1, 0x0, 0x2, 0x0, 0x0, 0x40, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x78, 0x2, 0x1, 0x0, 0x80, 0x0, {{0x9, 0x4, 0x0, 0x0, 0x1, 0x2, 0xd, 0x0, 0x0, {{0x5}, {0x5}, {0xd}, {0x6}, [@mdlm={0x15}, @dmm={0x7}]}, {{0x9, 0x5, 0x81, 0x3, 0x0, 0x3f}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x2, 0x2, 0xd, 0x0, 0x0, "", {{{0x9, 0x5, 0x82, 0x2, 0x0, 0x14}}, {{0x9, 0x5, 0x3, 0x2, 0x40, 0x3f, 0x0, 0x1}}}}}}}]}}, &(0x7f0000000580)={0x0, 0x0, 0x5, &(0x7f0000000080)={0x5, 0xf, 0x5}, 0x1, [{0x0, 0x0}]}) syz_genetlink_get_family_id$ieee802154(0x0, 0xffffffffffffffff) [ 1325.766273][ T8] usb 5-1: new high-speed USB device number 20 using dummy_hcd [ 1326.126235][ T4735] usb 2-1: new high-speed USB device number 7 using dummy_hcd [ 1326.126468][ T8] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 63, changing to 9 [ 1326.150131][ T8] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 1326.160174][ T8] usb 5-1: config 1 interface 1 altsetting 1 endpoint 0x82 has invalid wMaxPacketSize 0 [ 1326.174506][ T8] usb 5-1: config 1 interface 1 altsetting 1 bulk endpoint 0x82 has invalid maxpacket 0 [ 1326.184732][ T8] usb 5-1: config 1 interface 1 altsetting 1 bulk endpoint 0x3 has invalid maxpacket 64 02:02:43 executing program 3: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f00000000c0)=0x6) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file1\x00'}, 0x6e) pivot_root(0x0, &(0x7f00000009c0)='./bus\x00') move_mount(0xffffffffffffffff, 0x0, 0xffffffffffffffff, &(0x7f0000000980)='./bus\x00', 0x2) sendmmsg$unix(0xffffffffffffffff, &(0x7f00000bd000), 0x318, 0x0) connect$unix(0xffffffffffffffff, &(0x7f0000000180)=@abs={0x1, 0x0, 0x4e22}, 0x6e) recvmmsg(r1, &(0x7f0000000740)=[{{&(0x7f00000005c0)=@tipc, 0x80, &(0x7f0000000400)=[{&(0x7f0000001740)=""/4096, 0x1000}, {&(0x7f0000000640)=""/251, 0xfb}], 0x2}}], 0x40002db, 0x2, 0x0) r2 = socket$inet_icmp_raw(0x2, 0x3, 0x1) ioctl$sock_inet_SIOCSARP(r2, 0x8955, &(0x7f0000000040)={{0x2, 0x0, @dev}, {0x0, @link_local}, 0x4, {0x2, 0x0, @dev}, 'xfrm0\x00'}) bpf$MAP_CREATE(0x0, 0x0, 0x0) rt_sigqueueinfo(0x0, 0x0, &(0x7f0000001140)={0x0, 0x0, 0xfffff801}) sched_setaffinity(r0, 0x8, &(0x7f0000000500)=0x6) renameat2(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0xffffffffffffffff, &(0x7f00000003c0)='./bus\x00', 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f00000745c0)={0x0, [], 0x81}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)) socket$netlink(0x10, 0x3, 0x0) r3 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x11, 0x8, &(0x7f0000002340)=ANY=[@ANYBLOB="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"/3238], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00', r3}, 0x10) syz_mount_image$exfat(&(0x7f0000001500), &(0x7f0000000040)='./file0\x00', 0x20010080, &(0x7f0000000440)={[{@errors_remount}, {@umask={'umask', 0x3d, 0xcd}}, {@errors_remount}, {@errors_remount}, {@gid}, {@errors_continue}, {@errors_continue}, {}, {@discard}]}, 0x1, 0x14d7, &(0x7f0000001580)="$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") r4 = openat(0xffffffffffffff9c, &(0x7f0000000380)='./file1\x00', 0x141c42, 0x0) pwritev(r4, &(0x7f0000000100)=[{&(0x7f0000000240)="1f715fbb461189a09b1c59687d0b92a70c64c1c4ee571b63ebfddcf3c772d93e72f846345921d94ce2d0c112b33886f837e125fd8f4836655463bdbc874887194753d0440324107750403fda90063a2650b009261708395cb23bcd327a28335bf8c9fca2869602997953794e998aed15bbae33b32f5832099b42aeabfc32de598d9425fc1fdc15a40bf781372da0086c1a7b2d99acca3017fe2ab464a62b75addd79cd44f0c91bb04a17c8ca3d3191b98b6567fd085c2ae5471d4750d3ef734424b9e5cddf2f1e207a47e28e05c7b47d", 0xd0}, {0x0}], 0x2, 0x2, 0x3100) 02:02:43 executing program 5: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f00000000c0)=0x6) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file1\x00'}, 0x6e) pivot_root(0x0, &(0x7f00000009c0)='./bus\x00') move_mount(0xffffffffffffffff, 0x0, 0xffffffffffffffff, &(0x7f0000000980)='./bus\x00', 0x2) sendmmsg$unix(0xffffffffffffffff, &(0x7f00000bd000), 0x318, 0x0) connect$unix(0xffffffffffffffff, &(0x7f0000000180)=@abs={0x1, 0x0, 0x4e22}, 0x6e) recvmmsg(r1, &(0x7f0000000740)=[{{&(0x7f00000005c0)=@tipc, 0x80, &(0x7f0000000400)=[{&(0x7f0000001740)=""/4096, 0x1000}, {&(0x7f0000000640)=""/251, 0xfb}], 0x2}}], 0x40002db, 0x2, 0x0) r2 = socket$inet_icmp_raw(0x2, 0x3, 0x1) ioctl$sock_inet_SIOCSARP(r2, 0x8955, &(0x7f0000000040)={{0x2, 0x0, @dev}, {0x0, @link_local}, 0x4, {0x2, 0x0, @dev}, 'xfrm0\x00'}) bpf$MAP_CREATE(0x0, 0x0, 0x0) rt_sigqueueinfo(0x0, 0x0, &(0x7f0000001140)={0x0, 0x0, 0xfffff801}) sched_setaffinity(r0, 0x8, &(0x7f0000000500)=0x6) renameat2(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0xffffffffffffffff, &(0x7f00000003c0)='./bus\x00', 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f00000745c0)={0x0, [], 0x81}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)) socket$netlink(0x10, 0x3, 0x0) r3 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x11, 0x8, &(0x7f0000002340)=ANY=[@ANYBLOB="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"/3238], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00', r3}, 0x10) syz_mount_image$exfat(&(0x7f0000001500), &(0x7f0000000040)='./file0\x00', 0x20010080, &(0x7f0000000440)={[{@errors_remount}, {@umask={'umask', 0x3d, 0xcd}}, {@errors_remount}, {@errors_remount}, {@gid}, {@errors_continue}, {@errors_continue}, {}, {@discard}]}, 0x1, 0x14d7, &(0x7f0000001580)="$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") r4 = openat(0xffffffffffffff9c, &(0x7f0000000380)='./file1\x00', 0x141c42, 0x0) pwritev(r4, &(0x7f0000000100)=[{&(0x7f0000000240)="1f715fbb461189a09b1c59687d0b92a70c64c1c4ee571b63ebfddcf3c772d93e72f846345921d94ce2d0c112b33886f837e125fd8f4836655463bdbc874887194753d0440324107750403fda90063a2650b009261708395cb23bcd327a28335bf8c9fca2869602997953794e998aed15bbae33b32f5832099b42aeabfc32de598d9425fc1fdc15a40bf781372da0086c1a7b2d99acca3017fe2ab464a62b75addd79cd44f0c91bb04a17c8ca3d3191b98b6567fd085c2ae5471d4750d3ef734424b9e5cddf2f1e207a47e28e05c7b47d", 0xd0}, {0x0}], 0x2, 0x2, 0x3100) 02:02:43 executing program 2: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f00000000c0)=0x6) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file1\x00'}, 0x6e) pivot_root(0x0, &(0x7f00000009c0)='./bus\x00') move_mount(0xffffffffffffffff, 0x0, 0xffffffffffffffff, &(0x7f0000000980)='./bus\x00', 0x2) sendmmsg$unix(0xffffffffffffffff, &(0x7f00000bd000), 0x318, 0x0) connect$unix(0xffffffffffffffff, &(0x7f0000000180)=@abs={0x1, 0x0, 0x4e22}, 0x6e) recvmmsg(r1, &(0x7f0000000740)=[{{&(0x7f00000005c0)=@tipc, 0x80, &(0x7f0000000400)=[{&(0x7f0000001740)=""/4096, 0x1000}, {&(0x7f0000000640)=""/251, 0xfb}], 0x2}}], 0x40002db, 0x2, 0x0) r2 = socket$inet_icmp_raw(0x2, 0x3, 0x1) ioctl$sock_inet_SIOCSARP(r2, 0x8955, &(0x7f0000000040)={{0x2, 0x0, @dev}, {0x0, @link_local}, 0x4, {0x2, 0x0, @dev}, 'xfrm0\x00'}) bpf$MAP_CREATE(0x0, 0x0, 0x0) rt_sigqueueinfo(0x0, 0x0, &(0x7f0000001140)={0x0, 0x0, 0xfffff801}) sched_setaffinity(r0, 0x8, &(0x7f0000000500)=0x6) renameat2(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0xffffffffffffffff, &(0x7f00000003c0)='./bus\x00', 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f00000745c0)={0x0, [], 0x81}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)) socket$netlink(0x10, 0x3, 0x0) r3 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x11, 0x8, &(0x7f0000002340)=ANY=[@ANYBLOB="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"/3238], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00', r3}, 0x10) syz_mount_image$exfat(&(0x7f0000001500), &(0x7f0000000040)='./file0\x00', 0x20010080, &(0x7f0000000440)={[{@errors_remount}, {@umask={'umask', 0x3d, 0xcd}}, {@errors_remount}, {@errors_remount}, {@gid}, {@errors_continue}, {@errors_continue}, {}, {@discard}]}, 0x1, 0x14d7, &(0x7f0000001580)="$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") r4 = openat(0xffffffffffffff9c, &(0x7f0000000380)='./file1\x00', 0x141c42, 0x0) pwritev(r4, &(0x7f0000000100)=[{&(0x7f0000000240)="1f715fbb461189a09b1c59687d0b92a70c64c1c4ee571b63ebfddcf3c772d93e72f846345921d94ce2d0c112b33886f837e125fd8f4836655463bdbc874887194753d0440324107750403fda90063a2650b009261708395cb23bcd327a28335bf8c9fca2869602997953794e998aed15bbae33b32f5832099b42aeabfc32de598d9425fc1fdc15a40bf781372da0086c1a7b2d99acca3017fe2ab464a62b75addd79cd44f0c91bb04a17c8ca3d3191b98b6567fd085c2ae5471d4750d3ef734424b9e5cddf2f1e207a47e28e05c7b47d", 0xd0}, {0x0}], 0x2, 0x2, 0x3100) 02:02:43 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f00000000c0)=0x6) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file1\x00'}, 0x6e) pivot_root(0x0, &(0x7f00000009c0)='./bus\x00') move_mount(0xffffffffffffffff, 0x0, 0xffffffffffffffff, &(0x7f0000000980)='./bus\x00', 0x2) sendmmsg$unix(0xffffffffffffffff, &(0x7f00000bd000), 0x318, 0x0) connect$unix(0xffffffffffffffff, &(0x7f0000000180)=@abs={0x1, 0x0, 0x4e22}, 0x6e) recvmmsg(r1, &(0x7f0000000740)=[{{&(0x7f00000005c0)=@tipc, 0x80, &(0x7f0000000400)=[{&(0x7f0000001740)=""/4096, 0x1000}, {&(0x7f0000000640)=""/251, 0xfb}], 0x2}}], 0x40002db, 0x2, 0x0) r2 = socket$inet_icmp_raw(0x2, 0x3, 0x1) ioctl$sock_inet_SIOCSARP(r2, 0x8955, &(0x7f0000000040)={{0x2, 0x0, @dev}, {0x0, @link_local}, 0x4, {0x2, 0x0, @dev}, 'xfrm0\x00'}) bpf$MAP_CREATE(0x0, 0x0, 0x0) rt_sigqueueinfo(0x0, 0x0, &(0x7f0000001140)={0x0, 0x0, 0xfffff801}) sched_setaffinity(r0, 0x8, &(0x7f0000000500)=0x6) renameat2(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0xffffffffffffffff, &(0x7f00000003c0)='./bus\x00', 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f00000745c0)={0x0, [], 0x81}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)) socket$netlink(0x10, 0x3, 0x0) r3 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x11, 0x8, &(0x7f0000002340)=ANY=[@ANYBLOB="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"/3238], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00', r3}, 0x10) syz_mount_image$exfat(&(0x7f0000001500), &(0x7f0000000040)='./file0\x00', 0x20010080, &(0x7f0000000440)={[{@errors_remount}, {@umask={'umask', 0x3d, 0xcd}}, {@errors_remount}, {@errors_remount}, {@gid}, {@errors_continue}, {@errors_continue}, {}, {@discard}]}, 0x1, 0x14d7, &(0x7f0000001580)="$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") r4 = openat(0xffffffffffffff9c, &(0x7f0000000380)='./file1\x00', 0x141c42, 0x0) pwritev(r4, &(0x7f0000000100)=[{&(0x7f0000000240)="1f715fbb461189a09b1c59687d0b92a70c64c1c4ee571b63ebfddcf3c772d93e72f846345921d94ce2d0c112b33886f837e125fd8f4836655463bdbc874887194753d0440324107750403fda90063a2650b009261708395cb23bcd327a28335bf8c9fca2869602997953794e998aed15bbae33b32f5832099b42aeabfc32de598d9425fc1fdc15a40bf781372da0086c1a7b2d99acca3017fe2ab464a62b75addd79cd44f0c91bb04a17c8ca3d3191b98b6567fd085c2ae5471d4750d3ef734424b9e5cddf2f1e207a47e28e05c7b47d", 0xd0}, {0x0}], 0x2, 0x2, 0x3100) [ 1326.436565][ T8] usb 5-1: string descriptor 0 read error: -22 [ 1326.444070][ T8] usb 5-1: New USB device found, idVendor=0525, idProduct=a4a1, bcdDevice= 0.40 [ 1326.475232][ T8] usb 5-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 1326.546482][ T4735] usb 2-1: config 1 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 63, changing to 9 [ 1326.565781][T17849] loop5: detected capacity change from 0 to 256 [ 1326.568175][T17851] loop3: detected capacity change from 0 to 256 [ 1326.573526][ T4735] usb 2-1: config 1 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 1326.592855][ T4735] usb 2-1: config 1 interface 1 altsetting 1 endpoint 0x82 has invalid wMaxPacketSize 0 [ 1326.601599][T17849] exFAT-fs (loop5): failed to load upcase table (idx : 0x00010000, chksum : 0x4f9d53fa, utbl_chksum : 0xe619d30d) [ 1326.613848][T17852] loop2: detected capacity change from 0 to 256 [ 1326.622197][T17850] loop0: detected capacity change from 0 to 256 [ 1326.624875][T17851] exFAT-fs (loop3): failed to load upcase table (idx : 0x00010000, chksum : 0x4f9d53fa, utbl_chksum : 0xe619d30d) [ 1326.630162][ T4735] usb 2-1: config 1 interface 1 altsetting 1 bulk endpoint 0x82 has invalid maxpacket 0 [ 1326.694153][ T4735] usb 2-1: config 1 interface 1 altsetting 1 bulk endpoint 0x3 has invalid maxpacket 64 [ 1326.697480][T17850] exFAT-fs (loop0): failed to load upcase table (idx : 0x00010000, chksum : 0x4f9d53fa, utbl_chksum : 0xe619d30d) [ 1326.730613][T17852] exFAT-fs (loop2): failed to load upcase table (idx : 0x00010000, chksum : 0x4f9d53fa, utbl_chksum : 0xe619d30d) [ 1326.782446][ T8] cdc_ncm 5-1:1.0: bind() failure [ 1326.793531][ T8] cdc_ncm 5-1:1.1: CDC Union missing and no IAD found [ 1326.816009][ T8] cdc_ncm 5-1:1.1: bind() failure [ 1326.838923][ T8] usb 5-1: USB disconnect, device number 20 [ 1327.006326][ T4735] usb 2-1: string descriptor 0 read error: -22 [ 1327.013034][ T4735] usb 2-1: New USB device found, idVendor=0525, idProduct=a4a1, bcdDevice= 0.40 [ 1327.022632][ T4735] usb 2-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 02:02:44 executing program 5: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f00000000c0)=0x6) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file1\x00'}, 0x6e) pivot_root(0x0, &(0x7f00000009c0)='./bus\x00') move_mount(0xffffffffffffffff, 0x0, 0xffffffffffffffff, &(0x7f0000000980)='./bus\x00', 0x2) sendmmsg$unix(0xffffffffffffffff, &(0x7f00000bd000), 0x318, 0x0) connect$unix(0xffffffffffffffff, &(0x7f0000000180)=@abs={0x1, 0x0, 0x4e22}, 0x6e) recvmmsg(r1, &(0x7f0000000740)=[{{&(0x7f00000005c0)=@tipc, 0x80, &(0x7f0000000400)=[{&(0x7f0000001740)=""/4096, 0x1000}, {&(0x7f0000000640)=""/251, 0xfb}], 0x2}}], 0x40002db, 0x2, 0x0) r2 = socket$inet_icmp_raw(0x2, 0x3, 0x1) ioctl$sock_inet_SIOCSARP(r2, 0x8955, &(0x7f0000000040)={{0x2, 0x0, @dev}, {0x0, @link_local}, 0x4, {0x2, 0x0, @dev}, 'xfrm0\x00'}) bpf$MAP_CREATE(0x0, 0x0, 0x0) rt_sigqueueinfo(0x0, 0x0, &(0x7f0000001140)={0x0, 0x0, 0xfffff801}) sched_setaffinity(r0, 0x8, &(0x7f0000000500)=0x6) renameat2(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0xffffffffffffffff, &(0x7f00000003c0)='./bus\x00', 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f00000745c0)={0x0, [], 0x81}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)) socket$netlink(0x10, 0x3, 0x0) r3 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x11, 0x8, &(0x7f0000002340)=ANY=[@ANYBLOB="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"/3238], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00', r3}, 0x10) syz_mount_image$exfat(&(0x7f0000001500), &(0x7f0000000040)='./file0\x00', 0x20010080, &(0x7f0000000440)={[{@errors_remount}, {@umask={'umask', 0x3d, 0xcd}}, {@errors_remount}, {@errors_remount}, {@gid}, {@errors_continue}, {@errors_continue}, {}, {@discard}]}, 0x1, 0x14d7, &(0x7f0000001580)="$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") r4 = openat(0xffffffffffffff9c, &(0x7f0000000380)='./file1\x00', 0x141c42, 0x0) pwritev(r4, &(0x7f0000000100)=[{&(0x7f0000000240)="1f715fbb461189a09b1c59687d0b92a70c64c1c4ee571b63ebfddcf3c772d93e72f846345921d94ce2d0c112b33886f837e125fd8f4836655463bdbc874887194753d0440324107750403fda90063a2650b009261708395cb23bcd327a28335bf8c9fca2869602997953794e998aed15bbae33b32f5832099b42aeabfc32de598d9425fc1fdc15a40bf781372da0086c1a7b2d99acca3017fe2ab464a62b75addd79cd44f0c91bb04a17c8ca3d3191b98b6567fd085c2ae5471d4750d3ef734424b9e5cddf2f1e207a47e28e05c7b47d", 0xd0}, {0x0}], 0x2, 0x2, 0x3100) [ 1327.306500][ T4735] cdc_ncm 2-1:1.0: bind() failure 02:02:44 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f00000000c0)=0x6) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file1\x00'}, 0x6e) pivot_root(0x0, &(0x7f00000009c0)='./bus\x00') move_mount(0xffffffffffffffff, 0x0, 0xffffffffffffffff, &(0x7f0000000980)='./bus\x00', 0x2) sendmmsg$unix(0xffffffffffffffff, &(0x7f00000bd000), 0x318, 0x0) connect$unix(0xffffffffffffffff, &(0x7f0000000180)=@abs={0x1, 0x0, 0x4e22}, 0x6e) recvmmsg(r1, &(0x7f0000000740)=[{{&(0x7f00000005c0)=@tipc, 0x80, &(0x7f0000000400)=[{&(0x7f0000001740)=""/4096, 0x1000}, {&(0x7f0000000640)=""/251, 0xfb}], 0x2}}], 0x40002db, 0x2, 0x0) r2 = socket$inet_icmp_raw(0x2, 0x3, 0x1) ioctl$sock_inet_SIOCSARP(r2, 0x8955, &(0x7f0000000040)={{0x2, 0x0, @dev}, {0x0, @link_local}, 0x4, {0x2, 0x0, @dev}, 'xfrm0\x00'}) bpf$MAP_CREATE(0x0, 0x0, 0x0) rt_sigqueueinfo(0x0, 0x0, &(0x7f0000001140)={0x0, 0x0, 0xfffff801}) sched_setaffinity(r0, 0x8, &(0x7f0000000500)=0x6) renameat2(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0xffffffffffffffff, &(0x7f00000003c0)='./bus\x00', 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f00000745c0)={0x0, [], 0x81}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)) socket$netlink(0x10, 0x3, 0x0) r3 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x11, 0x8, &(0x7f0000002340)=ANY=[@ANYBLOB="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"/3238], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00', r3}, 0x10) syz_mount_image$exfat(&(0x7f0000001500), &(0x7f0000000040)='./file0\x00', 0x20010080, &(0x7f0000000440)={[{@errors_remount}, {@umask={'umask', 0x3d, 0xcd}}, {@errors_remount}, {@errors_remount}, {@gid}, {@errors_continue}, {@errors_continue}, {}, {@discard}]}, 0x1, 0x14d7, &(0x7f0000001580)="$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") r4 = openat(0xffffffffffffff9c, &(0x7f0000000380)='./file1\x00', 0x141c42, 0x0) pwritev(r4, &(0x7f0000000100)=[{&(0x7f0000000240)="1f715fbb461189a09b1c59687d0b92a70c64c1c4ee571b63ebfddcf3c772d93e72f846345921d94ce2d0c112b33886f837e125fd8f4836655463bdbc874887194753d0440324107750403fda90063a2650b009261708395cb23bcd327a28335bf8c9fca2869602997953794e998aed15bbae33b32f5832099b42aeabfc32de598d9425fc1fdc15a40bf781372da0086c1a7b2d99acca3017fe2ab464a62b75addd79cd44f0c91bb04a17c8ca3d3191b98b6567fd085c2ae5471d4750d3ef734424b9e5cddf2f1e207a47e28e05c7b47d", 0xd0}, {0x0}], 0x2, 0x2, 0x3100) 02:02:44 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$gtp(&(0x7f0000000380), 0xffffffffffffffff) sendmsg$GTP_CMD_NEWPDP(r0, &(0x7f0000000480)={0x0, 0x0, &(0x7f0000000440)={&(0x7f00000003c0)={0x24, r1, 0x1, 0x0, 0x0, {0x3}, [@GTPA_VERSION={0x8}, @GTPA_LINK={0x8}]}, 0x24}}, 0x0) [ 1327.329900][ T4735] cdc_ncm 2-1:1.1: CDC Union missing and no IAD found [ 1327.360182][ T4735] cdc_ncm 2-1:1.1: bind() failure 02:02:44 executing program 2: r0 = syz_open_dev$tty20(0xc, 0x4, 0x1) syz_genetlink_get_family_id$team(&(0x7f0000000080), 0xffffffffffffffff) ioctl$TIOCL_SETVESABLANK(r0, 0x541c, &(0x7f0000000080)) 02:02:44 executing program 3: socket$nl_generic(0x10, 0x3, 0x10) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$loop(&(0x7f00000001c0), 0x0, 0x103382) r1 = memfd_create(&(0x7f0000000300)='U\xffA\x05\xd6\xe7\xfc\xa3\xb0\x04\xe2\x1b\\by\xdc2\xe3ZoL\x14\xa7\x8c\'\xa7\xcf\xff\xf0+9\xac\\\xa4\xa5=\\\xcc\xf6\xc4R\x88A\x94\xe0\xff\xff\xffX\x91\x1au\x83V\xc1H\xd3\rZ\x01!b\xc0\xaeW\xc5\x1f\xec\xfd\xe3\xc1\a\xeb\\,\x98P \xf2\xfe\xc5\xf9\xd4\x9a\x16\xa6\xb2\xde\x82\xa8\xf9\xcb{\xa9m\x83\xfb\x84\x8e\xef\xe3#\xcf$Df\x89\xe2?`\x96E=-\xd6\x91,4yEE\x1d\x81\x9c\x00\x00\x00\x00\x00\x001N\x1a\xff\xff\xff\xff\x00\x00\x00\x00\x00\x00\x00\xcc\xb8o7\t\x0e4$Lb\xf9e\x8dv\xd0Y\x86\x18\v\xd6\xf9\\-\xdc\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\a4\xf2\x8c\x19\x1e\xf0\xb5_\x93\x98\xb8\xcc\xc2\xc6\x15?\xa44\xd4\x12\xed\xa1\xf1!\xbch\x94\xd6\xf0\x98u\xd0#\x95y\x10\x06^\xdf\x0563\x9dX\xdcI\x06\xfe6\x1e^\"\x90\xbf\xa7&\xa3\xb5\x8d\\\xcf\xa1T\v\xd1\x92oV\x8d\xbcGd\x82\xaeD\xe0D\x10\xa9\xdb[\\eN\xbc\xb26-\xcd\xeat\xfa)\xc8\x00\x91<0\xbcf\x8b\xd5\xdb\xd9AC\xf5Z4h\x1a', 0x0) unshare(0x22000400) unshare(0x722cdcaace7d584f) pwritev(r1, &(0x7f0000000540)=[{&(0x7f0000000040)="3f9ebe2395457034", 0x8}], 0x1, 0x81806, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) write$binfmt_script(r1, &(0x7f0000000fc0)=ANY=[@ANYBLOB], 0x46) ioctl$LOOP_CHANGE_FD(r0, 0x4c00, r1) sendfile(0xffffffffffffffff, r1, 0x0, 0x100000000000042) connect$unix(0xffffffffffffffff, &(0x7f0000000080)=@abs={0x0, 0x0, 0x4e20}, 0x6e) unshare(0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, 0x0) sendmsg$NL80211_CMD_GET_WOWLAN(0xffffffffffffffff, &(0x7f0000000f80)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000f40)={&(0x7f0000000f00)={0x1c, 0x0, 0x20, 0x70bd29, 0x0, {{}, {@val={0x8}, @void, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4004000}, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$LOOP_CHANGE_FD(0xffffffffffffffff, 0x4c06, 0xffffffffffffffff) openat(0xffffffffffffffff, 0x0, 0x103, 0x23) ioctl$LOOP_SET_STATUS(r0, 0x4c02, &(0x7f0000000940)={0x0, {}, 0x0, {}, 0x0, 0x0, 0x0, 0xb9c, "1dcc79dc871c727f5161ac2a8e4cc9840bf9758bd451be073ffc9a88295eb61f59ed7b505b740380e9e52408f09a3a51eb40921a93b564681049851448ebb8ba", "3ffc41dee2559d50df9129b097ffb6a24732ffe375f19b9d3e5ca6831952a1c9"}) ioctl$LOOP_CLR_FD(r0, 0x4c01) [ 1327.388477][ T4735] usb 2-1: USB disconnect, device number 7 02:02:44 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$gtp(&(0x7f0000000380), 0xffffffffffffffff) sendmsg$GTP_CMD_NEWPDP(r0, &(0x7f0000000480)={0x0, 0x0, &(0x7f0000000440)={&(0x7f00000003c0)={0x24, r1, 0x1, 0x0, 0x0, {0x3}, [@GTPA_VERSION={0x8}, @GTPA_LINK={0x8}]}, 0x24}}, 0x0) 02:02:44 executing program 2: r0 = syz_open_dev$tty20(0xc, 0x4, 0x1) syz_genetlink_get_family_id$team(&(0x7f0000000080), 0xffffffffffffffff) ioctl$TIOCL_SETVESABLANK(r0, 0x541c, &(0x7f0000000080)) [ 1327.568852][T17865] loop5: detected capacity change from 0 to 256 [ 1327.590374][T17864] loop0: detected capacity change from 0 to 1036 [ 1327.627628][T17865] exFAT-fs (loop5): failed to load upcase table (idx : 0x00010000, chksum : 0x4f9d53fa, utbl_chksum : 0xe619d30d) [ 1327.735148][T17704] I/O error, dev loop0, sector 32 op 0x0:(READ) flags 0x80700 phys_seg 1 prio class 2 02:02:44 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$gtp(&(0x7f0000000380), 0xffffffffffffffff) sendmsg$GTP_CMD_NEWPDP(r0, &(0x7f0000000480)={0x0, 0x0, &(0x7f0000000440)={&(0x7f00000003c0)={0x24, r1, 0x1, 0x0, 0x0, {0x3}, [@GTPA_VERSION={0x8}, @GTPA_LINK={0x8}]}, 0x24}}, 0x0) 02:02:44 executing program 2: r0 = syz_open_dev$tty20(0xc, 0x4, 0x1) syz_genetlink_get_family_id$team(&(0x7f0000000080), 0xffffffffffffffff) ioctl$TIOCL_SETVESABLANK(r0, 0x541c, &(0x7f0000000080)) 02:02:44 executing program 3: socket$nl_generic(0x10, 0x3, 0x10) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$loop(&(0x7f00000001c0), 0x0, 0x103382) r1 = memfd_create(&(0x7f0000000300)='U\xffA\x05\xd6\xe7\xfc\xa3\xb0\x04\xe2\x1b\\by\xdc2\xe3ZoL\x14\xa7\x8c\'\xa7\xcf\xff\xf0+9\xac\\\xa4\xa5=\\\xcc\xf6\xc4R\x88A\x94\xe0\xff\xff\xffX\x91\x1au\x83V\xc1H\xd3\rZ\x01!b\xc0\xaeW\xc5\x1f\xec\xfd\xe3\xc1\a\xeb\\,\x98P \xf2\xfe\xc5\xf9\xd4\x9a\x16\xa6\xb2\xde\x82\xa8\xf9\xcb{\xa9m\x83\xfb\x84\x8e\xef\xe3#\xcf$Df\x89\xe2?`\x96E=-\xd6\x91,4yEE\x1d\x81\x9c\x00\x00\x00\x00\x00\x001N\x1a\xff\xff\xff\xff\x00\x00\x00\x00\x00\x00\x00\xcc\xb8o7\t\x0e4$Lb\xf9e\x8dv\xd0Y\x86\x18\v\xd6\xf9\\-\xdc\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\a4\xf2\x8c\x19\x1e\xf0\xb5_\x93\x98\xb8\xcc\xc2\xc6\x15?\xa44\xd4\x12\xed\xa1\xf1!\xbch\x94\xd6\xf0\x98u\xd0#\x95y\x10\x06^\xdf\x0563\x9dX\xdcI\x06\xfe6\x1e^\"\x90\xbf\xa7&\xa3\xb5\x8d\\\xcf\xa1T\v\xd1\x92oV\x8d\xbcGd\x82\xaeD\xe0D\x10\xa9\xdb[\\eN\xbc\xb26-\xcd\xeat\xfa)\xc8\x00\x91<0\xbcf\x8b\xd5\xdb\xd9AC\xf5Z4h\x1a', 0x0) unshare(0x22000400) unshare(0x722cdcaace7d584f) pwritev(r1, &(0x7f0000000540)=[{&(0x7f0000000040)="3f9ebe2395457034", 0x8}], 0x1, 0x81806, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) write$binfmt_script(r1, &(0x7f0000000fc0)=ANY=[@ANYBLOB], 0x46) ioctl$LOOP_CHANGE_FD(r0, 0x4c00, r1) sendfile(0xffffffffffffffff, r1, 0x0, 0x100000000000042) connect$unix(0xffffffffffffffff, &(0x7f0000000080)=@abs={0x0, 0x0, 0x4e20}, 0x6e) unshare(0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, 0x0) sendmsg$NL80211_CMD_GET_WOWLAN(0xffffffffffffffff, &(0x7f0000000f80)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000f40)={&(0x7f0000000f00)={0x1c, 0x0, 0x20, 0x70bd29, 0x0, {{}, {@val={0x8}, @void, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4004000}, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$LOOP_CHANGE_FD(0xffffffffffffffff, 0x4c06, 0xffffffffffffffff) openat(0xffffffffffffffff, 0x0, 0x103, 0x23) ioctl$LOOP_SET_STATUS(r0, 0x4c02, &(0x7f0000000940)={0x0, {}, 0x0, {}, 0x0, 0x0, 0x0, 0xb9c, "1dcc79dc871c727f5161ac2a8e4cc9840bf9758bd451be073ffc9a88295eb61f59ed7b505b740380e9e52408f09a3a51eb40921a93b564681049851448ebb8ba", "3ffc41dee2559d50df9129b097ffb6a24732ffe375f19b9d3e5ca6831952a1c9"}) ioctl$LOOP_CLR_FD(r0, 0x4c01) 02:02:44 executing program 1: socket$nl_generic(0x10, 0x3, 0x10) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$loop(&(0x7f00000001c0), 0x0, 0x103382) r1 = memfd_create(&(0x7f0000000300)='U\xffA\x05\xd6\xe7\xfc\xa3\xb0\x04\xe2\x1b\\by\xdc2\xe3ZoL\x14\xa7\x8c\'\xa7\xcf\xff\xf0+9\xac\\\xa4\xa5=\\\xcc\xf6\xc4R\x88A\x94\xe0\xff\xff\xffX\x91\x1au\x83V\xc1H\xd3\rZ\x01!b\xc0\xaeW\xc5\x1f\xec\xfd\xe3\xc1\a\xeb\\,\x98P \xf2\xfe\xc5\xf9\xd4\x9a\x16\xa6\xb2\xde\x82\xa8\xf9\xcb{\xa9m\x83\xfb\x84\x8e\xef\xe3#\xcf$Df\x89\xe2?`\x96E=-\xd6\x91,4yEE\x1d\x81\x9c\x00\x00\x00\x00\x00\x001N\x1a\xff\xff\xff\xff\x00\x00\x00\x00\x00\x00\x00\xcc\xb8o7\t\x0e4$Lb\xf9e\x8dv\xd0Y\x86\x18\v\xd6\xf9\\-\xdc\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\a4\xf2\x8c\x19\x1e\xf0\xb5_\x93\x98\xb8\xcc\xc2\xc6\x15?\xa44\xd4\x12\xed\xa1\xf1!\xbch\x94\xd6\xf0\x98u\xd0#\x95y\x10\x06^\xdf\x0563\x9dX\xdcI\x06\xfe6\x1e^\"\x90\xbf\xa7&\xa3\xb5\x8d\\\xcf\xa1T\v\xd1\x92oV\x8d\xbcGd\x82\xaeD\xe0D\x10\xa9\xdb[\\eN\xbc\xb26-\xcd\xeat\xfa)\xc8\x00\x91<0\xbcf\x8b\xd5\xdb\xd9AC\xf5Z4h\x1a', 0x0) unshare(0x22000400) unshare(0x722cdcaace7d584f) pwritev(r1, &(0x7f0000000540)=[{&(0x7f0000000040)="3f9ebe2395457034", 0x8}], 0x1, 0x81806, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) write$binfmt_script(r1, &(0x7f0000000fc0)=ANY=[@ANYBLOB], 0x46) ioctl$LOOP_CHANGE_FD(r0, 0x4c00, r1) sendfile(0xffffffffffffffff, r1, 0x0, 0x100000000000042) connect$unix(0xffffffffffffffff, &(0x7f0000000080)=@abs={0x0, 0x0, 0x4e20}, 0x6e) unshare(0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, 0x0) sendmsg$NL80211_CMD_GET_WOWLAN(0xffffffffffffffff, &(0x7f0000000f80)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000f40)={&(0x7f0000000f00)={0x1c, 0x0, 0x20, 0x70bd29, 0x0, {{}, {@val={0x8}, @void, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4004000}, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$LOOP_CHANGE_FD(0xffffffffffffffff, 0x4c06, 0xffffffffffffffff) openat(0xffffffffffffffff, 0x0, 0x103, 0x23) ioctl$LOOP_SET_STATUS(r0, 0x4c02, &(0x7f0000000940)={0x0, {}, 0x0, {}, 0x0, 0x0, 0x0, 0xb9c, "1dcc79dc871c727f5161ac2a8e4cc9840bf9758bd451be073ffc9a88295eb61f59ed7b505b740380e9e52408f09a3a51eb40921a93b564681049851448ebb8ba", "3ffc41dee2559d50df9129b097ffb6a24732ffe375f19b9d3e5ca6831952a1c9"}) ioctl$LOOP_CLR_FD(r0, 0x4c01) 02:02:44 executing program 4: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$gtp(&(0x7f0000000380), 0xffffffffffffffff) sendmsg$GTP_CMD_NEWPDP(r0, &(0x7f0000000480)={0x0, 0x0, &(0x7f0000000440)={&(0x7f00000003c0)={0x24, r1, 0x1, 0x0, 0x0, {0x3}, [@GTPA_VERSION={0x8}, @GTPA_LINK={0x8}]}, 0x24}}, 0x0) [ 1327.959806][T17877] loop0: detected capacity change from 0 to 1036 02:02:45 executing program 4: socket$nl_generic(0x10, 0x3, 0x10) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$loop(&(0x7f00000001c0), 0x0, 0x103382) r1 = memfd_create(&(0x7f0000000300)='U\xffA\x05\xd6\xe7\xfc\xa3\xb0\x04\xe2\x1b\\by\xdc2\xe3ZoL\x14\xa7\x8c\'\xa7\xcf\xff\xf0+9\xac\\\xa4\xa5=\\\xcc\xf6\xc4R\x88A\x94\xe0\xff\xff\xffX\x91\x1au\x83V\xc1H\xd3\rZ\x01!b\xc0\xaeW\xc5\x1f\xec\xfd\xe3\xc1\a\xeb\\,\x98P \xf2\xfe\xc5\xf9\xd4\x9a\x16\xa6\xb2\xde\x82\xa8\xf9\xcb{\xa9m\x83\xfb\x84\x8e\xef\xe3#\xcf$Df\x89\xe2?`\x96E=-\xd6\x91,4yEE\x1d\x81\x9c\x00\x00\x00\x00\x00\x001N\x1a\xff\xff\xff\xff\x00\x00\x00\x00\x00\x00\x00\xcc\xb8o7\t\x0e4$Lb\xf9e\x8dv\xd0Y\x86\x18\v\xd6\xf9\\-\xdc\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\a4\xf2\x8c\x19\x1e\xf0\xb5_\x93\x98\xb8\xcc\xc2\xc6\x15?\xa44\xd4\x12\xed\xa1\xf1!\xbch\x94\xd6\xf0\x98u\xd0#\x95y\x10\x06^\xdf\x0563\x9dX\xdcI\x06\xfe6\x1e^\"\x90\xbf\xa7&\xa3\xb5\x8d\\\xcf\xa1T\v\xd1\x92oV\x8d\xbcGd\x82\xaeD\xe0D\x10\xa9\xdb[\\eN\xbc\xb26-\xcd\xeat\xfa)\xc8\x00\x91<0\xbcf\x8b\xd5\xdb\xd9AC\xf5Z4h\x1a', 0x0) unshare(0x22000400) unshare(0x722cdcaace7d584f) pwritev(r1, &(0x7f0000000540)=[{&(0x7f0000000040)="3f9ebe2395457034", 0x8}], 0x1, 0x81806, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) write$binfmt_script(r1, &(0x7f0000000fc0)=ANY=[@ANYBLOB], 0x46) ioctl$LOOP_CHANGE_FD(r0, 0x4c00, r1) sendfile(0xffffffffffffffff, r1, 0x0, 0x100000000000042) connect$unix(0xffffffffffffffff, &(0x7f0000000080)=@abs={0x0, 0x0, 0x4e20}, 0x6e) unshare(0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, 0x0) sendmsg$NL80211_CMD_GET_WOWLAN(0xffffffffffffffff, &(0x7f0000000f80)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000f40)={&(0x7f0000000f00)={0x1c, 0x0, 0x20, 0x70bd29, 0x0, {{}, {@val={0x8}, @void, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4004000}, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$LOOP_CHANGE_FD(0xffffffffffffffff, 0x4c06, 0xffffffffffffffff) openat(0xffffffffffffffff, 0x0, 0x103, 0x23) ioctl$LOOP_SET_STATUS(r0, 0x4c02, &(0x7f0000000940)={0x0, {}, 0x0, {}, 0x0, 0x0, 0x0, 0xb9c, "1dcc79dc871c727f5161ac2a8e4cc9840bf9758bd451be073ffc9a88295eb61f59ed7b505b740380e9e52408f09a3a51eb40921a93b564681049851448ebb8ba", "3ffc41dee2559d50df9129b097ffb6a24732ffe375f19b9d3e5ca6831952a1c9"}) ioctl$LOOP_CLR_FD(r0, 0x4c01) 02:02:45 executing program 2: r0 = syz_open_dev$tty20(0xc, 0x4, 0x1) syz_genetlink_get_family_id$team(&(0x7f0000000080), 0xffffffffffffffff) ioctl$TIOCL_SETVESABLANK(r0, 0x541c, &(0x7f0000000080)) 02:02:45 executing program 1: socket$nl_generic(0x10, 0x3, 0x10) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$loop(&(0x7f00000001c0), 0x0, 0x103382) r1 = memfd_create(&(0x7f0000000300)='U\xffA\x05\xd6\xe7\xfc\xa3\xb0\x04\xe2\x1b\\by\xdc2\xe3ZoL\x14\xa7\x8c\'\xa7\xcf\xff\xf0+9\xac\\\xa4\xa5=\\\xcc\xf6\xc4R\x88A\x94\xe0\xff\xff\xffX\x91\x1au\x83V\xc1H\xd3\rZ\x01!b\xc0\xaeW\xc5\x1f\xec\xfd\xe3\xc1\a\xeb\\,\x98P \xf2\xfe\xc5\xf9\xd4\x9a\x16\xa6\xb2\xde\x82\xa8\xf9\xcb{\xa9m\x83\xfb\x84\x8e\xef\xe3#\xcf$Df\x89\xe2?`\x96E=-\xd6\x91,4yEE\x1d\x81\x9c\x00\x00\x00\x00\x00\x001N\x1a\xff\xff\xff\xff\x00\x00\x00\x00\x00\x00\x00\xcc\xb8o7\t\x0e4$Lb\xf9e\x8dv\xd0Y\x86\x18\v\xd6\xf9\\-\xdc\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\a4\xf2\x8c\x19\x1e\xf0\xb5_\x93\x98\xb8\xcc\xc2\xc6\x15?\xa44\xd4\x12\xed\xa1\xf1!\xbch\x94\xd6\xf0\x98u\xd0#\x95y\x10\x06^\xdf\x0563\x9dX\xdcI\x06\xfe6\x1e^\"\x90\xbf\xa7&\xa3\xb5\x8d\\\xcf\xa1T\v\xd1\x92oV\x8d\xbcGd\x82\xaeD\xe0D\x10\xa9\xdb[\\eN\xbc\xb26-\xcd\xeat\xfa)\xc8\x00\x91<0\xbcf\x8b\xd5\xdb\xd9AC\xf5Z4h\x1a', 0x0) unshare(0x22000400) unshare(0x722cdcaace7d584f) pwritev(r1, &(0x7f0000000540)=[{&(0x7f0000000040)="3f9ebe2395457034", 0x8}], 0x1, 0x81806, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) write$binfmt_script(r1, &(0x7f0000000fc0)=ANY=[@ANYBLOB], 0x46) ioctl$LOOP_CHANGE_FD(r0, 0x4c00, r1) sendfile(0xffffffffffffffff, r1, 0x0, 0x100000000000042) connect$unix(0xffffffffffffffff, &(0x7f0000000080)=@abs={0x0, 0x0, 0x4e20}, 0x6e) unshare(0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, 0x0) sendmsg$NL80211_CMD_GET_WOWLAN(0xffffffffffffffff, &(0x7f0000000f80)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000f40)={&(0x7f0000000f00)={0x1c, 0x0, 0x20, 0x70bd29, 0x0, {{}, {@val={0x8}, @void, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4004000}, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$LOOP_CHANGE_FD(0xffffffffffffffff, 0x4c06, 0xffffffffffffffff) openat(0xffffffffffffffff, 0x0, 0x103, 0x23) ioctl$LOOP_SET_STATUS(r0, 0x4c02, &(0x7f0000000940)={0x0, {}, 0x0, {}, 0x0, 0x0, 0x0, 0xb9c, "1dcc79dc871c727f5161ac2a8e4cc9840bf9758bd451be073ffc9a88295eb61f59ed7b505b740380e9e52408f09a3a51eb40921a93b564681049851448ebb8ba", "3ffc41dee2559d50df9129b097ffb6a24732ffe375f19b9d3e5ca6831952a1c9"}) ioctl$LOOP_CLR_FD(r0, 0x4c01) 02:02:45 executing program 3: socket$nl_generic(0x10, 0x3, 0x10) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$loop(&(0x7f00000001c0), 0x0, 0x103382) r1 = memfd_create(&(0x7f0000000300)='U\xffA\x05\xd6\xe7\xfc\xa3\xb0\x04\xe2\x1b\\by\xdc2\xe3ZoL\x14\xa7\x8c\'\xa7\xcf\xff\xf0+9\xac\\\xa4\xa5=\\\xcc\xf6\xc4R\x88A\x94\xe0\xff\xff\xffX\x91\x1au\x83V\xc1H\xd3\rZ\x01!b\xc0\xaeW\xc5\x1f\xec\xfd\xe3\xc1\a\xeb\\,\x98P \xf2\xfe\xc5\xf9\xd4\x9a\x16\xa6\xb2\xde\x82\xa8\xf9\xcb{\xa9m\x83\xfb\x84\x8e\xef\xe3#\xcf$Df\x89\xe2?`\x96E=-\xd6\x91,4yEE\x1d\x81\x9c\x00\x00\x00\x00\x00\x001N\x1a\xff\xff\xff\xff\x00\x00\x00\x00\x00\x00\x00\xcc\xb8o7\t\x0e4$Lb\xf9e\x8dv\xd0Y\x86\x18\v\xd6\xf9\\-\xdc\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\a4\xf2\x8c\x19\x1e\xf0\xb5_\x93\x98\xb8\xcc\xc2\xc6\x15?\xa44\xd4\x12\xed\xa1\xf1!\xbch\x94\xd6\xf0\x98u\xd0#\x95y\x10\x06^\xdf\x0563\x9dX\xdcI\x06\xfe6\x1e^\"\x90\xbf\xa7&\xa3\xb5\x8d\\\xcf\xa1T\v\xd1\x92oV\x8d\xbcGd\x82\xaeD\xe0D\x10\xa9\xdb[\\eN\xbc\xb26-\xcd\xeat\xfa)\xc8\x00\x91<0\xbcf\x8b\xd5\xdb\xd9AC\xf5Z4h\x1a', 0x0) unshare(0x22000400) unshare(0x722cdcaace7d584f) pwritev(r1, &(0x7f0000000540)=[{&(0x7f0000000040)="3f9ebe2395457034", 0x8}], 0x1, 0x81806, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) write$binfmt_script(r1, &(0x7f0000000fc0)=ANY=[@ANYBLOB], 0x46) ioctl$LOOP_CHANGE_FD(r0, 0x4c00, r1) sendfile(0xffffffffffffffff, r1, 0x0, 0x100000000000042) connect$unix(0xffffffffffffffff, &(0x7f0000000080)=@abs={0x0, 0x0, 0x4e20}, 0x6e) unshare(0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, 0x0) sendmsg$NL80211_CMD_GET_WOWLAN(0xffffffffffffffff, &(0x7f0000000f80)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000f40)={&(0x7f0000000f00)={0x1c, 0x0, 0x20, 0x70bd29, 0x0, {{}, {@val={0x8}, @void, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4004000}, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$LOOP_CHANGE_FD(0xffffffffffffffff, 0x4c06, 0xffffffffffffffff) openat(0xffffffffffffffff, 0x0, 0x103, 0x23) ioctl$LOOP_SET_STATUS(r0, 0x4c02, &(0x7f0000000940)={0x0, {}, 0x0, {}, 0x0, 0x0, 0x0, 0xb9c, "1dcc79dc871c727f5161ac2a8e4cc9840bf9758bd451be073ffc9a88295eb61f59ed7b505b740380e9e52408f09a3a51eb40921a93b564681049851448ebb8ba", "3ffc41dee2559d50df9129b097ffb6a24732ffe375f19b9d3e5ca6831952a1c9"}) ioctl$LOOP_CLR_FD(r0, 0x4c01) 02:02:45 executing program 0: prlimit64(0x0, 0xe, &(0x7f0000000140)={0x8, 0x8b}, 0x0) sched_setscheduler(0x0, 0x2, &(0x7f0000000080)=0x6) sched_setaffinity(0x0, 0x8, &(0x7f00000000c0)=0x6) r0 = getpid() sched_setscheduler(r0, 0x0, &(0x7f0000001700)=0x4) socketpair$unix(0x1, 0x2, 0x0, &(0x7f0000000200)={0xffffffffffffffff}) connect$unix(r1, &(0x7f000057eff8)=@file={0x0, './file1\x00'}, 0x6e) pivot_root(0x0, &(0x7f00000009c0)='./bus\x00') move_mount(0xffffffffffffffff, 0x0, 0xffffffffffffffff, &(0x7f0000000980)='./bus\x00', 0x2) sendmmsg$unix(0xffffffffffffffff, &(0x7f00000bd000), 0x318, 0x0) connect$unix(0xffffffffffffffff, &(0x7f0000000180)=@abs={0x1, 0x0, 0x4e22}, 0x6e) recvmmsg(r1, &(0x7f0000000740)=[{{&(0x7f00000005c0)=@tipc, 0x80, &(0x7f0000000400)=[{&(0x7f0000001740)=""/4096, 0x1000}, {&(0x7f0000000640)=""/251, 0xfb}], 0x2}}], 0x40002db, 0x2, 0x0) r2 = socket$inet_icmp_raw(0x2, 0x3, 0x1) ioctl$sock_inet_SIOCSARP(r2, 0x8955, &(0x7f0000000040)={{0x2, 0x0, @dev}, {0x0, @link_local}, 0x4, {0x2, 0x0, @dev}, 'xfrm0\x00'}) bpf$MAP_CREATE(0x0, 0x0, 0x0) rt_sigqueueinfo(0x0, 0x0, &(0x7f0000001140)={0x0, 0x0, 0xfffff801}) sched_setaffinity(r0, 0x8, &(0x7f0000000500)=0x6) renameat2(0xffffffffffffffff, &(0x7f0000000340)='./bus\x00', 0xffffffffffffffff, &(0x7f00000003c0)='./bus\x00', 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f00000745c0)={0x0, [], 0x81}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000005a700)) socket$netlink(0x10, 0x3, 0x0) r3 = bpf$PROG_LOAD(0x5, &(0x7f0000000080)={0x11, 0x8, &(0x7f0000002340)=ANY=[@ANYBLOB="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"/3238], &(0x7f0000000100)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) bpf$BPF_RAW_TRACEPOINT_OPEN(0x11, &(0x7f0000000080)={&(0x7f0000000000)='sched_switch\x00', r3}, 0x10) syz_mount_image$exfat(&(0x7f0000001500), &(0x7f0000000040)='./file0\x00', 0x20010080, &(0x7f0000000440)={[{@errors_remount}, {@umask={'umask', 0x3d, 0xcd}}, {@errors_remount}, {@errors_remount}, {@gid}, {@errors_continue}, {@errors_continue}, {}, {@discard}]}, 0x1, 0x14d7, &(0x7f0000001580)="$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") r4 = openat(0xffffffffffffff9c, &(0x7f0000000380)='./file1\x00', 0x141c42, 0x0) pwritev(r4, &(0x7f0000000100)=[{&(0x7f0000000240)="1f715fbb461189a09b1c59687d0b92a70c64c1c4ee571b63ebfddcf3c772d93e72f846345921d94ce2d0c112b33886f837e125fd8f4836655463bdbc874887194753d0440324107750403fda90063a2650b009261708395cb23bcd327a28335bf8c9fca2869602997953794e998aed15bbae33b32f5832099b42aeabfc32de598d9425fc1fdc15a40bf781372da0086c1a7b2d99acca3017fe2ab464a62b75addd79cd44f0c91bb04a17c8ca3d3191b98b6567fd085c2ae5471d4750d3ef734424b9e5cddf2f1e207a47e28e05c7b47d", 0xd0}, {0x0}], 0x2, 0x2, 0x3100) 02:02:45 executing program 5: socket$nl_generic(0x10, 0x3, 0x10) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$loop(&(0x7f00000001c0), 0x0, 0x103382) r1 = memfd_create(&(0x7f0000000300)='U\xffA\x05\xd6\xe7\xfc\xa3\xb0\x04\xe2\x1b\\by\xdc2\xe3ZoL\x14\xa7\x8c\'\xa7\xcf\xff\xf0+9\xac\\\xa4\xa5=\\\xcc\xf6\xc4R\x88A\x94\xe0\xff\xff\xffX\x91\x1au\x83V\xc1H\xd3\rZ\x01!b\xc0\xaeW\xc5\x1f\xec\xfd\xe3\xc1\a\xeb\\,\x98P \xf2\xfe\xc5\xf9\xd4\x9a\x16\xa6\xb2\xde\x82\xa8\xf9\xcb{\xa9m\x83\xfb\x84\x8e\xef\xe3#\xcf$Df\x89\xe2?`\x96E=-\xd6\x91,4yEE\x1d\x81\x9c\x00\x00\x00\x00\x00\x001N\x1a\xff\xff\xff\xff\x00\x00\x00\x00\x00\x00\x00\xcc\xb8o7\t\x0e4$Lb\xf9e\x8dv\xd0Y\x86\x18\v\xd6\xf9\\-\xdc\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\a4\xf2\x8c\x19\x1e\xf0\xb5_\x93\x98\xb8\xcc\xc2\xc6\x15?\xa44\xd4\x12\xed\xa1\xf1!\xbch\x94\xd6\xf0\x98u\xd0#\x95y\x10\x06^\xdf\x0563\x9dX\xdcI\x06\xfe6\x1e^\"\x90\xbf\xa7&\xa3\xb5\x8d\\\xcf\xa1T\v\xd1\x92oV\x8d\xbcGd\x82\xaeD\xe0D\x10\xa9\xdb[\\eN\xbc\xb26-\xcd\xeat\xfa)\xc8\x00\x91<0\xbcf\x8b\xd5\xdb\xd9AC\xf5Z4h\x1a', 0x0) unshare(0x22000400) unshare(0x722cdcaace7d584f) pwritev(r1, &(0x7f0000000540)=[{&(0x7f0000000040)="3f9ebe2395457034", 0x8}], 0x1, 0x81806, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) write$binfmt_script(r1, &(0x7f0000000fc0)=ANY=[@ANYBLOB], 0x46) ioctl$LOOP_CHANGE_FD(r0, 0x4c00, r1) sendfile(0xffffffffffffffff, r1, 0x0, 0x100000000000042) connect$unix(0xffffffffffffffff, &(0x7f0000000080)=@abs={0x0, 0x0, 0x4e20}, 0x6e) unshare(0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, 0x0) sendmsg$NL80211_CMD_GET_WOWLAN(0xffffffffffffffff, &(0x7f0000000f80)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000f40)={&(0x7f0000000f00)={0x1c, 0x0, 0x20, 0x70bd29, 0x0, {{}, {@val={0x8}, @void, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4004000}, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$LOOP_CHANGE_FD(0xffffffffffffffff, 0x4c06, 0xffffffffffffffff) openat(0xffffffffffffffff, 0x0, 0x103, 0x23) ioctl$LOOP_SET_STATUS(r0, 0x4c02, &(0x7f0000000940)={0x0, {}, 0x0, {}, 0x0, 0x0, 0x0, 0xb9c, "1dcc79dc871c727f5161ac2a8e4cc9840bf9758bd451be073ffc9a88295eb61f59ed7b505b740380e9e52408f09a3a51eb40921a93b564681049851448ebb8ba", "3ffc41dee2559d50df9129b097ffb6a24732ffe375f19b9d3e5ca6831952a1c9"}) ioctl$LOOP_CLR_FD(r0, 0x4c01) 02:02:45 executing program 2: socket$nl_generic(0x10, 0x3, 0x10) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$loop(&(0x7f00000001c0), 0x0, 0x103382) r1 = memfd_create(&(0x7f0000000300)='U\xffA\x05\xd6\xe7\xfc\xa3\xb0\x04\xe2\x1b\\by\xdc2\xe3ZoL\x14\xa7\x8c\'\xa7\xcf\xff\xf0+9\xac\\\xa4\xa5=\\\xcc\xf6\xc4R\x88A\x94\xe0\xff\xff\xffX\x91\x1au\x83V\xc1H\xd3\rZ\x01!b\xc0\xaeW\xc5\x1f\xec\xfd\xe3\xc1\a\xeb\\,\x98P \xf2\xfe\xc5\xf9\xd4\x9a\x16\xa6\xb2\xde\x82\xa8\xf9\xcb{\xa9m\x83\xfb\x84\x8e\xef\xe3#\xcf$Df\x89\xe2?`\x96E=-\xd6\x91,4yEE\x1d\x81\x9c\x00\x00\x00\x00\x00\x001N\x1a\xff\xff\xff\xff\x00\x00\x00\x00\x00\x00\x00\xcc\xb8o7\t\x0e4$Lb\xf9e\x8dv\xd0Y\x86\x18\v\xd6\xf9\\-\xdc\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\a4\xf2\x8c\x19\x1e\xf0\xb5_\x93\x98\xb8\xcc\xc2\xc6\x15?\xa44\xd4\x12\xed\xa1\xf1!\xbch\x94\xd6\xf0\x98u\xd0#\x95y\x10\x06^\xdf\x0563\x9dX\xdcI\x06\xfe6\x1e^\"\x90\xbf\xa7&\xa3\xb5\x8d\\\xcf\xa1T\v\xd1\x92oV\x8d\xbcGd\x82\xaeD\xe0D\x10\xa9\xdb[\\eN\xbc\xb26-\xcd\xeat\xfa)\xc8\x00\x91<0\xbcf\x8b\xd5\xdb\xd9AC\xf5Z4h\x1a', 0x0) unshare(0x22000400) unshare(0x722cdcaace7d584f) pwritev(r1, &(0x7f0000000540)=[{&(0x7f0000000040)="3f9ebe2395457034", 0x8}], 0x1, 0x81806, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) write$binfmt_script(r1, &(0x7f0000000fc0)=ANY=[@ANYBLOB], 0x46) ioctl$LOOP_CHANGE_FD(r0, 0x4c00, r1) sendfile(0xffffffffffffffff, r1, 0x0, 0x100000000000042) connect$unix(0xffffffffffffffff, &(0x7f0000000080)=@abs={0x0, 0x0, 0x4e20}, 0x6e) unshare(0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, 0x0) sendmsg$NL80211_CMD_GET_WOWLAN(0xffffffffffffffff, &(0x7f0000000f80)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000f40)={&(0x7f0000000f00)={0x1c, 0x0, 0x20, 0x70bd29, 0x0, {{}, {@val={0x8}, @void, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4004000}, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$LOOP_CHANGE_FD(0xffffffffffffffff, 0x4c06, 0xffffffffffffffff) openat(0xffffffffffffffff, 0x0, 0x103, 0x23) ioctl$LOOP_SET_STATUS(r0, 0x4c02, &(0x7f0000000940)={0x0, {}, 0x0, {}, 0x0, 0x0, 0x0, 0xb9c, "1dcc79dc871c727f5161ac2a8e4cc9840bf9758bd451be073ffc9a88295eb61f59ed7b505b740380e9e52408f09a3a51eb40921a93b564681049851448ebb8ba", "3ffc41dee2559d50df9129b097ffb6a24732ffe375f19b9d3e5ca6831952a1c9"}) ioctl$LOOP_CLR_FD(r0, 0x4c01) [ 1328.432810][T17884] loop0: detected capacity change from 0 to 1036 02:02:45 executing program 4: socket$nl_generic(0x10, 0x3, 0x10) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$loop(&(0x7f00000001c0), 0x0, 0x103382) r1 = memfd_create(&(0x7f0000000300)='U\xffA\x05\xd6\xe7\xfc\xa3\xb0\x04\xe2\x1b\\by\xdc2\xe3ZoL\x14\xa7\x8c\'\xa7\xcf\xff\xf0+9\xac\\\xa4\xa5=\\\xcc\xf6\xc4R\x88A\x94\xe0\xff\xff\xffX\x91\x1au\x83V\xc1H\xd3\rZ\x01!b\xc0\xaeW\xc5\x1f\xec\xfd\xe3\xc1\a\xeb\\,\x98P \xf2\xfe\xc5\xf9\xd4\x9a\x16\xa6\xb2\xde\x82\xa8\xf9\xcb{\xa9m\x83\xfb\x84\x8e\xef\xe3#\xcf$Df\x89\xe2?`\x96E=-\xd6\x91,4yEE\x1d\x81\x9c\x00\x00\x00\x00\x00\x001N\x1a\xff\xff\xff\xff\x00\x00\x00\x00\x00\x00\x00\xcc\xb8o7\t\x0e4$Lb\xf9e\x8dv\xd0Y\x86\x18\v\xd6\xf9\\-\xdc\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\a4\xf2\x8c\x19\x1e\xf0\xb5_\x93\x98\xb8\xcc\xc2\xc6\x15?\xa44\xd4\x12\xed\xa1\xf1!\xbch\x94\xd6\xf0\x98u\xd0#\x95y\x10\x06^\xdf\x0563\x9dX\xdcI\x06\xfe6\x1e^\"\x90\xbf\xa7&\xa3\xb5\x8d\\\xcf\xa1T\v\xd1\x92oV\x8d\xbcGd\x82\xaeD\xe0D\x10\xa9\xdb[\\eN\xbc\xb26-\xcd\xeat\xfa)\xc8\x00\x91<0\xbcf\x8b\xd5\xdb\xd9AC\xf5Z4h\x1a', 0x0) unshare(0x22000400) unshare(0x722cdcaace7d584f) pwritev(r1, &(0x7f0000000540)=[{&(0x7f0000000040)="3f9ebe2395457034", 0x8}], 0x1, 0x81806, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) write$binfmt_script(r1, &(0x7f0000000fc0)=ANY=[@ANYBLOB], 0x46) ioctl$LOOP_CHANGE_FD(r0, 0x4c00, r1) sendfile(0xffffffffffffffff, r1, 0x0, 0x100000000000042) connect$unix(0xffffffffffffffff, &(0x7f0000000080)=@abs={0x0, 0x0, 0x4e20}, 0x6e) unshare(0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, 0x0) sendmsg$NL80211_CMD_GET_WOWLAN(0xffffffffffffffff, &(0x7f0000000f80)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000f40)={&(0x7f0000000f00)={0x1c, 0x0, 0x20, 0x70bd29, 0x0, {{}, {@val={0x8}, @void, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4004000}, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$LOOP_CHANGE_FD(0xffffffffffffffff, 0x4c06, 0xffffffffffffffff) openat(0xffffffffffffffff, 0x0, 0x103, 0x23) ioctl$LOOP_SET_STATUS(r0, 0x4c02, &(0x7f0000000940)={0x0, {}, 0x0, {}, 0x0, 0x0, 0x0, 0xb9c, "1dcc79dc871c727f5161ac2a8e4cc9840bf9758bd451be073ffc9a88295eb61f59ed7b505b740380e9e52408f09a3a51eb40921a93b564681049851448ebb8ba", "3ffc41dee2559d50df9129b097ffb6a24732ffe375f19b9d3e5ca6831952a1c9"}) ioctl$LOOP_CLR_FD(r0, 0x4c01) 02:02:45 executing program 5: socket$nl_generic(0x10, 0x3, 0x10) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$loop(&(0x7f00000001c0), 0x0, 0x103382) r1 = memfd_create(&(0x7f0000000300)='U\xffA\x05\xd6\xe7\xfc\xa3\xb0\x04\xe2\x1b\\by\xdc2\xe3ZoL\x14\xa7\x8c\'\xa7\xcf\xff\xf0+9\xac\\\xa4\xa5=\\\xcc\xf6\xc4R\x88A\x94\xe0\xff\xff\xffX\x91\x1au\x83V\xc1H\xd3\rZ\x01!b\xc0\xaeW\xc5\x1f\xec\xfd\xe3\xc1\a\xeb\\,\x98P \xf2\xfe\xc5\xf9\xd4\x9a\x16\xa6\xb2\xde\x82\xa8\xf9\xcb{\xa9m\x83\xfb\x84\x8e\xef\xe3#\xcf$Df\x89\xe2?`\x96E=-\xd6\x91,4yEE\x1d\x81\x9c\x00\x00\x00\x00\x00\x001N\x1a\xff\xff\xff\xff\x00\x00\x00\x00\x00\x00\x00\xcc\xb8o7\t\x0e4$Lb\xf9e\x8dv\xd0Y\x86\x18\v\xd6\xf9\\-\xdc\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\a4\xf2\x8c\x19\x1e\xf0\xb5_\x93\x98\xb8\xcc\xc2\xc6\x15?\xa44\xd4\x12\xed\xa1\xf1!\xbch\x94\xd6\xf0\x98u\xd0#\x95y\x10\x06^\xdf\x0563\x9dX\xdcI\x06\xfe6\x1e^\"\x90\xbf\xa7&\xa3\xb5\x8d\\\xcf\xa1T\v\xd1\x92oV\x8d\xbcGd\x82\xaeD\xe0D\x10\xa9\xdb[\\eN\xbc\xb26-\xcd\xeat\xfa)\xc8\x00\x91<0\xbcf\x8b\xd5\xdb\xd9AC\xf5Z4h\x1a', 0x0) unshare(0x22000400) unshare(0x722cdcaace7d584f) pwritev(r1, &(0x7f0000000540)=[{&(0x7f0000000040)="3f9ebe2395457034", 0x8}], 0x1, 0x81806, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) write$binfmt_script(r1, &(0x7f0000000fc0)=ANY=[@ANYBLOB], 0x46) ioctl$LOOP_CHANGE_FD(r0, 0x4c00, r1) sendfile(0xffffffffffffffff, r1, 0x0, 0x100000000000042) connect$unix(0xffffffffffffffff, &(0x7f0000000080)=@abs={0x0, 0x0, 0x4e20}, 0x6e) unshare(0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, 0x0) sendmsg$NL80211_CMD_GET_WOWLAN(0xffffffffffffffff, &(0x7f0000000f80)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000f40)={&(0x7f0000000f00)={0x1c, 0x0, 0x20, 0x70bd29, 0x0, {{}, {@val={0x8}, @void, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4004000}, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$LOOP_CHANGE_FD(0xffffffffffffffff, 0x4c06, 0xffffffffffffffff) openat(0xffffffffffffffff, 0x0, 0x103, 0x23) ioctl$LOOP_SET_STATUS(r0, 0x4c02, &(0x7f0000000940)={0x0, {}, 0x0, {}, 0x0, 0x0, 0x0, 0xb9c, "1dcc79dc871c727f5161ac2a8e4cc9840bf9758bd451be073ffc9a88295eb61f59ed7b505b740380e9e52408f09a3a51eb40921a93b564681049851448ebb8ba", "3ffc41dee2559d50df9129b097ffb6a24732ffe375f19b9d3e5ca6831952a1c9"}) ioctl$LOOP_CLR_FD(r0, 0x4c01) 02:02:45 executing program 3: socket$nl_generic(0x10, 0x3, 0x10) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$loop(&(0x7f00000001c0), 0x0, 0x103382) r1 = memfd_create(&(0x7f0000000300)='U\xffA\x05\xd6\xe7\xfc\xa3\xb0\x04\xe2\x1b\\by\xdc2\xe3ZoL\x14\xa7\x8c\'\xa7\xcf\xff\xf0+9\xac\\\xa4\xa5=\\\xcc\xf6\xc4R\x88A\x94\xe0\xff\xff\xffX\x91\x1au\x83V\xc1H\xd3\rZ\x01!b\xc0\xaeW\xc5\x1f\xec\xfd\xe3\xc1\a\xeb\\,\x98P \xf2\xfe\xc5\xf9\xd4\x9a\x16\xa6\xb2\xde\x82\xa8\xf9\xcb{\xa9m\x83\xfb\x84\x8e\xef\xe3#\xcf$Df\x89\xe2?`\x96E=-\xd6\x91,4yEE\x1d\x81\x9c\x00\x00\x00\x00\x00\x001N\x1a\xff\xff\xff\xff\x00\x00\x00\x00\x00\x00\x00\xcc\xb8o7\t\x0e4$Lb\xf9e\x8dv\xd0Y\x86\x18\v\xd6\xf9\\-\xdc\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\a4\xf2\x8c\x19\x1e\xf0\xb5_\x93\x98\xb8\xcc\xc2\xc6\x15?\xa44\xd4\x12\xed\xa1\xf1!\xbch\x94\xd6\xf0\x98u\xd0#\x95y\x10\x06^\xdf\x0563\x9dX\xdcI\x06\xfe6\x1e^\"\x90\xbf\xa7&\xa3\xb5\x8d\\\xcf\xa1T\v\xd1\x92oV\x8d\xbcGd\x82\xaeD\xe0D\x10\xa9\xdb[\\eN\xbc\xb26-\xcd\xeat\xfa)\xc8\x00\x91<0\xbcf\x8b\xd5\xdb\xd9AC\xf5Z4h\x1a', 0x0) unshare(0x22000400) unshare(0x722cdcaace7d584f) pwritev(r1, &(0x7f0000000540)=[{&(0x7f0000000040)="3f9ebe2395457034", 0x8}], 0x1, 0x81806, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) write$binfmt_script(r1, &(0x7f0000000fc0)=ANY=[@ANYBLOB], 0x46) ioctl$LOOP_CHANGE_FD(r0, 0x4c00, r1) sendfile(0xffffffffffffffff, r1, 0x0, 0x100000000000042) connect$unix(0xffffffffffffffff, &(0x7f0000000080)=@abs={0x0, 0x0, 0x4e20}, 0x6e) unshare(0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, 0x0) sendmsg$NL80211_CMD_GET_WOWLAN(0xffffffffffffffff, &(0x7f0000000f80)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000f40)={&(0x7f0000000f00)={0x1c, 0x0, 0x20, 0x70bd29, 0x0, {{}, {@val={0x8}, @void, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4004000}, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$LOOP_CHANGE_FD(0xffffffffffffffff, 0x4c06, 0xffffffffffffffff) openat(0xffffffffffffffff, 0x0, 0x103, 0x23) ioctl$LOOP_SET_STATUS(r0, 0x4c02, &(0x7f0000000940)={0x0, {}, 0x0, {}, 0x0, 0x0, 0x0, 0xb9c, "1dcc79dc871c727f5161ac2a8e4cc9840bf9758bd451be073ffc9a88295eb61f59ed7b505b740380e9e52408f09a3a51eb40921a93b564681049851448ebb8ba", "3ffc41dee2559d50df9129b097ffb6a24732ffe375f19b9d3e5ca6831952a1c9"}) ioctl$LOOP_CLR_FD(r0, 0x4c01) 02:02:45 executing program 1: socket$nl_generic(0x10, 0x3, 0x10) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$loop(&(0x7f00000001c0), 0x0, 0x103382) r1 = memfd_create(&(0x7f0000000300)='U\xffA\x05\xd6\xe7\xfc\xa3\xb0\x04\xe2\x1b\\by\xdc2\xe3ZoL\x14\xa7\x8c\'\xa7\xcf\xff\xf0+9\xac\\\xa4\xa5=\\\xcc\xf6\xc4R\x88A\x94\xe0\xff\xff\xffX\x91\x1au\x83V\xc1H\xd3\rZ\x01!b\xc0\xaeW\xc5\x1f\xec\xfd\xe3\xc1\a\xeb\\,\x98P \xf2\xfe\xc5\xf9\xd4\x9a\x16\xa6\xb2\xde\x82\xa8\xf9\xcb{\xa9m\x83\xfb\x84\x8e\xef\xe3#\xcf$Df\x89\xe2?`\x96E=-\xd6\x91,4yEE\x1d\x81\x9c\x00\x00\x00\x00\x00\x001N\x1a\xff\xff\xff\xff\x00\x00\x00\x00\x00\x00\x00\xcc\xb8o7\t\x0e4$Lb\xf9e\x8dv\xd0Y\x86\x18\v\xd6\xf9\\-\xdc\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\a4\xf2\x8c\x19\x1e\xf0\xb5_\x93\x98\xb8\xcc\xc2\xc6\x15?\xa44\xd4\x12\xed\xa1\xf1!\xbch\x94\xd6\xf0\x98u\xd0#\x95y\x10\x06^\xdf\x0563\x9dX\xdcI\x06\xfe6\x1e^\"\x90\xbf\xa7&\xa3\xb5\x8d\\\xcf\xa1T\v\xd1\x92oV\x8d\xbcGd\x82\xaeD\xe0D\x10\xa9\xdb[\\eN\xbc\xb26-\xcd\xeat\xfa)\xc8\x00\x91<0\xbcf\x8b\xd5\xdb\xd9AC\xf5Z4h\x1a', 0x0) unshare(0x22000400) unshare(0x722cdcaace7d584f) pwritev(r1, &(0x7f0000000540)=[{&(0x7f0000000040)="3f9ebe2395457034", 0x8}], 0x1, 0x81806, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) write$binfmt_script(r1, &(0x7f0000000fc0)=ANY=[@ANYBLOB], 0x46) ioctl$LOOP_CHANGE_FD(r0, 0x4c00, r1) sendfile(0xffffffffffffffff, r1, 0x0, 0x100000000000042) connect$unix(0xffffffffffffffff, &(0x7f0000000080)=@abs={0x0, 0x0, 0x4e20}, 0x6e) unshare(0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, 0x0) sendmsg$NL80211_CMD_GET_WOWLAN(0xffffffffffffffff, &(0x7f0000000f80)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000f40)={&(0x7f0000000f00)={0x1c, 0x0, 0x20, 0x70bd29, 0x0, {{}, {@val={0x8}, @void, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4004000}, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$LOOP_CHANGE_FD(0xffffffffffffffff, 0x4c06, 0xffffffffffffffff) openat(0xffffffffffffffff, 0x0, 0x103, 0x23) ioctl$LOOP_SET_STATUS(r0, 0x4c02, &(0x7f0000000940)={0x0, {}, 0x0, {}, 0x0, 0x0, 0x0, 0xb9c, "1dcc79dc871c727f5161ac2a8e4cc9840bf9758bd451be073ffc9a88295eb61f59ed7b505b740380e9e52408f09a3a51eb40921a93b564681049851448ebb8ba", "3ffc41dee2559d50df9129b097ffb6a24732ffe375f19b9d3e5ca6831952a1c9"}) ioctl$LOOP_CLR_FD(r0, 0x4c01) 02:02:45 executing program 2: socket$nl_generic(0x10, 0x3, 0x10) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$loop(&(0x7f00000001c0), 0x0, 0x103382) r1 = memfd_create(&(0x7f0000000300)='U\xffA\x05\xd6\xe7\xfc\xa3\xb0\x04\xe2\x1b\\by\xdc2\xe3ZoL\x14\xa7\x8c\'\xa7\xcf\xff\xf0+9\xac\\\xa4\xa5=\\\xcc\xf6\xc4R\x88A\x94\xe0\xff\xff\xffX\x91\x1au\x83V\xc1H\xd3\rZ\x01!b\xc0\xaeW\xc5\x1f\xec\xfd\xe3\xc1\a\xeb\\,\x98P \xf2\xfe\xc5\xf9\xd4\x9a\x16\xa6\xb2\xde\x82\xa8\xf9\xcb{\xa9m\x83\xfb\x84\x8e\xef\xe3#\xcf$Df\x89\xe2?`\x96E=-\xd6\x91,4yEE\x1d\x81\x9c\x00\x00\x00\x00\x00\x001N\x1a\xff\xff\xff\xff\x00\x00\x00\x00\x00\x00\x00\xcc\xb8o7\t\x0e4$Lb\xf9e\x8dv\xd0Y\x86\x18\v\xd6\xf9\\-\xdc\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\a4\xf2\x8c\x19\x1e\xf0\xb5_\x93\x98\xb8\xcc\xc2\xc6\x15?\xa44\xd4\x12\xed\xa1\xf1!\xbch\x94\xd6\xf0\x98u\xd0#\x95y\x10\x06^\xdf\x0563\x9dX\xdcI\x06\xfe6\x1e^\"\x90\xbf\xa7&\xa3\xb5\x8d\\\xcf\xa1T\v\xd1\x92oV\x8d\xbcGd\x82\xaeD\xe0D\x10\xa9\xdb[\\eN\xbc\xb26-\xcd\xeat\xfa)\xc8\x00\x91<0\xbcf\x8b\xd5\xdb\xd9AC\xf5Z4h\x1a', 0x0) unshare(0x22000400) unshare(0x722cdcaace7d584f) pwritev(r1, &(0x7f0000000540)=[{&(0x7f0000000040)="3f9ebe2395457034", 0x8}], 0x1, 0x81806, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) write$binfmt_script(r1, &(0x7f0000000fc0)=ANY=[@ANYBLOB], 0x46) ioctl$LOOP_CHANGE_FD(r0, 0x4c00, r1) sendfile(0xffffffffffffffff, r1, 0x0, 0x100000000000042) connect$unix(0xffffffffffffffff, &(0x7f0000000080)=@abs={0x0, 0x0, 0x4e20}, 0x6e) unshare(0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, 0x0) sendmsg$NL80211_CMD_GET_WOWLAN(0xffffffffffffffff, &(0x7f0000000f80)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000f40)={&(0x7f0000000f00)={0x1c, 0x0, 0x20, 0x70bd29, 0x0, {{}, {@val={0x8}, @void, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4004000}, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$LOOP_CHANGE_FD(0xffffffffffffffff, 0x4c06, 0xffffffffffffffff) openat(0xffffffffffffffff, 0x0, 0x103, 0x23) ioctl$LOOP_SET_STATUS(r0, 0x4c02, &(0x7f0000000940)={0x0, {}, 0x0, {}, 0x0, 0x0, 0x0, 0xb9c, "1dcc79dc871c727f5161ac2a8e4cc9840bf9758bd451be073ffc9a88295eb61f59ed7b505b740380e9e52408f09a3a51eb40921a93b564681049851448ebb8ba", "3ffc41dee2559d50df9129b097ffb6a24732ffe375f19b9d3e5ca6831952a1c9"}) ioctl$LOOP_CLR_FD(r0, 0x4c01) [ 1328.709504][T17900] loop0: detected capacity change from 0 to 1036 02:02:45 executing program 1: socket$nl_generic(0x10, 0x3, 0x10) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$loop(&(0x7f00000001c0), 0x0, 0x103382) r1 = memfd_create(&(0x7f0000000300)='U\xffA\x05\xd6\xe7\xfc\xa3\xb0\x04\xe2\x1b\\by\xdc2\xe3ZoL\x14\xa7\x8c\'\xa7\xcf\xff\xf0+9\xac\\\xa4\xa5=\\\xcc\xf6\xc4R\x88A\x94\xe0\xff\xff\xffX\x91\x1au\x83V\xc1H\xd3\rZ\x01!b\xc0\xaeW\xc5\x1f\xec\xfd\xe3\xc1\a\xeb\\,\x98P \xf2\xfe\xc5\xf9\xd4\x9a\x16\xa6\xb2\xde\x82\xa8\xf9\xcb{\xa9m\x83\xfb\x84\x8e\xef\xe3#\xcf$Df\x89\xe2?`\x96E=-\xd6\x91,4yEE\x1d\x81\x9c\x00\x00\x00\x00\x00\x001N\x1a\xff\xff\xff\xff\x00\x00\x00\x00\x00\x00\x00\xcc\xb8o7\t\x0e4$Lb\xf9e\x8dv\xd0Y\x86\x18\v\xd6\xf9\\-\xdc\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\a4\xf2\x8c\x19\x1e\xf0\xb5_\x93\x98\xb8\xcc\xc2\xc6\x15?\xa44\xd4\x12\xed\xa1\xf1!\xbch\x94\xd6\xf0\x98u\xd0#\x95y\x10\x06^\xdf\x0563\x9dX\xdcI\x06\xfe6\x1e^\"\x90\xbf\xa7&\xa3\xb5\x8d\\\xcf\xa1T\v\xd1\x92oV\x8d\xbcGd\x82\xaeD\xe0D\x10\xa9\xdb[\\eN\xbc\xb26-\xcd\xeat\xfa)\xc8\x00\x91<0\xbcf\x8b\xd5\xdb\xd9AC\xf5Z4h\x1a', 0x0) unshare(0x22000400) unshare(0x722cdcaace7d584f) pwritev(r1, &(0x7f0000000540)=[{&(0x7f0000000040)="3f9ebe2395457034", 0x8}], 0x1, 0x81806, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) write$binfmt_script(r1, &(0x7f0000000fc0)=ANY=[@ANYBLOB], 0x46) ioctl$LOOP_CHANGE_FD(r0, 0x4c00, r1) sendfile(0xffffffffffffffff, r1, 0x0, 0x100000000000042) connect$unix(0xffffffffffffffff, &(0x7f0000000080)=@abs={0x0, 0x0, 0x4e20}, 0x6e) unshare(0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, 0x0) sendmsg$NL80211_CMD_GET_WOWLAN(0xffffffffffffffff, &(0x7f0000000f80)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000f40)={&(0x7f0000000f00)={0x1c, 0x0, 0x20, 0x70bd29, 0x0, {{}, {@val={0x8}, @void, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4004000}, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$LOOP_CHANGE_FD(0xffffffffffffffff, 0x4c06, 0xffffffffffffffff) openat(0xffffffffffffffff, 0x0, 0x103, 0x23) ioctl$LOOP_SET_STATUS(r0, 0x4c02, &(0x7f0000000940)={0x0, {}, 0x0, {}, 0x0, 0x0, 0x0, 0xb9c, "1dcc79dc871c727f5161ac2a8e4cc9840bf9758bd451be073ffc9a88295eb61f59ed7b505b740380e9e52408f09a3a51eb40921a93b564681049851448ebb8ba", "3ffc41dee2559d50df9129b097ffb6a24732ffe375f19b9d3e5ca6831952a1c9"}) ioctl$LOOP_CLR_FD(r0, 0x4c01) 02:02:45 executing program 3: r0 = syz_open_dev$tty20(0xc, 0x4, 0x1) syz_genetlink_get_family_id$team(&(0x7f0000000080), 0xffffffffffffffff) ioctl$TIOCL_SETVESABLANK(r0, 0x541c, &(0x7f0000000080)) 02:02:45 executing program 4: socket$nl_generic(0x10, 0x3, 0x10) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$loop(&(0x7f00000001c0), 0x0, 0x103382) r1 = memfd_create(&(0x7f0000000300)='U\xffA\x05\xd6\xe7\xfc\xa3\xb0\x04\xe2\x1b\\by\xdc2\xe3ZoL\x14\xa7\x8c\'\xa7\xcf\xff\xf0+9\xac\\\xa4\xa5=\\\xcc\xf6\xc4R\x88A\x94\xe0\xff\xff\xffX\x91\x1au\x83V\xc1H\xd3\rZ\x01!b\xc0\xaeW\xc5\x1f\xec\xfd\xe3\xc1\a\xeb\\,\x98P \xf2\xfe\xc5\xf9\xd4\x9a\x16\xa6\xb2\xde\x82\xa8\xf9\xcb{\xa9m\x83\xfb\x84\x8e\xef\xe3#\xcf$Df\x89\xe2?`\x96E=-\xd6\x91,4yEE\x1d\x81\x9c\x00\x00\x00\x00\x00\x001N\x1a\xff\xff\xff\xff\x00\x00\x00\x00\x00\x00\x00\xcc\xb8o7\t\x0e4$Lb\xf9e\x8dv\xd0Y\x86\x18\v\xd6\xf9\\-\xdc\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\a4\xf2\x8c\x19\x1e\xf0\xb5_\x93\x98\xb8\xcc\xc2\xc6\x15?\xa44\xd4\x12\xed\xa1\xf1!\xbch\x94\xd6\xf0\x98u\xd0#\x95y\x10\x06^\xdf\x0563\x9dX\xdcI\x06\xfe6\x1e^\"\x90\xbf\xa7&\xa3\xb5\x8d\\\xcf\xa1T\v\xd1\x92oV\x8d\xbcGd\x82\xaeD\xe0D\x10\xa9\xdb[\\eN\xbc\xb26-\xcd\xeat\xfa)\xc8\x00\x91<0\xbcf\x8b\xd5\xdb\xd9AC\xf5Z4h\x1a', 0x0) unshare(0x22000400) unshare(0x722cdcaace7d584f) pwritev(r1, &(0x7f0000000540)=[{&(0x7f0000000040)="3f9ebe2395457034", 0x8}], 0x1, 0x81806, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) write$binfmt_script(r1, &(0x7f0000000fc0)=ANY=[@ANYBLOB], 0x46) ioctl$LOOP_CHANGE_FD(r0, 0x4c00, r1) sendfile(0xffffffffffffffff, r1, 0x0, 0x100000000000042) connect$unix(0xffffffffffffffff, &(0x7f0000000080)=@abs={0x0, 0x0, 0x4e20}, 0x6e) unshare(0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, 0x0) sendmsg$NL80211_CMD_GET_WOWLAN(0xffffffffffffffff, &(0x7f0000000f80)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000f40)={&(0x7f0000000f00)={0x1c, 0x0, 0x20, 0x70bd29, 0x0, {{}, {@val={0x8}, @void, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4004000}, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$LOOP_CHANGE_FD(0xffffffffffffffff, 0x4c06, 0xffffffffffffffff) openat(0xffffffffffffffff, 0x0, 0x103, 0x23) ioctl$LOOP_SET_STATUS(r0, 0x4c02, &(0x7f0000000940)={0x0, {}, 0x0, {}, 0x0, 0x0, 0x0, 0xb9c, "1dcc79dc871c727f5161ac2a8e4cc9840bf9758bd451be073ffc9a88295eb61f59ed7b505b740380e9e52408f09a3a51eb40921a93b564681049851448ebb8ba", "3ffc41dee2559d50df9129b097ffb6a24732ffe375f19b9d3e5ca6831952a1c9"}) ioctl$LOOP_CLR_FD(r0, 0x4c01) 02:02:45 executing program 5: socket$nl_generic(0x10, 0x3, 0x10) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$loop(&(0x7f00000001c0), 0x0, 0x103382) r1 = memfd_create(&(0x7f0000000300)='U\xffA\x05\xd6\xe7\xfc\xa3\xb0\x04\xe2\x1b\\by\xdc2\xe3ZoL\x14\xa7\x8c\'\xa7\xcf\xff\xf0+9\xac\\\xa4\xa5=\\\xcc\xf6\xc4R\x88A\x94\xe0\xff\xff\xffX\x91\x1au\x83V\xc1H\xd3\rZ\x01!b\xc0\xaeW\xc5\x1f\xec\xfd\xe3\xc1\a\xeb\\,\x98P \xf2\xfe\xc5\xf9\xd4\x9a\x16\xa6\xb2\xde\x82\xa8\xf9\xcb{\xa9m\x83\xfb\x84\x8e\xef\xe3#\xcf$Df\x89\xe2?`\x96E=-\xd6\x91,4yEE\x1d\x81\x9c\x00\x00\x00\x00\x00\x001N\x1a\xff\xff\xff\xff\x00\x00\x00\x00\x00\x00\x00\xcc\xb8o7\t\x0e4$Lb\xf9e\x8dv\xd0Y\x86\x18\v\xd6\xf9\\-\xdc\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\a4\xf2\x8c\x19\x1e\xf0\xb5_\x93\x98\xb8\xcc\xc2\xc6\x15?\xa44\xd4\x12\xed\xa1\xf1!\xbch\x94\xd6\xf0\x98u\xd0#\x95y\x10\x06^\xdf\x0563\x9dX\xdcI\x06\xfe6\x1e^\"\x90\xbf\xa7&\xa3\xb5\x8d\\\xcf\xa1T\v\xd1\x92oV\x8d\xbcGd\x82\xaeD\xe0D\x10\xa9\xdb[\\eN\xbc\xb26-\xcd\xeat\xfa)\xc8\x00\x91<0\xbcf\x8b\xd5\xdb\xd9AC\xf5Z4h\x1a', 0x0) unshare(0x22000400) unshare(0x722cdcaace7d584f) pwritev(r1, &(0x7f0000000540)=[{&(0x7f0000000040)="3f9ebe2395457034", 0x8}], 0x1, 0x81806, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) write$binfmt_script(r1, &(0x7f0000000fc0)=ANY=[@ANYBLOB], 0x46) ioctl$LOOP_CHANGE_FD(r0, 0x4c00, r1) sendfile(0xffffffffffffffff, r1, 0x0, 0x100000000000042) connect$unix(0xffffffffffffffff, &(0x7f0000000080)=@abs={0x0, 0x0, 0x4e20}, 0x6e) unshare(0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, 0x0) sendmsg$NL80211_CMD_GET_WOWLAN(0xffffffffffffffff, &(0x7f0000000f80)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000f40)={&(0x7f0000000f00)={0x1c, 0x0, 0x20, 0x70bd29, 0x0, {{}, {@val={0x8}, @void, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4004000}, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$LOOP_CHANGE_FD(0xffffffffffffffff, 0x4c06, 0xffffffffffffffff) openat(0xffffffffffffffff, 0x0, 0x103, 0x23) ioctl$LOOP_SET_STATUS(r0, 0x4c02, &(0x7f0000000940)={0x0, {}, 0x0, {}, 0x0, 0x0, 0x0, 0xb9c, "1dcc79dc871c727f5161ac2a8e4cc9840bf9758bd451be073ffc9a88295eb61f59ed7b505b740380e9e52408f09a3a51eb40921a93b564681049851448ebb8ba", "3ffc41dee2559d50df9129b097ffb6a24732ffe375f19b9d3e5ca6831952a1c9"}) ioctl$LOOP_CLR_FD(r0, 0x4c01) [ 1329.015448][T17909] loop0: detected capacity change from 0 to 1036 02:02:46 executing program 5: r0 = socket$inet6_udplite(0xa, 0x2, 0x88) getsockopt$sock_timeval(r0, 0x1, 0x10, 0x0, &(0x7f0000000040)) 02:02:46 executing program 4: r0 = socket$inet_icmp_raw(0x2, 0x3, 0x1) setsockopt$IPT_SO_SET_REPLACE(r0, 0x4000000000000, 0x40, &(0x7f0000000600)=@raw={'raw\x00', 0x2, 0x3, 0x290, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1f8, 0x1f8, 0x1f8, 0x1f8, 0x1f8, 0x3, 0x0, {[{{@ip={@multicast1, @local, 0x0, 0x0, 'ip6gretap0\x00'}, 0x0, 0xd0, 0xf0, 0x0, {}, [@common=@inet=@l2tp={{0x30}, {0x40000, 0x0, 0x2, 0x0, 0x7}}, @common=@ah={{0x30}}]}, @unspec=@NOTRACK={0x20}}, {{@uncond, 0x0, 0xa0, 0x108, 0x0, {0x0, 0x600}, [@common=@ah={{0x30}}]}, @unspec=@CT2={0x68, 'CT\x00', 0x2, {0x0, 0x0, 0x0, 0x0, 'netbios-ns\x00', 'syz0\x00'}}}], {{'\x00', 0x0, 0x70, 0x98}, {0x28}}}}, 0x2f0) 02:02:46 executing program 1: socket$nl_generic(0x10, 0x3, 0x10) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$loop(&(0x7f00000001c0), 0x0, 0x103382) r1 = memfd_create(&(0x7f0000000300)='U\xffA\x05\xd6\xe7\xfc\xa3\xb0\x04\xe2\x1b\\by\xdc2\xe3ZoL\x14\xa7\x8c\'\xa7\xcf\xff\xf0+9\xac\\\xa4\xa5=\\\xcc\xf6\xc4R\x88A\x94\xe0\xff\xff\xffX\x91\x1au\x83V\xc1H\xd3\rZ\x01!b\xc0\xaeW\xc5\x1f\xec\xfd\xe3\xc1\a\xeb\\,\x98P \xf2\xfe\xc5\xf9\xd4\x9a\x16\xa6\xb2\xde\x82\xa8\xf9\xcb{\xa9m\x83\xfb\x84\x8e\xef\xe3#\xcf$Df\x89\xe2?`\x96E=-\xd6\x91,4yEE\x1d\x81\x9c\x00\x00\x00\x00\x00\x001N\x1a\xff\xff\xff\xff\x00\x00\x00\x00\x00\x00\x00\xcc\xb8o7\t\x0e4$Lb\xf9e\x8dv\xd0Y\x86\x18\v\xd6\xf9\\-\xdc\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\a4\xf2\x8c\x19\x1e\xf0\xb5_\x93\x98\xb8\xcc\xc2\xc6\x15?\xa44\xd4\x12\xed\xa1\xf1!\xbch\x94\xd6\xf0\x98u\xd0#\x95y\x10\x06^\xdf\x0563\x9dX\xdcI\x06\xfe6\x1e^\"\x90\xbf\xa7&\xa3\xb5\x8d\\\xcf\xa1T\v\xd1\x92oV\x8d\xbcGd\x82\xaeD\xe0D\x10\xa9\xdb[\\eN\xbc\xb26-\xcd\xeat\xfa)\xc8\x00\x91<0\xbcf\x8b\xd5\xdb\xd9AC\xf5Z4h\x1a', 0x0) unshare(0x22000400) unshare(0x722cdcaace7d584f) pwritev(r1, &(0x7f0000000540)=[{&(0x7f0000000040)="3f9ebe2395457034", 0x8}], 0x1, 0x81806, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) write$binfmt_script(r1, &(0x7f0000000fc0)=ANY=[@ANYBLOB], 0x46) ioctl$LOOP_CHANGE_FD(r0, 0x4c00, r1) sendfile(0xffffffffffffffff, r1, 0x0, 0x100000000000042) connect$unix(0xffffffffffffffff, &(0x7f0000000080)=@abs={0x0, 0x0, 0x4e20}, 0x6e) unshare(0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, 0x0) sendmsg$NL80211_CMD_GET_WOWLAN(0xffffffffffffffff, &(0x7f0000000f80)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000f40)={&(0x7f0000000f00)={0x1c, 0x0, 0x20, 0x70bd29, 0x0, {{}, {@val={0x8}, @void, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4004000}, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$LOOP_CHANGE_FD(0xffffffffffffffff, 0x4c06, 0xffffffffffffffff) openat(0xffffffffffffffff, 0x0, 0x103, 0x23) ioctl$LOOP_SET_STATUS(r0, 0x4c02, &(0x7f0000000940)={0x0, {}, 0x0, {}, 0x0, 0x0, 0x0, 0xb9c, "1dcc79dc871c727f5161ac2a8e4cc9840bf9758bd451be073ffc9a88295eb61f59ed7b505b740380e9e52408f09a3a51eb40921a93b564681049851448ebb8ba", "3ffc41dee2559d50df9129b097ffb6a24732ffe375f19b9d3e5ca6831952a1c9"}) ioctl$LOOP_CLR_FD(r0, 0x4c01) 02:02:46 executing program 3: r0 = syz_open_dev$tty20(0xc, 0x4, 0x1) syz_genetlink_get_family_id$team(&(0x7f0000000080), 0xffffffffffffffff) ioctl$TIOCL_SETVESABLANK(r0, 0x541c, &(0x7f0000000080)) 02:02:46 executing program 0: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$batadv(&(0x7f0000000280), 0xffffffffffffffff) r2 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX(r2, 0x8933, &(0x7f0000000240)={'team_slave_0\x00', 0x0}) sendmsg$BATADV_CMD_SET_HARDIF(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000040)={0x1c, r1, 0xe11, 0x0, 0x0, {}, [@BATADV_ATTR_MESH_IFINDEX={0x8, 0x3, r3}]}, 0x1c}}, 0x0) 02:02:46 executing program 2: socket$nl_generic(0x10, 0x3, 0x10) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$loop(&(0x7f00000001c0), 0x0, 0x103382) r1 = memfd_create(&(0x7f0000000300)='U\xffA\x05\xd6\xe7\xfc\xa3\xb0\x04\xe2\x1b\\by\xdc2\xe3ZoL\x14\xa7\x8c\'\xa7\xcf\xff\xf0+9\xac\\\xa4\xa5=\\\xcc\xf6\xc4R\x88A\x94\xe0\xff\xff\xffX\x91\x1au\x83V\xc1H\xd3\rZ\x01!b\xc0\xaeW\xc5\x1f\xec\xfd\xe3\xc1\a\xeb\\,\x98P \xf2\xfe\xc5\xf9\xd4\x9a\x16\xa6\xb2\xde\x82\xa8\xf9\xcb{\xa9m\x83\xfb\x84\x8e\xef\xe3#\xcf$Df\x89\xe2?`\x96E=-\xd6\x91,4yEE\x1d\x81\x9c\x00\x00\x00\x00\x00\x001N\x1a\xff\xff\xff\xff\x00\x00\x00\x00\x00\x00\x00\xcc\xb8o7\t\x0e4$Lb\xf9e\x8dv\xd0Y\x86\x18\v\xd6\xf9\\-\xdc\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\a4\xf2\x8c\x19\x1e\xf0\xb5_\x93\x98\xb8\xcc\xc2\xc6\x15?\xa44\xd4\x12\xed\xa1\xf1!\xbch\x94\xd6\xf0\x98u\xd0#\x95y\x10\x06^\xdf\x0563\x9dX\xdcI\x06\xfe6\x1e^\"\x90\xbf\xa7&\xa3\xb5\x8d\\\xcf\xa1T\v\xd1\x92oV\x8d\xbcGd\x82\xaeD\xe0D\x10\xa9\xdb[\\eN\xbc\xb26-\xcd\xeat\xfa)\xc8\x00\x91<0\xbcf\x8b\xd5\xdb\xd9AC\xf5Z4h\x1a', 0x0) unshare(0x22000400) unshare(0x722cdcaace7d584f) pwritev(r1, &(0x7f0000000540)=[{&(0x7f0000000040)="3f9ebe2395457034", 0x8}], 0x1, 0x81806, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) write$binfmt_script(r1, &(0x7f0000000fc0)=ANY=[@ANYBLOB], 0x46) ioctl$LOOP_CHANGE_FD(r0, 0x4c00, r1) sendfile(0xffffffffffffffff, r1, 0x0, 0x100000000000042) connect$unix(0xffffffffffffffff, &(0x7f0000000080)=@abs={0x0, 0x0, 0x4e20}, 0x6e) unshare(0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, 0x0) sendmsg$NL80211_CMD_GET_WOWLAN(0xffffffffffffffff, &(0x7f0000000f80)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000f40)={&(0x7f0000000f00)={0x1c, 0x0, 0x20, 0x70bd29, 0x0, {{}, {@val={0x8}, @void, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4004000}, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$LOOP_CHANGE_FD(0xffffffffffffffff, 0x4c06, 0xffffffffffffffff) openat(0xffffffffffffffff, 0x0, 0x103, 0x23) ioctl$LOOP_SET_STATUS(r0, 0x4c02, &(0x7f0000000940)={0x0, {}, 0x0, {}, 0x0, 0x0, 0x0, 0xb9c, "1dcc79dc871c727f5161ac2a8e4cc9840bf9758bd451be073ffc9a88295eb61f59ed7b505b740380e9e52408f09a3a51eb40921a93b564681049851448ebb8ba", "3ffc41dee2559d50df9129b097ffb6a24732ffe375f19b9d3e5ca6831952a1c9"}) ioctl$LOOP_CLR_FD(r0, 0x4c01) 02:02:46 executing program 5: r0 = socket$inet6_udplite(0xa, 0x2, 0x88) getsockopt$sock_timeval(r0, 0x1, 0x10, 0x0, &(0x7f0000000040)) [ 1329.357882][T17924] xt_l2tp: v2 tid > 0xffff: 262144 [ 1329.375086][T17922] loop0: detected capacity change from 0 to 1036 02:02:46 executing program 3: r0 = syz_open_dev$tty20(0xc, 0x4, 0x1) syz_genetlink_get_family_id$team(&(0x7f0000000080), 0xffffffffffffffff) ioctl$TIOCL_SETVESABLANK(r0, 0x541c, &(0x7f0000000080)) 02:02:46 executing program 4: r0 = socket$inet_icmp_raw(0x2, 0x3, 0x1) setsockopt$IPT_SO_SET_REPLACE(r0, 0x4000000000000, 0x40, &(0x7f0000000600)=@raw={'raw\x00', 0x2, 0x3, 0x290, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1f8, 0x1f8, 0x1f8, 0x1f8, 0x1f8, 0x3, 0x0, {[{{@ip={@multicast1, @local, 0x0, 0x0, 'ip6gretap0\x00'}, 0x0, 0xd0, 0xf0, 0x0, {}, [@common=@inet=@l2tp={{0x30}, {0x40000, 0x0, 0x2, 0x0, 0x7}}, @common=@ah={{0x30}}]}, @unspec=@NOTRACK={0x20}}, {{@uncond, 0x0, 0xa0, 0x108, 0x0, {0x0, 0x600}, [@common=@ah={{0x30}}]}, @unspec=@CT2={0x68, 'CT\x00', 0x2, {0x0, 0x0, 0x0, 0x0, 'netbios-ns\x00', 'syz0\x00'}}}], {{'\x00', 0x0, 0x70, 0x98}, {0x28}}}}, 0x2f0) 02:02:46 executing program 5: r0 = socket$inet6_udplite(0xa, 0x2, 0x88) getsockopt$sock_timeval(r0, 0x1, 0x10, 0x0, &(0x7f0000000040)) 02:02:46 executing program 2: r0 = socket$inet(0x2, 0x1, 0x0) ioctl$sock_SIOCETHTOOL(r0, 0x8946, &(0x7f0000000040)={'bond_slave_1\x00', &(0x7f0000000000)=@ethtool_channels={0x3d, 0x0, 0x0, 0x0, 0x0, 0x1}}) 02:02:46 executing program 0: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$batadv(&(0x7f0000000280), 0xffffffffffffffff) r2 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX(r2, 0x8933, &(0x7f0000000240)={'team_slave_0\x00', 0x0}) sendmsg$BATADV_CMD_SET_HARDIF(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000040)={0x1c, r1, 0xe11, 0x0, 0x0, {}, [@BATADV_ATTR_MESH_IFINDEX={0x8, 0x3, r3}]}, 0x1c}}, 0x0) 02:02:46 executing program 1: socket$nl_generic(0x10, 0x3, 0x10) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) r0 = syz_open_dev$loop(&(0x7f00000001c0), 0x0, 0x103382) r1 = memfd_create(&(0x7f0000000300)='U\xffA\x05\xd6\xe7\xfc\xa3\xb0\x04\xe2\x1b\\by\xdc2\xe3ZoL\x14\xa7\x8c\'\xa7\xcf\xff\xf0+9\xac\\\xa4\xa5=\\\xcc\xf6\xc4R\x88A\x94\xe0\xff\xff\xffX\x91\x1au\x83V\xc1H\xd3\rZ\x01!b\xc0\xaeW\xc5\x1f\xec\xfd\xe3\xc1\a\xeb\\,\x98P \xf2\xfe\xc5\xf9\xd4\x9a\x16\xa6\xb2\xde\x82\xa8\xf9\xcb{\xa9m\x83\xfb\x84\x8e\xef\xe3#\xcf$Df\x89\xe2?`\x96E=-\xd6\x91,4yEE\x1d\x81\x9c\x00\x00\x00\x00\x00\x001N\x1a\xff\xff\xff\xff\x00\x00\x00\x00\x00\x00\x00\xcc\xb8o7\t\x0e4$Lb\xf9e\x8dv\xd0Y\x86\x18\v\xd6\xf9\\-\xdc\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\a4\xf2\x8c\x19\x1e\xf0\xb5_\x93\x98\xb8\xcc\xc2\xc6\x15?\xa44\xd4\x12\xed\xa1\xf1!\xbch\x94\xd6\xf0\x98u\xd0#\x95y\x10\x06^\xdf\x0563\x9dX\xdcI\x06\xfe6\x1e^\"\x90\xbf\xa7&\xa3\xb5\x8d\\\xcf\xa1T\v\xd1\x92oV\x8d\xbcGd\x82\xaeD\xe0D\x10\xa9\xdb[\\eN\xbc\xb26-\xcd\xeat\xfa)\xc8\x00\x91<0\xbcf\x8b\xd5\xdb\xd9AC\xf5Z4h\x1a', 0x0) unshare(0x22000400) unshare(0x722cdcaace7d584f) pwritev(r1, &(0x7f0000000540)=[{&(0x7f0000000040)="3f9ebe2395457034", 0x8}], 0x1, 0x81806, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) write$binfmt_script(r1, &(0x7f0000000fc0)=ANY=[@ANYBLOB], 0x46) ioctl$LOOP_CHANGE_FD(r0, 0x4c00, r1) sendfile(0xffffffffffffffff, r1, 0x0, 0x100000000000042) connect$unix(0xffffffffffffffff, &(0x7f0000000080)=@abs={0x0, 0x0, 0x4e20}, 0x6e) unshare(0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, 0x0) sendmsg$NL80211_CMD_GET_WOWLAN(0xffffffffffffffff, &(0x7f0000000f80)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000f40)={&(0x7f0000000f00)={0x1c, 0x0, 0x20, 0x70bd29, 0x0, {{}, {@val={0x8}, @void, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4004000}, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, 0x0) ioctl$LOOP_CHANGE_FD(0xffffffffffffffff, 0x4c06, 0xffffffffffffffff) openat(0xffffffffffffffff, 0x0, 0x103, 0x23) ioctl$LOOP_SET_STATUS(r0, 0x4c02, &(0x7f0000000940)={0x0, {}, 0x0, {}, 0x0, 0x0, 0x0, 0xb9c, "1dcc79dc871c727f5161ac2a8e4cc9840bf9758bd451be073ffc9a88295eb61f59ed7b505b740380e9e52408f09a3a51eb40921a93b564681049851448ebb8ba", "3ffc41dee2559d50df9129b097ffb6a24732ffe375f19b9d3e5ca6831952a1c9"}) ioctl$LOOP_CLR_FD(r0, 0x4c01) [ 1329.555566][T17935] xt_l2tp: v2 tid > 0xffff: 262144 02:02:46 executing program 5: r0 = socket$inet6_udplite(0xa, 0x2, 0x88) getsockopt$sock_timeval(r0, 0x1, 0x10, 0x0, &(0x7f0000000040)) 02:02:46 executing program 0: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$batadv(&(0x7f0000000280), 0xffffffffffffffff) r2 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX(r2, 0x8933, &(0x7f0000000240)={'team_slave_0\x00', 0x0}) sendmsg$BATADV_CMD_SET_HARDIF(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000040)={0x1c, r1, 0xe11, 0x0, 0x0, {}, [@BATADV_ATTR_MESH_IFINDEX={0x8, 0x3, r3}]}, 0x1c}}, 0x0) 02:02:46 executing program 4: r0 = socket$inet_icmp_raw(0x2, 0x3, 0x1) setsockopt$IPT_SO_SET_REPLACE(r0, 0x4000000000000, 0x40, &(0x7f0000000600)=@raw={'raw\x00', 0x2, 0x3, 0x290, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1f8, 0x1f8, 0x1f8, 0x1f8, 0x1f8, 0x3, 0x0, {[{{@ip={@multicast1, @local, 0x0, 0x0, 'ip6gretap0\x00'}, 0x0, 0xd0, 0xf0, 0x0, {}, [@common=@inet=@l2tp={{0x30}, {0x40000, 0x0, 0x2, 0x0, 0x7}}, @common=@ah={{0x30}}]}, @unspec=@NOTRACK={0x20}}, {{@uncond, 0x0, 0xa0, 0x108, 0x0, {0x0, 0x600}, [@common=@ah={{0x30}}]}, @unspec=@CT2={0x68, 'CT\x00', 0x2, {0x0, 0x0, 0x0, 0x0, 'netbios-ns\x00', 'syz0\x00'}}}], {{'\x00', 0x0, 0x70, 0x98}, {0x28}}}}, 0x2f0) [ 1329.695136][T17945] xt_l2tp: v2 tid > 0xffff: 262144 02:02:46 executing program 2: r0 = socket$inet(0x2, 0x1, 0x0) ioctl$sock_SIOCETHTOOL(r0, 0x8946, &(0x7f0000000040)={'bond_slave_1\x00', &(0x7f0000000000)=@ethtool_channels={0x3d, 0x0, 0x0, 0x0, 0x0, 0x1}}) [ 1329.740765][T17941] loop0: detected capacity change from 0 to 1036 02:02:46 executing program 5: r0 = syz_init_net_socket$ax25(0x3, 0x2, 0x0) ioctl$SIOCAX25GETINFOOLD(r0, 0x8901, &(0x7f0000000000)) 02:02:46 executing program 3: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r0, &(0x7f000000c2c0)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000340)=ANY=[@ANYBLOB="140000001000010000000000000000000000000a28000000000a0101000000005e1affd5020000000900010073797a300000000008000240000000032c000000030a01030000e6ff00000000020000000900010073797a30000000000900030073797a320000000014000000110001"], 0x7c}}, 0x0) sendmsg$NFT_BATCH(r0, &(0x7f0000000000)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000740)={{0x14}, [@NFT_MSG_NEWRULE={0x5c, 0x6, 0xa, 0x401, 0x0, 0x0, {0x2}, [@NFTA_RULE_EXPRESSIONS={0x30, 0x4, 0x0, 0x1, [{0x2c, 0x1, 0x0, 0x1, @cmp={{0x8}, @val={0x20, 0x2, 0x0, 0x1, [@NFTA_CMP_OP={0x8}, @NFTA_CMP_DATA={0xc, 0x3, 0x0, 0x1, [@NFTA_DATA_VALUE={0x5, 0x1, 's'}]}, @NFTA_CMP_SREG={0x8}]}}}]}, @NFTA_RULE_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_RULE_CHAIN={0x9, 0x2, 'syz2\x00'}]}], {0x14}}, 0x84}}, 0x0) 02:02:46 executing program 4: r0 = socket$inet_icmp_raw(0x2, 0x3, 0x1) setsockopt$IPT_SO_SET_REPLACE(r0, 0x4000000000000, 0x40, &(0x7f0000000600)=@raw={'raw\x00', 0x2, 0x3, 0x290, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1f8, 0x1f8, 0x1f8, 0x1f8, 0x1f8, 0x3, 0x0, {[{{@ip={@multicast1, @local, 0x0, 0x0, 'ip6gretap0\x00'}, 0x0, 0xd0, 0xf0, 0x0, {}, [@common=@inet=@l2tp={{0x30}, {0x40000, 0x0, 0x2, 0x0, 0x7}}, @common=@ah={{0x30}}]}, @unspec=@NOTRACK={0x20}}, {{@uncond, 0x0, 0xa0, 0x108, 0x0, {0x0, 0x600}, [@common=@ah={{0x30}}]}, @unspec=@CT2={0x68, 'CT\x00', 0x2, {0x0, 0x0, 0x0, 0x0, 'netbios-ns\x00', 'syz0\x00'}}}], {{'\x00', 0x0, 0x70, 0x98}, {0x28}}}}, 0x2f0) 02:02:46 executing program 3: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r0, &(0x7f000000c2c0)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000340)=ANY=[@ANYBLOB="140000001000010000000000000000000000000a28000000000a0101000000005e1affd5020000000900010073797a300000000008000240000000032c000000030a01030000e6ff00000000020000000900010073797a30000000000900030073797a320000000014000000110001"], 0x7c}}, 0x0) sendmsg$NFT_BATCH(r0, &(0x7f0000000000)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000740)={{0x14}, [@NFT_MSG_NEWRULE={0x5c, 0x6, 0xa, 0x401, 0x0, 0x0, {0x2}, [@NFTA_RULE_EXPRESSIONS={0x30, 0x4, 0x0, 0x1, [{0x2c, 0x1, 0x0, 0x1, @cmp={{0x8}, @val={0x20, 0x2, 0x0, 0x1, [@NFTA_CMP_OP={0x8}, @NFTA_CMP_DATA={0xc, 0x3, 0x0, 0x1, [@NFTA_DATA_VALUE={0x5, 0x1, 's'}]}, @NFTA_CMP_SREG={0x8}]}}}]}, @NFTA_RULE_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_RULE_CHAIN={0x9, 0x2, 'syz2\x00'}]}], {0x14}}, 0x84}}, 0x0) [ 1329.866309][T17950] xt_l2tp: v2 tid > 0xffff: 262144 02:02:46 executing program 4: r0 = socket$inet(0x2, 0x4000000000000001, 0x0) setsockopt$inet_tcp_int(r0, 0x6, 0xa, &(0x7f00000003c0)=0x871, 0x4) bind$inet(r0, &(0x7f0000000100)={0x2, 0x4e23, @broadcast}, 0x10) setsockopt$inet_tcp_TCP_CONGESTION(r0, 0x6, 0xd, &(0x7f0000000240)='scalable\x00', 0x9) sendto$inet(r0, 0x0, 0x0, 0x24003084, &(0x7f0000000180)={0x2, 0x4e23, @empty}, 0x10) write$binfmt_elf64(r0, &(0x7f0000000240)=ANY=[], 0x178) setsockopt$sock_int(r0, 0x1, 0x8, &(0x7f0000000140), 0x4) sendto$inet(r0, &(0x7f00000012c0)="0c268a927f1f6588b967481241ba7860005cf65ac618ded8974895abeaf4b4834ff922b3f1e0b02bd67aa03059bcecc7a95425a3a07e758044ab4ea6f7ae55d88fecf90b1a7511bf746bec66ba", 0xfe6a, 0x11, 0x0, 0x6d) recvmsg(r0, &(0x7f0000001500)={0x0, 0x1300, &(0x7f0000002200)=[{&(0x7f00000035c0)=""/4106, 0x200045ca}], 0x1, 0x0, 0xb2c86da597010000, 0x2700}, 0x100) 02:02:46 executing program 0: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$batadv(&(0x7f0000000280), 0xffffffffffffffff) r2 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX(r2, 0x8933, &(0x7f0000000240)={'team_slave_0\x00', 0x0}) sendmsg$BATADV_CMD_SET_HARDIF(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000040)={0x1c, r1, 0xe11, 0x0, 0x0, {}, [@BATADV_ATTR_MESH_IFINDEX={0x8, 0x3, r3}]}, 0x1c}}, 0x0) 02:02:46 executing program 2: r0 = socket$inet(0x2, 0x1, 0x0) ioctl$sock_SIOCETHTOOL(r0, 0x8946, &(0x7f0000000040)={'bond_slave_1\x00', &(0x7f0000000000)=@ethtool_channels={0x3d, 0x0, 0x0, 0x0, 0x0, 0x1}}) [ 1329.889764][ T26] audit: type=1400 audit(1687485766.842:916): avc: denied { create } for pid=17954 comm="syz-executor.5" scontext=root:sysadm_r:sysadm_t tcontext=root:sysadm_r:sysadm_t tclass=ax25_socket permissive=1 [ 1329.946933][T17941] I/O error, dev loop0, sector 0 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 1329.963855][ T26] audit: type=1400 audit(1687485766.842:917): avc: denied { ioctl } for pid=17954 comm="syz-executor.5" path="socket:[74274]" dev="sockfs" ino=74274 ioctlcmd=0x8901 scontext=root:sysadm_r:sysadm_t tcontext=root:sysadm_r:sysadm_t tclass=ax25_socket permissive=1 [ 1330.001078][T17941] Buffer I/O error on dev loop0, logical block 0, async page read [ 1330.045862][T17941] ldm_validate_partition_table(): Disk read failed. [ 1330.052691][T17941] Dev loop0: unable to read RDB block 0 [ 1330.061961][T17941] loop0: unable to read partition table [ 1330.080600][T17941] loop0: partition table beyond EOD, truncated [ 1330.100535][T17941] loop_reread_partitions: partition scan of loop0 () failed (rc=-5) 02:02:47 executing program 2: r0 = socket$inet(0x2, 0x1, 0x0) ioctl$sock_SIOCETHTOOL(r0, 0x8946, &(0x7f0000000040)={'bond_slave_1\x00', &(0x7f0000000000)=@ethtool_channels={0x3d, 0x0, 0x0, 0x0, 0x0, 0x1}}) 02:02:47 executing program 5: r0 = syz_init_net_socket$ax25(0x3, 0x2, 0x0) ioctl$SIOCAX25GETINFOOLD(r0, 0x8901, &(0x7f0000000000)) 02:02:47 executing program 3: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r0, &(0x7f000000c2c0)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000340)=ANY=[@ANYBLOB="140000001000010000000000000000000000000a28000000000a0101000000005e1affd5020000000900010073797a300000000008000240000000032c000000030a01030000e6ff00000000020000000900010073797a30000000000900030073797a320000000014000000110001"], 0x7c}}, 0x0) sendmsg$NFT_BATCH(r0, &(0x7f0000000000)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000740)={{0x14}, [@NFT_MSG_NEWRULE={0x5c, 0x6, 0xa, 0x401, 0x0, 0x0, {0x2}, [@NFTA_RULE_EXPRESSIONS={0x30, 0x4, 0x0, 0x1, [{0x2c, 0x1, 0x0, 0x1, @cmp={{0x8}, @val={0x20, 0x2, 0x0, 0x1, [@NFTA_CMP_OP={0x8}, @NFTA_CMP_DATA={0xc, 0x3, 0x0, 0x1, [@NFTA_DATA_VALUE={0x5, 0x1, 's'}]}, @NFTA_CMP_SREG={0x8}]}}}]}, @NFTA_RULE_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_RULE_CHAIN={0x9, 0x2, 'syz2\x00'}]}], {0x14}}, 0x84}}, 0x0) 02:02:47 executing program 1: r0 = open(&(0x7f0000000080)='./bus\x00', 0x105042, 0x0) setrlimit(0x1, &(0x7f0000000100)={0xffffffffffffffff, 0xffffffffffffffff}) fallocate(r0, 0x0, 0x0, 0x21d42a8a) utime(&(0x7f0000000000)='./bus\x00', 0x0) chdir(&(0x7f00000000c0)='./bus\x00') 02:02:47 executing program 2: bpf$PROG_LOAD_XDP(0x5, &(0x7f0000000240)={0x9, 0x4, &(0x7f00000001c0)=@framed={{}, [@ldst={0x3, 0x0, 0x3, 0x0, 0x0, 0x0, 0xfffffffffffffffc}]}, &(0x7f0000000040)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0xd, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) 02:02:47 executing program 0: r0 = syz_open_dev$radio(&(0x7f00000000c0), 0x0, 0x2) ioctl$VIDIOC_G_FREQUENCY(r0, 0xc02c5638, &(0x7f0000000140)={0x5}) 02:02:47 executing program 5: r0 = syz_init_net_socket$ax25(0x3, 0x2, 0x0) ioctl$SIOCAX25GETINFOOLD(r0, 0x8901, &(0x7f0000000000)) 02:02:47 executing program 3: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFT_BATCH(r0, &(0x7f000000c2c0)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000340)=ANY=[@ANYBLOB="140000001000010000000000000000000000000a28000000000a0101000000005e1affd5020000000900010073797a300000000008000240000000032c000000030a01030000e6ff00000000020000000900010073797a30000000000900030073797a320000000014000000110001"], 0x7c}}, 0x0) sendmsg$NFT_BATCH(r0, &(0x7f0000000000)={0x0, 0x0, &(0x7f0000000040)={&(0x7f0000000740)={{0x14}, [@NFT_MSG_NEWRULE={0x5c, 0x6, 0xa, 0x401, 0x0, 0x0, {0x2}, [@NFTA_RULE_EXPRESSIONS={0x30, 0x4, 0x0, 0x1, [{0x2c, 0x1, 0x0, 0x1, @cmp={{0x8}, @val={0x20, 0x2, 0x0, 0x1, [@NFTA_CMP_OP={0x8}, @NFTA_CMP_DATA={0xc, 0x3, 0x0, 0x1, [@NFTA_DATA_VALUE={0x5, 0x1, 's'}]}, @NFTA_CMP_SREG={0x8}]}}}]}, @NFTA_RULE_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_RULE_CHAIN={0x9, 0x2, 'syz2\x00'}]}], {0x14}}, 0x84}}, 0x0) 02:02:47 executing program 0: r0 = syz_open_dev$radio(&(0x7f00000000c0), 0x0, 0x2) ioctl$VIDIOC_G_FREQUENCY(r0, 0xc02c5638, &(0x7f0000000140)={0x5}) [ 1330.431440][ T26] audit: type=1800 audit(1687485767.382:918): pid=17978 uid=0 auid=4294967295 ses=4294967295 subj=root:sysadm_r:sysadm_t op=collect_data cause=failed(directio) comm="syz-executor.1" name="bus" dev="sda1" ino=1980 res=0 errno=0 [ 1330.509444][ T26] audit: type=1400 audit(1687485767.412:919): avc: denied { ioctl } for pid=17976 comm="syz-executor.0" path="/dev/radio0" dev="devtmpfs" ino=872 ioctlcmd=0x5638 scontext=root:sysadm_r:sysadm_t tcontext=system_u:object_r:v4l_device_t tclass=chr_file permissive=1 02:02:47 executing program 4: r0 = socket$inet(0x2, 0x4000000000000001, 0x0) setsockopt$inet_tcp_int(r0, 0x6, 0xa, &(0x7f00000003c0)=0x871, 0x4) bind$inet(r0, &(0x7f0000000100)={0x2, 0x4e23, @broadcast}, 0x10) setsockopt$inet_tcp_TCP_CONGESTION(r0, 0x6, 0xd, &(0x7f0000000240)='scalable\x00', 0x9) sendto$inet(r0, 0x0, 0x0, 0x24003084, &(0x7f0000000180)={0x2, 0x4e23, @empty}, 0x10) write$binfmt_elf64(r0, &(0x7f0000000240)=ANY=[], 0x178) setsockopt$sock_int(r0, 0x1, 0x8, &(0x7f0000000140), 0x4) sendto$inet(r0, &(0x7f00000012c0)="0c268a927f1f6588b967481241ba7860005cf65ac618ded8974895abeaf4b4834ff922b3f1e0b02bd67aa03059bcecc7a95425a3a07e758044ab4ea6f7ae55d88fecf90b1a7511bf746bec66ba", 0xfe6a, 0x11, 0x0, 0x6d) recvmsg(r0, &(0x7f0000001500)={0x0, 0x1300, &(0x7f0000002200)=[{&(0x7f00000035c0)=""/4106, 0x200045ca}], 0x1, 0x0, 0xb2c86da597010000, 0x2700}, 0x100) 02:02:47 executing program 2: bpf$PROG_LOAD_XDP(0x5, &(0x7f0000000240)={0x9, 0x4, &(0x7f00000001c0)=@framed={{}, [@ldst={0x3, 0x0, 0x3, 0x0, 0x0, 0x0, 0xfffffffffffffffc}]}, &(0x7f0000000040)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0xd, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) 02:02:47 executing program 5: r0 = syz_init_net_socket$ax25(0x3, 0x2, 0x0) ioctl$SIOCAX25GETINFOOLD(r0, 0x8901, &(0x7f0000000000)) 02:02:47 executing program 3: r0 = socket$inet_tcp(0x2, 0x1, 0x0) setsockopt$inet_tcp_int(r0, 0x6, 0x2, &(0x7f0000000440)=0x82, 0x49) ioctl$int_in(r0, 0x5452, &(0x7f0000000040)=0x401) bind$inet(r0, &(0x7f0000000000)={0x2, 0x4e21, @local}, 0x10) connect$inet(r0, &(0x7f0000000180)={0x2, 0x4e21, @local}, 0x10) r1 = socket(0x10, 0x3, 0x0) r2 = socket$packet(0x11, 0x2, 0x300) ioctl$sock_SIOCGIFINDEX(r2, 0x8933, &(0x7f0000000080)={'ip6tnl0\x00', 0x0}) sendmsg$nl_route_sched(r1, &(0x7f00000007c0)={0x0, 0x0, &(0x7f0000004640)={&(0x7f0000000980)=@newqdisc={0x108, 0x24, 0xf0b, 0x0, 0x0, {0x0, 0x0, 0x0, r3, {}, {0xffff, 0xffff}}, [@qdisc_kind_options=@q_netem={{0xa}, {0xd8, 0x2, {{}, [@TCA_NETEM_RATE={0x14}, @TCA_NETEM_DELAY_DIST={0xa, 0x2, "58d5c080bbdd"}, @TCA_NETEM_LOSS={0x4c, 0x5, 0x0, 0x1, [@NETEM_LOSS_GI={0x18, 0x8}, @NETEM_LOSS_GI={0x18}, @NETEM_LOSS_GI={0x18}]}, @TCA_NETEM_LOSS={0x44, 0x5, 0x0, 0x1, [@NETEM_LOSS_GE={0x14}, @NETEM_LOSS_GE={0x14}, @NETEM_LOSS_GI={0x18}]}, @TCA_NETEM_REORDER={0xc}]}}}]}, 0x108}}, 0x0) sendmmsg$inet(r0, &(0x7f0000000340)=[{{&(0x7f00000001c0)={0x2, 0x4e20, @multicast1}, 0x10, 0x0, 0x0, &(0x7f0000000940)=[@ip_tos_u8={{0x11, 0x0, 0x1, 0x8}}, @ip_tos_u8={{0x11, 0x0, 0x1, 0x3f}}, @ip_tos_u8={{0x11, 0x0, 0x1, 0x9}}, @ip_tos_u8={{0x11, 0x0, 0x1, 0x81}}, @ip_tos_int={{0x14, 0x0, 0x1, 0x4474}}, @ip_tos_u8={{0x11}}, @ip_pktinfo={{0x1c, 0x0, 0x8, {r3, @rand_addr, @initdev={0xac, 0x1e, 0x0, 0x0}}}}], 0xb0}}], 0x1, 0x4008840) syz_open_dev$char_usb(0xc, 0xb4, 0x0) r4 = socket$inet6_icmp_raw(0xa, 0x3, 0x3a) pwrite64(0xffffffffffffffff, 0x0, 0x0, 0x0) ioctl$sock_inet_SIOCSIFFLAGS(r4, 0x8914, &(0x7f0000000300)={'dummy0\x00', 0x800}) fchdir(r0) sendto$inet(r0, &(0x7f0000000480)="fbbf0b5044e308cb7bd572aa2b42e9678bcf30eff9f3aed14dc94a114bd2b45956aebe2b108a87e865501a5f9e0383611afdd3f8bac3d5cfd7772a3ab48d0ba4b600731e357e38716c449fae7c28548a4f2105f44b8fd9b33041270ae01f1a405e3f650fc3b0926d481c364fca00000000000000006d3a3ede9fc738b8d86209c060161d5ddb5fcf3d09001117cdb9d055aa2d89fe3458720724853a876448d4a1fe9ef0569ad98a05ab5df763923b4e2c576e00000000000000000000000000000000002090666159e3075f7244cf4ec3d7814c0c934f44e200219e6dd7bc23397d5f2f2c76a5baddd0fd8c340362691ef226f7a0ac51b74b6be5ed6737948514cd466943d08eeb3895b80499da2b209da4f3ec5e3744ce3e863b0e04d0ec2f39edf50b6e08c4b47e448a35414763d687fbe3792ee15c5b9791310a346472723c100bf77a310b0ced8004b5ac6d48c40439f512e8ef34a53d65f55563f68136a577736ca5f6f66e01ef4ec2cdc8db34f6de50713adaa3f70189958263fddc1314f8a28ccdef6e1390c5fbaeadc3035d019f0dc75de307de6c0d010000000000000027083d1d5b4b013c503b863b560688d94de886b6dc73d5da2dfeff4bed1a49a975a6c8dbb480e4415ddca5657a5a8e3b111015499e952bb5e8d8f60de3d688df7802c6e8b27b31fac4e199038b79a3999920e634a5af162a9581b0e6647e410700246548234acacf9cb43ab332a37bbc926c39897395c974fda31536be523bf4260300730ae6136fecae5f0fa6ab2df8d98128b24589e3bbe5230e07dc5e0d65cc397e3f8204d48e59e8e294a6d7008ba8fba28cd5009fe1a7c569ce740078", 0x261, 0xc000, 0x0, 0x0) recvmsg(r0, &(0x7f00000033c0)={&(0x7f00000003c0)=@ethernet={0x0, @dev}, 0x80, &(0x7f0000002040)=[{&(0x7f0000000080)=""/49, 0x31}, {&(0x7f0000000f80)=""/4096, 0xffffffe1}, {0x0}, {&(0x7f0000000100)=""/102, 0x66}, {&(0x7f00000021c0)=""/4096, 0x1000}], 0x5, &(0x7f0000001f80)=""/178, 0xb2}, 0x120) ioctl$ifreq_SIOCGIFINDEX_vcan(0xffffffffffffffff, 0x8933, 0x0) socket$inet6(0xa, 0x0, 0x0) socketpair$unix(0x1, 0x0, 0x0, 0x0) connect$inet(r0, &(0x7f00000000c0)={0x2, 0x4e24, @multicast2}, 0x10) bpf$BPF_PROG_TEST_RUN(0xa, &(0x7f0000000180)={0xffffffffffffffff, 0x2f000000, 0xe, 0x0, &(0x7f0000000200)="63eced8e46dc3f0adf33c9f7b986", 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}, 0x48) ioctl$AUTOFS_DEV_IOCTL_ASKUMOUNT(0xffffffffffffffff, 0xc018937d, &(0x7f0000000280)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32, @ANYBLOB="08000000000000e3ae49ce002e2f6669"]) connect$unix(0xffffffffffffffff, 0x0, 0x0) sendto$inet(0xffffffffffffffff, &(0x7f0000000ac0)="73d3a349465169783e5b58efa6b594a96ca92515fee24db001d8e29a4c7bb2230e3c1763b47370450b16d148935f2fb388fcb90711b1acb287466c9c841ac6d06cb5efd3d97ab50232461e6e2176b3a6dd98958c688fccf2c2c0d764d1611fd7882eef03ae784a91072d53bf0328b8e685a266f0faf291b3bfbf73a27165bc20f83774fff60a2f503813baa18b8029d266dd940c10aab5660dd67762331b41eda22db5970f68f9f07a5059b277e96b2f8ba53fabe552f5b7942ff099f162fdd73eaa56128a2fd67a514c2d8c2615af3360b1107f009b3df2039a6d7c", 0xdc, 0x800, 0x0, 0x0) recvmmsg(0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0) syz_mount_image$iso9660(&(0x7f00000000c0), &(0x7f0000000040)='./file0\x00', 0x800011, &(0x7f0000000100)=ANY=[@ANYBLOB="00ec199c06f4f77b3773f91ebcd0001bb25326dfe7f3545494513eb99c5b008cc995486ad8802432f536e8a841159e91ff1019d76063057cb9e1930c6a78774a8eca8e40772d3aa6a848d57c1217cf7d1544ff2306b53be69b86be65b53ea0fb39e2570eb233568d3eb67bd3a1862227c5b641bda045c785e063b34e5290cff2436e036d118cc38abe8665ac49bae33081c541e47d77514ce0f24756d08130440b71aaa330515995d58ad678d5f2fcbda6d9ac4d41a050b8fb11cf120d35a424d5f2861dbda8439cd62550647ee91170365b9f8fdd7679f8dcdf9e2f1dec24d5190d765ab60f48b12d136a0c983c80dfb6125abb344d45e43264baaf13da9855a929f95839b242914c000022a9e9bfa142617425d76d537e7ad6952ea3f7992f5f90e5c4e1592d5c52b13c85e2c8f534857939bc53b391de733a"], 0x1, 0x944, &(0x7f0000001440)="$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") r5 = openat(0xffffffffffffff9c, &(0x7f0000000040)='.\x00', 0x0, 0x0) getdents64(r5, 0x0, 0x18) getpeername$inet6(r5, &(0x7f0000000000)={0xa, 0x0, 0x0, @private0}, &(0x7f0000000080)=0x1c) 02:02:47 executing program 1: r0 = open(&(0x7f0000000080)='./bus\x00', 0x105042, 0x0) setrlimit(0x1, &(0x7f0000000100)={0xffffffffffffffff, 0xffffffffffffffff}) fallocate(r0, 0x0, 0x0, 0x21d42a8a) utime(&(0x7f0000000000)='./bus\x00', 0x0) chdir(&(0x7f00000000c0)='./bus\x00') 02:02:47 executing program 0: r0 = syz_open_dev$radio(&(0x7f00000000c0), 0x0, 0x2) ioctl$VIDIOC_G_FREQUENCY(r0, 0xc02c5638, &(0x7f0000000140)={0x5}) 02:02:47 executing program 2: bpf$PROG_LOAD_XDP(0x5, &(0x7f0000000240)={0x9, 0x4, &(0x7f00000001c0)=@framed={{}, [@ldst={0x3, 0x0, 0x3, 0x0, 0x0, 0x0, 0xfffffffffffffffc}]}, &(0x7f0000000040)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0xd, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) 02:02:47 executing program 0: r0 = syz_open_dev$radio(&(0x7f00000000c0), 0x0, 0x2) ioctl$VIDIOC_G_FREQUENCY(r0, 0xc02c5638, &(0x7f0000000140)={0x5}) [ 1330.917791][ T26] audit: type=1800 audit(1687485767.872:920): pid=17996 uid=0 auid=4294967295 ses=4294967295 subj=root:sysadm_r:sysadm_t op=collect_data cause=failed(directio) comm="syz-executor.1" name="bus" dev="sda1" ino=1952 res=0 errno=0 02:02:47 executing program 5: r0 = socket$key(0xf, 0x3, 0x2) sendmsg$key(r0, &(0x7f0000000040)={0x3, 0x0, &(0x7f0000000340)={&(0x7f0000000080)={0x2, 0x3, 0x0, 0xb, 0xa, 0x0, 0x0, 0x0, [@sadb_address={0x3, 0x6}, @sadb_sa={0x2}, @sadb_address={0x3, 0x5, 0x0, 0x0, 0x0, @in={0x2, 0x0, @empty}}]}, 0x50}, 0x1, 0x7}, 0x0) [ 1330.977358][T18000] ip6tnl0: Caught tx_queue_len zero misconfig [ 1330.999133][T18000] [ 1331.001509][T18000] ===================================================== [ 1331.008542][T18000] WARNING: SOFTIRQ-safe -> SOFTIRQ-unsafe lock order detected [ 1331.016009][T18000] 6.4.0-rc7-syzkaller-00194-g8a28a0b6f1a1 #0 Not tainted 02:02:48 executing program 2: bpf$PROG_LOAD_XDP(0x5, &(0x7f0000000240)={0x9, 0x4, &(0x7f00000001c0)=@framed={{}, [@ldst={0x3, 0x0, 0x3, 0x0, 0x0, 0x0, 0xfffffffffffffffc}]}, &(0x7f0000000040)='GPL\x00', 0x0, 0x0, 0x0, 0x0, 0x0, '\x00', 0x0, 0xd, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x80) [ 1331.023046][T18000] ----------------------------------------------------- [ 1331.029990][T18000] syz-executor.3/18000 [HC0[0]:SC0[2]:HE1:SE0] is trying to acquire: [ 1331.038085][T18000] ffffffff8c8e9a80 (fs_reclaim){+.+.}-{0:0}, at: __kmem_cache_alloc_node+0x3b/0x3f0 [ 1331.047574][T18000] [ 1331.047574][T18000] and this task is already holding: [ 1331.054950][T18000] ffff88807ae21908 (&sch->q.lock){+.-.}-{2:2}, at: netem_change+0x1520/0x1f70 [ 1331.063951][T18000] which would create a new lock dependency: [ 1331.069852][T18000] (&sch->q.lock){+.-.}-{2:2} -> (fs_reclaim){+.+.}-{0:0} 02:02:48 executing program 2: ioctl$KVM_REGISTER_COALESCED_MMIO(0xffffffffffffffff, 0x4010ae67, &(0x7f0000000040)={0x1, 0x210000}) r0 = add_key$keyring(&(0x7f0000000080), &(0x7f00000000c0)={'syz', 0x0}, 0x0, 0x0, 0xfffffffffffffffe) add_key(&(0x7f0000000140)='ceph\x00', &(0x7f0000000180), &(0x7f0000000040), 0x1d4, r0) 02:02:48 executing program 2: ioctl$KVM_REGISTER_COALESCED_MMIO(0xffffffffffffffff, 0x4010ae67, &(0x7f0000000040)={0x1, 0x210000}) r0 = add_key$keyring(&(0x7f0000000080), &(0x7f00000000c0)={'syz', 0x0}, 0x0, 0x0, 0xfffffffffffffffe) add_key(&(0x7f0000000140)='ceph\x00', &(0x7f0000000180), &(0x7f0000000040), 0x1d4, r0) [ 1331.077032][T18000] [ 1331.077032][T18000] but this new dependency connects a SOFTIRQ-irq-safe lock: [ 1331.086503][T18000] (&sch->q.lock){+.-.}-{2:2} [ 1331.086541][T18000] [ 1331.086541][T18000] ... which became SOFTIRQ-irq-safe at: [ 1331.098932][T18000] lock_acquire+0x1b1/0x520 [ 1331.103567][T18000] _raw_spin_lock+0x2e/0x40 [ 1331.108291][T18000] pie_timer+0xf5/0x3f0 [ 1331.112683][T18000] call_timer_fn+0x1a0/0x580 [ 1331.117475][T18000] expire_timers+0x29b/0x4b0 [ 1331.122196][T18000] run_timer_softirq+0x326/0x910 [ 1331.127247][T18000] __do_softirq+0x1d4/0x905 [ 1331.131874][T18000] __irq_exit_rcu+0x114/0x190 [ 1331.136697][T18000] irq_exit_rcu+0x9/0x20 [ 1331.141060][T18000] sysvec_apic_timer_interrupt+0x97/0xc0 [ 1331.147161][T18000] asm_sysvec_apic_timer_interrupt+0x1a/0x20 [ 1331.153585][T18000] _raw_spin_unlock_irq+0x29/0x50 [ 1331.158741][T18000] loop_queue_rq+0x627/0x1260 [ 1331.163583][T18000] __blk_mq_issue_directly+0xd1/0x260 [ 1331.169134][T18000] blk_mq_try_issue_directly+0x187/0x360 [ 1331.174907][T18000] blk_mq_submit_bio+0x1671/0x1f50 [ 1331.180324][T18000] __submit_bio+0xfc/0x310 [ 1331.184867][T18000] submit_bio_noacct_nocheck+0x7f9/0xb40 [ 1331.190710][T18000] submit_bio_noacct+0x945/0x19f0 [ 1331.195920][T18000] __sync_dirty_buffer+0x174/0x380 [ 1331.201239][T18000] __ext4_handle_dirty_metadata+0x2b7/0x8e0 [ 1331.207273][T18000] ext4_xattr_set_entry+0x2bd5/0x3810 [ 1331.212780][T18000] ext4_xattr_block_set+0xcb7/0x2fd0 [ 1331.218183][T18000] ext4_xattr_set_handle+0xd8a/0x1510 [ 1331.223637][T18000] ext4_xattr_set+0x144/0x360 [ 1331.228402][T18000] __vfs_setxattr+0x173/0x1e0 [ 1331.233245][T18000] __vfs_setxattr_noperm+0x129/0x5f0 [ 1331.239312][T18000] __vfs_setxattr_locked+0x1d3/0x260 [ 1331.244691][T18000] vfs_setxattr+0x143/0x340 [ 1331.249266][T18000] do_setxattr+0x147/0x190 [ 1331.253757][T18000] setxattr+0x146/0x160 [ 1331.258002][T18000] path_setxattr+0x197/0x1c0 [ 1331.262706][T18000] __x64_sys_setxattr+0xc4/0x160 [ 1331.267757][T18000] do_syscall_64+0x39/0xb0 [ 1331.272281][T18000] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1331.278368][T18000] [ 1331.278368][T18000] to a SOFTIRQ-irq-unsafe lock: [ 1331.285413][T18000] (fs_reclaim){+.+.}-{0:0} [ 1331.285451][T18000] [ 1331.285451][T18000] ... which became SOFTIRQ-irq-unsafe at: [ 1331.298967][T18000] ... [ 1331.298977][T18000] lock_acquire+0x1b1/0x520 [ 1331.306248][T18000] fs_reclaim_acquire+0x11d/0x160 [ 1331.311582][T18000] __kmem_cache_alloc_node+0x3b/0x3f0 [ 1331.317053][T18000] kmalloc_trace+0x26/0xe0 [ 1331.321581][T18000] workqueue_init+0xf5/0xd40 [ 1331.326373][T18000] kernel_init_freeable+0x34c/0xba0 [ 1331.331673][T18000] kernel_init+0x1e/0x2c0 [ 1331.336115][T18000] ret_from_fork+0x1f/0x30 [ 1331.340642][T18000] [ 1331.340642][T18000] other info that might help us debug this: [ 1331.340642][T18000] [ 1331.350947][T18000] Possible interrupt unsafe locking scenario: [ 1331.350947][T18000] [ 1331.359253][T18000] CPU0 CPU1 [ 1331.364603][T18000] ---- ---- [ 1331.369955][T18000] lock(fs_reclaim); [ 1331.373935][T18000] local_irq_disable(); [ 1331.380672][T18000] lock(&sch->q.lock); [ 1331.387333][T18000] lock(fs_reclaim); [ 1331.393822][T18000] [ 1331.397261][T18000] lock(&sch->q.lock); [ 1331.401572][T18000] [ 1331.401572][T18000] *** DEADLOCK *** [ 1331.401572][T18000] [ 1331.409702][T18000] 2 locks held by syz-executor.3/18000: [ 1331.415232][T18000] #0: ffffffff8e10bbe8 (rtnl_mutex){+.+.}-{3:3}, at: rtnetlink_rcv_msg+0x3e8/0xd50 [ 1331.424768][T18000] #1: ffff88807ae21908 (&sch->q.lock){+.-.}-{2:2}, at: netem_change+0x1520/0x1f70 [ 1331.434411][T18000] [ 1331.434411][T18000] the dependencies between SOFTIRQ-irq-safe lock and the holding lock: [ 1331.444811][T18000] -> (&sch->q.lock){+.-.}-{2:2} { [ 1331.449837][T18000] HARDIRQ-ON-W at: [ 1331.453804][T18000] lock_acquire+0x1b1/0x520 [ 1331.459984][T18000] _raw_spin_lock_bh+0x33/0x40 [ 1331.466429][T18000] dev_reset_queue+0xab/0x1d0 [ 1331.472801][T18000] dev_deactivate_many+0x36d/0xb00 [ 1331.480773][T18000] dev_deactivate+0xed/0x1b0 [ 1331.487002][T18000] linkwatch_do_dev+0x101/0x150 [ 1331.493497][T18000] __linkwatch_run_queue+0x23f/0x6a0 [ 1331.500426][T18000] linkwatch_event+0x4e/0x70 [ 1331.506676][T18000] process_one_work+0x99a/0x15e0 [ 1331.513289][T18000] worker_thread+0x67d/0x10c0 [ 1331.519797][T18000] kthread+0x344/0x440 [ 1331.525528][T18000] ret_from_fork+0x1f/0x30 [ 1331.531600][T18000] IN-SOFTIRQ-W at: [ 1331.535570][T18000] lock_acquire+0x1b1/0x520 [ 1331.541750][T18000] _raw_spin_lock+0x2e/0x40 [ 1331.548169][T18000] pie_timer+0xf5/0x3f0 [ 1331.553987][T18000] call_timer_fn+0x1a0/0x580 [ 1331.560226][T18000] expire_timers+0x29b/0x4b0 [ 1331.566780][T18000] run_timer_softirq+0x326/0x910 [ 1331.573447][T18000] __do_softirq+0x1d4/0x905 [ 1331.579604][T18000] __irq_exit_rcu+0x114/0x190 [ 1331.585932][T18000] irq_exit_rcu+0x9/0x20 [ 1331.591868][T18000] sysvec_apic_timer_interrupt+0x97/0xc0 [ 1331.599153][T18000] asm_sysvec_apic_timer_interrupt+0x1a/0x20 [ 1331.606789][T18000] _raw_spin_unlock_irq+0x29/0x50 [ 1331.613925][T18000] loop_queue_rq+0x627/0x1260 [ 1331.620249][T18000] __blk_mq_issue_directly+0xd1/0x260 [ 1331.627265][T18000] blk_mq_try_issue_directly+0x187/0x360 [ 1331.634544][T18000] blk_mq_submit_bio+0x1671/0x1f50 [ 1331.641388][T18000] __submit_bio+0xfc/0x310 [ 1331.648662][T18000] submit_bio_noacct_nocheck+0x7f9/0xb40 [ 1331.655940][T18000] submit_bio_noacct+0x945/0x19f0 [ 1331.662618][T18000] __sync_dirty_buffer+0x174/0x380 [ 1331.669381][T18000] __ext4_handle_dirty_metadata+0x2b7/0x8e0 [ 1331.676931][T18000] ext4_xattr_set_entry+0x2bd5/0x3810 [ 1331.683947][T18000] ext4_xattr_block_set+0xcb7/0x2fd0 [ 1331.690893][T18000] ext4_xattr_set_handle+0xd8a/0x1510 [ 1331.697905][T18000] ext4_xattr_set+0x144/0x360 [ 1331.704307][T18000] __vfs_setxattr+0x173/0x1e0 [ 1331.710673][T18000] __vfs_setxattr_noperm+0x129/0x5f0 [ 1331.717634][T18000] __vfs_setxattr_locked+0x1d3/0x260 [ 1331.724560][T18000] vfs_setxattr+0x143/0x340 [ 1331.730699][T18000] do_setxattr+0x147/0x190 [ 1331.736750][T18000] setxattr+0x146/0x160 [ 1331.742552][T18000] path_setxattr+0x197/0x1c0 [ 1331.748799][T18000] __x64_sys_setxattr+0xc4/0x160 [ 1331.755375][T18000] do_syscall_64+0x39/0xb0 [ 1331.761438][T18000] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1331.769161][T18000] INITIAL USE at: [ 1331.773048][T18000] lock_acquire+0x1b1/0x520 [ 1331.779131][T18000] _raw_spin_lock_bh+0x33/0x40 [ 1331.785457][T18000] dev_reset_queue+0xab/0x1d0 [ 1331.791690][T18000] dev_deactivate_many+0x36d/0xb00 [ 1331.798370][T18000] dev_deactivate+0xed/0x1b0 [ 1331.804517][T18000] linkwatch_do_dev+0x101/0x150 [ 1331.810952][T18000] __linkwatch_run_queue+0x23f/0x6a0 [ 1331.817833][T18000] linkwatch_event+0x4e/0x70 [ 1331.824876][T18000] process_one_work+0x99a/0x15e0 [ 1331.831397][T18000] worker_thread+0x67d/0x10c0 [ 1331.837676][T18000] kthread+0x344/0x440 [ 1331.843339][T18000] ret_from_fork+0x1f/0x30 [ 1331.849370][T18000] } [ 1331.851883][T18000] ... key at: [] __key.4+0x0/0x40 [ 1331.859311][T18000] [ 1331.859311][T18000] the dependencies between the lock to be acquired [ 1331.859323][T18000] and SOFTIRQ-irq-unsafe lock: [ 1331.872958][T18000] -> (fs_reclaim){+.+.}-{0:0} { [ 1331.877864][T18000] HARDIRQ-ON-W at: [ 1331.881875][T18000] lock_acquire+0x1b1/0x520 [ 1331.888159][T18000] fs_reclaim_acquire+0x11d/0x160 [ 1331.894869][T18000] __kmem_cache_alloc_node+0x3b/0x3f0 [ 1331.901942][T18000] kmalloc_trace+0x26/0xe0 [ 1331.908063][T18000] workqueue_init+0xf5/0xd40 [ 1331.914514][T18000] kernel_init_freeable+0x34c/0xba0 [ 1331.921486][T18000] kernel_init+0x1e/0x2c0 [ 1331.927471][T18000] ret_from_fork+0x1f/0x30 [ 1331.933547][T18000] SOFTIRQ-ON-W at: [ 1331.937593][T18000] lock_acquire+0x1b1/0x520 [ 1331.943746][T18000] fs_reclaim_acquire+0x11d/0x160 [ 1331.950410][T18000] __kmem_cache_alloc_node+0x3b/0x3f0 [ 1331.957451][T18000] kmalloc_trace+0x26/0xe0 [ 1331.963544][T18000] workqueue_init+0xf5/0xd40 [ 1331.969781][T18000] kernel_init_freeable+0x34c/0xba0 [ 1331.976631][T18000] kernel_init+0x1e/0x2c0 [ 1331.982615][T18000] ret_from_fork+0x1f/0x30 [ 1331.988690][T18000] INITIAL USE at: [ 1331.992670][T18000] lock_acquire+0x1b1/0x520 [ 1331.998834][T18000] fs_reclaim_acquire+0x11d/0x160 [ 1332.005443][T18000] __kmem_cache_alloc_node+0x3b/0x3f0 [ 1332.012422][T18000] kmalloc_trace+0x26/0xe0 [ 1332.018467][T18000] workqueue_init+0xf5/0xd40 [ 1332.024656][T18000] kernel_init_freeable+0x34c/0xba0 [ 1332.031565][T18000] kernel_init+0x1e/0x2c0 [ 1332.037502][T18000] ret_from_fork+0x1f/0x30 [ 1332.043513][T18000] } [ 1332.046009][T18000] ... key at: [] __fs_reclaim_map+0x0/0xe0 [ 1332.054026][T18000] ... acquired at: [ 1332.057825][T18000] lock_acquire+0x1b1/0x520 [ 1332.062509][T18000] fs_reclaim_acquire+0x11d/0x160 [ 1332.067716][T18000] __kmem_cache_alloc_node+0x3b/0x3f0 [ 1332.073262][T18000] __kmalloc_node+0x51/0x1a0 [ 1332.078114][T18000] kvmalloc_node+0xa2/0x1a0 [ 1332.082798][T18000] get_dist_table+0x8e/0x3a0 [ 1332.087551][T18000] netem_change+0x57c/0x1f70 [ 1332.092302][T18000] netem_init+0x70/0xc0 [ 1332.096635][T18000] qdisc_create+0x4d1/0x10c0 [ 1332.101463][T18000] tc_modify_qdisc+0x488/0x1c30 [ 1332.106490][T18000] rtnetlink_rcv_msg+0x43d/0xd50 [ 1332.111619][T18000] netlink_rcv_skb+0x165/0x440 [ 1332.116598][T18000] netlink_unicast+0x547/0x7f0 [ 1332.121529][T18000] netlink_sendmsg+0x925/0xe30 [ 1332.126456][T18000] sock_sendmsg+0xde/0x190 [ 1332.131113][T18000] ____sys_sendmsg+0x71c/0x900 [ 1332.136064][T18000] ___sys_sendmsg+0x110/0x1b0 [ 1332.140942][T18000] __sys_sendmsg+0xf7/0x1c0 [ 1332.145639][T18000] do_syscall_64+0x39/0xb0 [ 1332.150220][T18000] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1332.156300][T18000] [ 1332.158627][T18000] [ 1332.158627][T18000] stack backtrace: [ 1332.164512][T18000] CPU: 0 PID: 18000 Comm: syz-executor.3 Not tainted 6.4.0-rc7-syzkaller-00194-g8a28a0b6f1a1 #0 [ 1332.174916][T18000] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 05/27/2023 [ 1332.184961][T18000] Call Trace: [ 1332.188364][T18000] [ 1332.191287][T18000] dump_stack_lvl+0xd9/0x150 [ 1332.195979][T18000] check_irq_usage+0x114e/0x1a40 [ 1332.200914][T18000] ? save_trace+0xb20/0xb20 [ 1332.205419][T18000] ? print_shortest_lock_dependencies_backwards+0x1e0/0x1e0 [ 1332.212707][T18000] ? __lock_acquire+0x1987/0x5f30 [ 1332.217756][T18000] ? check_path.constprop.0+0x24/0x50 [ 1332.223142][T18000] ? print_circular_bug+0x730/0x730 [ 1332.228347][T18000] ? mark_lock.part.0+0xee/0x1970 [ 1332.233632][T18000] ? find_held_lock+0x2d/0x110 [ 1332.238410][T18000] __lock_acquire+0x2fe5/0x5f30 [ 1332.243256][T18000] ? mark_lock.part.0+0xee/0x1970 [ 1332.248283][T18000] ? lockdep_hardirqs_on_prepare+0x410/0x410 [ 1332.254261][T18000] ? lock_downgrade+0x690/0x690 [ 1332.259112][T18000] ? find_held_lock+0x2d/0x110 [ 1332.263876][T18000] ? print_usage_bug.part.0+0x660/0x660 [ 1332.269423][T18000] lock_acquire+0x1b1/0x520 [ 1332.273941][T18000] ? __kmem_cache_alloc_node+0x3b/0x3f0 [ 1332.279502][T18000] ? lock_sync+0x190/0x190 [ 1332.283932][T18000] ? mark_lock.part.0+0xee/0x1970 [ 1332.288952][T18000] ? lockdep_hardirqs_on_prepare+0x410/0x410 [ 1332.294927][T18000] ? __sanitizer_cov_trace_switch+0x54/0x90 [ 1332.300816][T18000] fs_reclaim_acquire+0x11d/0x160 [ 1332.305853][T18000] ? __kmem_cache_alloc_node+0x3b/0x3f0 [ 1332.311442][T18000] __kmem_cache_alloc_node+0x3b/0x3f0 [ 1332.316855][T18000] ? kvmalloc_node+0xa2/0x1a0 [ 1332.321530][T18000] __kmalloc_node+0x51/0x1a0 [ 1332.326119][T18000] kvmalloc_node+0xa2/0x1a0 [ 1332.330618][T18000] get_dist_table+0x8e/0x3a0 [ 1332.335197][T18000] netem_change+0x57c/0x1f70 [ 1332.339779][T18000] ? netem_graft+0x600/0x600 [ 1332.344411][T18000] ? hrtimer_init+0x27/0x1f0 [ 1332.349000][T18000] ? lock_downgrade+0x690/0x690 [ 1332.353869][T18000] ? __hrtimer_init+0x145/0x280 [ 1332.358716][T18000] netem_init+0x70/0xc0 [ 1332.362865][T18000] ? netem_change+0x1f70/0x1f70 [ 1332.367707][T18000] qdisc_create+0x4d1/0x10c0 [ 1332.372293][T18000] ? tc_get_qdisc+0xc60/0xc60 [ 1332.376980][T18000] tc_modify_qdisc+0x488/0x1c30 [ 1332.381864][T18000] ? qdisc_create+0x10c0/0x10c0 [ 1332.386890][T18000] ? qdisc_create+0x10c0/0x10c0 [ 1332.391747][T18000] rtnetlink_rcv_msg+0x43d/0xd50 [ 1332.396681][T18000] ? rtnl_stats_set+0x4d0/0x4d0 [ 1332.401527][T18000] ? lockdep_hardirqs_on_prepare+0x410/0x410 [ 1332.407527][T18000] ? lockdep_hardirqs_on_prepare+0x410/0x410 [ 1332.413548][T18000] ? avc_has_perm+0xfe/0x1a0 [ 1332.418164][T18000] netlink_rcv_skb+0x165/0x440 [ 1332.422948][T18000] ? rtnl_stats_set+0x4d0/0x4d0 [ 1332.427812][T18000] ? netlink_ack+0x1360/0x1360 [ 1332.432588][T18000] ? lock_sync+0x190/0x190 [ 1332.437013][T18000] ? netlink_deliver_tap+0x1b1/0xcf0 [ 1332.442299][T18000] netlink_unicast+0x547/0x7f0 [ 1332.447062][T18000] ? netlink_attachskb+0x890/0x890 [ 1332.452167][T18000] netlink_sendmsg+0x925/0xe30 [ 1332.456943][T18000] ? netlink_unicast+0x7f0/0x7f0 [ 1332.461923][T18000] ? netlink_unicast+0x7f0/0x7f0 [ 1332.466855][T18000] sock_sendmsg+0xde/0x190 [ 1332.471269][T18000] ____sys_sendmsg+0x71c/0x900 [ 1332.476028][T18000] ? copy_msghdr_from_user+0xfc/0x150 [ 1332.481414][T18000] ? kernel_sendmsg+0x50/0x50 [ 1332.486121][T18000] ___sys_sendmsg+0x110/0x1b0 [ 1332.490798][T18000] ? do_recvmmsg+0x6f0/0x6f0 [ 1332.495379][T18000] ? __fget_files+0x248/0x480 [ 1332.500051][T18000] ? lock_downgrade+0x690/0x690 [ 1332.504896][T18000] ? futex_wake_mark+0x1a0/0x1a0 [ 1332.509830][T18000] ? __fget_files+0x26a/0x480 [ 1332.514599][T18000] ? __fget_light+0xe5/0x270 [ 1332.519194][T18000] __sys_sendmsg+0xf7/0x1c0 [ 1332.523693][T18000] ? __sys_sendmsg_sock+0x40/0x40 [ 1332.528711][T18000] ? restore_fpregs_from_fpstate+0xc1/0x1c0 [ 1332.534616][T18000] ? syscall_enter_from_user_mode+0x26/0x80 [ 1332.540517][T18000] ? lockdep_hardirqs_on+0x7d/0x100 [ 1332.545709][T18000] do_syscall_64+0x39/0xb0 [ 1332.550128][T18000] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1332.556034][T18000] RIP: 0033:0x7f31c528c389 [ 1332.560450][T18000] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 f1 19 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b8 ff ff ff f7 d8 64 89 01 48 [ 1332.580058][T18000] RSP: 002b:00007f31c6033168 EFLAGS: 00000246 ORIG_RAX: 000000000000002e [ 1332.588470][T18000] RAX: ffffffffffffffda RBX: 00007f31c53ac050 RCX: 00007f31c528c389 [ 1332.596431][T18000] RDX: 0000000000000000 RSI: 00000000200007c0 RDI: 0000000000000004 [ 1332.604411][T18000] RBP: 00007f31c52d7493 R08: 0000000000000000 R09: 0000000000000000 [ 1332.612383][T18000] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000000 [ 1332.620353][T18000] R13: 00007ffdeff5f98f R14: 00007f31c6033300 R15: 0000000000022000 [ 1332.628495][T18000] [ 1332.631621][T18000] BUG: sleeping function called from invalid context at include/linux/sched/mm.h:306 [ 1332.641138][T18000] in_atomic(): 1, irqs_disabled(): 0, non_block: 0, pid: 18000, name: syz-executor.3 [ 1332.650651][T18000] preempt_count: 201, expected: 0 [ 1332.655696][T18000] RCU nest depth: 0, expected: 0 [ 1332.660666][T18000] INFO: lockdep is turned off. [ 1332.665415][T18000] Preemption disabled at: [ 1332.665421][T18000] [<0000000000000000>] 0x0 [ 1332.674230][T18000] CPU: 0 PID: 18000 Comm: syz-executor.3 Not tainted 6.4.0-rc7-syzkaller-00194-g8a28a0b6f1a1 #0 [ 1332.684688][T18000] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 05/27/2023 [ 1332.694760][T18000] Call Trace: [ 1332.698030][T18000] [ 1332.700951][T18000] dump_stack_lvl+0x136/0x150 [ 1332.705636][T18000] __might_resched+0x358/0x580 [ 1332.710405][T18000] __kmem_cache_alloc_node+0x235/0x3f0 [ 1332.715879][T18000] ? kvmalloc_node+0xa2/0x1a0 [ 1332.720564][T18000] __kmalloc_node+0x51/0x1a0 [ 1332.725179][T18000] kvmalloc_node+0xa2/0x1a0 [ 1332.729690][T18000] get_dist_table+0x8e/0x3a0 [ 1332.734279][T18000] netem_change+0x57c/0x1f70 [ 1332.738871][T18000] ? netem_graft+0x600/0x600 [ 1332.743460][T18000] ? hrtimer_init+0x27/0x1f0 [ 1332.748064][T18000] ? lock_downgrade+0x690/0x690 [ 1332.752970][T18000] ? __hrtimer_init+0x145/0x280 [ 1332.757858][T18000] netem_init+0x70/0xc0 [ 1332.762042][T18000] ? netem_change+0x1f70/0x1f70 [ 1332.766923][T18000] qdisc_create+0x4d1/0x10c0 [ 1332.771554][T18000] ? tc_get_qdisc+0xc60/0xc60 [ 1332.776276][T18000] tc_modify_qdisc+0x488/0x1c30 [ 1332.781171][T18000] ? qdisc_create+0x10c0/0x10c0 [ 1332.786069][T18000] ? qdisc_create+0x10c0/0x10c0 [ 1332.790935][T18000] rtnetlink_rcv_msg+0x43d/0xd50 [ 1332.795875][T18000] ? rtnl_stats_set+0x4d0/0x4d0 [ 1332.800774][T18000] ? lockdep_hardirqs_on_prepare+0x410/0x410 [ 1332.806752][T18000] ? lockdep_hardirqs_on_prepare+0x410/0x410 [ 1332.812725][T18000] ? avc_has_perm+0xfe/0x1a0 [ 1332.817306][T18000] netlink_rcv_skb+0x165/0x440 [ 1332.822063][T18000] ? rtnl_stats_set+0x4d0/0x4d0 [ 1332.826919][T18000] ? netlink_ack+0x1360/0x1360 [ 1332.831704][T18000] ? lock_sync+0x190/0x190 [ 1332.836141][T18000] ? netlink_deliver_tap+0x1b1/0xcf0 [ 1332.841459][T18000] netlink_unicast+0x547/0x7f0 [ 1332.846220][T18000] ? netlink_attachskb+0x890/0x890 [ 1332.851330][T18000] netlink_sendmsg+0x925/0xe30 [ 1332.856096][T18000] ? netlink_unicast+0x7f0/0x7f0 [ 1332.861025][T18000] ? netlink_unicast+0x7f0/0x7f0 [ 1332.865949][T18000] sock_sendmsg+0xde/0x190 [ 1332.870361][T18000] ____sys_sendmsg+0x71c/0x900 [ 1332.875120][T18000] ? copy_msghdr_from_user+0xfc/0x150 [ 1332.880503][T18000] ? kernel_sendmsg+0x50/0x50 [ 1332.885184][T18000] ___sys_sendmsg+0x110/0x1b0 [ 1332.889883][T18000] ? do_recvmmsg+0x6f0/0x6f0 [ 1332.894593][T18000] ? __fget_files+0x248/0x480 [ 1332.899380][T18000] ? lock_downgrade+0x690/0x690 [ 1332.904255][T18000] ? futex_wake_mark+0x1a0/0x1a0 [ 1332.909187][T18000] ? __fget_files+0x26a/0x480 [ 1332.913855][T18000] ? __fget_light+0xe5/0x270 [ 1332.918434][T18000] __sys_sendmsg+0xf7/0x1c0 [ 1332.922931][T18000] ? __sys_sendmsg_sock+0x40/0x40 [ 1332.927949][T18000] ? restore_fpregs_from_fpstate+0xc1/0x1c0 [ 1332.933844][T18000] ? syscall_enter_from_user_mode+0x26/0x80 [ 1332.939731][T18000] ? lockdep_hardirqs_on+0x7d/0x100 [ 1332.944938][T18000] do_syscall_64+0x39/0xb0 [ 1332.949397][T18000] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 1332.955342][T18000] RIP: 0033:0x7f31c528c389 [ 1332.959783][T18000] Code: 28 00 00 00 75 05 48 83 c4 28 c3 e8 f1 19 00 00 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b8 ff ff ff f7 d8 64 89 01 48 [ 1332.979425][T18000] RSP: 002b:00007f31c6033168 EFLAGS: 00000246 ORIG_RAX: 000000000000002e [ 1332.987870][T18000] RAX: ffffffffffffffda RBX: 00007f31c53ac050 RCX: 00007f31c528c389 [ 1332.995873][T18000] RDX: 0000000000000000 RSI: 00000000200007c0 RDI: 0000000000000004 [ 1333.003871][T18000] RBP: 00007f31c52d7493 R08: 0000000000000000 R09: 0000000000000000 [ 1333.012035][T18000] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000000 [ 1333.020173][T18000] R13: 00007ffdeff5f98f R14: 00007f31c6033300 R15: 0000000000022000 [ 1333.028157][T18000] [ 1333.060419][T18029] loop3: detected capacity change from 0 to 1764 [ 1333.067727][T18029] ISO 9660 Extensions: Microsoft Joliet Level 3 [ 1333.074367][T18029] ISOFS: changing to secondary root