Warning: Permanently added '10.128.1.29' (ECDSA) to the list of known hosts. 2022/11/17 12:46:42 fuzzer started 2022/11/17 12:46:43 dialing manager at 10.128.0.169:38363 [ 143.727616][ T3473] cgroup: Unknown subsys name 'net' [ 143.859154][ T3473] cgroup: Unknown subsys name 'rlimit' 2022/11/17 12:46:44 syscalls: 3719 2022/11/17 12:46:44 code coverage: enabled 2022/11/17 12:46:44 comparison tracing: enabled 2022/11/17 12:46:44 extra coverage: enabled 2022/11/17 12:46:44 delay kcov mmap: enabled 2022/11/17 12:46:44 setuid sandbox: enabled 2022/11/17 12:46:44 namespace sandbox: enabled 2022/11/17 12:46:44 Android sandbox: /sys/fs/selinux/policy does not exist 2022/11/17 12:46:44 fault injection: enabled 2022/11/17 12:46:44 leak checking: CONFIG_DEBUG_KMEMLEAK is not enabled 2022/11/17 12:46:44 net packet injection: enabled 2022/11/17 12:46:44 net device setup: enabled 2022/11/17 12:46:44 concurrency sanitizer: /sys/kernel/debug/kcsan does not exist 2022/11/17 12:46:44 devlink PCI setup: PCI device 0000:00:10.0 is not available 2022/11/17 12:46:44 NIC VF setup: PCI device 0000:00:11.0 is not available 2022/11/17 12:46:44 USB emulation: enabled 2022/11/17 12:46:44 hci packet injection: enabled 2022/11/17 12:46:44 wifi device emulation: enabled 2022/11/17 12:46:44 802.15.4 emulation: enabled 2022/11/17 12:46:44 fetching corpus: 0, signal 0/2000 (executing program) 2022/11/17 12:46:44 fetching corpus: 50, signal 17217/21082 (executing program) 2022/11/17 12:46:44 fetching corpus: 100, signal 27970/33636 (executing program) 2022/11/17 12:46:44 fetching corpus: 150, signal 32051/39518 (executing program) 2022/11/17 12:46:44 fetching corpus: 200, signal 36427/45636 (executing program) 2022/11/17 12:46:44 fetching corpus: 250, signal 41329/52233 (executing program) 2022/11/17 12:46:44 fetching corpus: 300, signal 45591/58158 (executing program) 2022/11/17 12:46:44 fetching corpus: 350, signal 49504/63744 (executing program) 2022/11/17 12:46:44 fetching corpus: 400, signal 52093/67990 (executing program) 2022/11/17 12:46:44 fetching corpus: 450, signal 54583/72128 (executing program) 2022/11/17 12:46:45 fetching corpus: 500, signal 57380/76530 (executing program) 2022/11/17 12:46:45 fetching corpus: 550, signal 59045/79844 (executing program) 2022/11/17 12:46:45 fetching corpus: 600, signal 61584/83974 (executing program) 2022/11/17 12:46:45 fetching corpus: 650, signal 65164/89057 (executing program) 2022/11/17 12:46:45 fetching corpus: 700, signal 66921/92421 (executing program) 2022/11/17 12:46:45 fetching corpus: 750, signal 68962/96009 (executing program) 2022/11/17 12:46:45 fetching corpus: 800, signal 70239/98901 (executing program) 2022/11/17 12:46:45 fetching corpus: 850, signal 72804/102957 (executing program) 2022/11/17 12:46:45 fetching corpus: 900, signal 74384/106063 (executing program) 2022/11/17 12:46:45 fetching corpus: 950, signal 76315/109504 (executing program) 2022/11/17 12:46:45 fetching corpus: 1000, signal 78594/113212 (executing program) 2022/11/17 12:46:45 fetching corpus: 1050, signal 80257/116371 (executing program) 2022/11/17 12:46:46 fetching corpus: 1100, signal 82062/119640 (executing program) 2022/11/17 12:46:46 fetching corpus: 1150, signal 84018/123034 (executing program) 2022/11/17 12:46:46 fetching corpus: 1200, signal 85165/125650 (executing program) 2022/11/17 12:46:46 fetching corpus: 1250, signal 87043/128927 (executing program) 2022/11/17 12:46:46 fetching corpus: 1300, signal 90184/133289 (executing program) 2022/11/17 12:46:46 fetching corpus: 1350, signal 91906/136432 (executing program) 2022/11/17 12:46:46 fetching corpus: 1400, signal 93197/139157 (executing program) 2022/11/17 12:46:46 fetching corpus: 1450, signal 94565/141929 (executing program) 2022/11/17 12:46:46 fetching corpus: 1500, signal 96010/144733 (executing program) 2022/11/17 12:46:46 fetching corpus: 1550, signal 97095/147195 (executing program) 2022/11/17 12:46:46 fetching corpus: 1600, signal 98191/149692 (executing program) 2022/11/17 12:46:46 fetching corpus: 1650, signal 99497/152392 (executing program) 2022/11/17 12:46:46 fetching corpus: 1700, signal 100953/155153 (executing program) 2022/11/17 12:46:46 fetching corpus: 1750, signal 102324/157879 (executing program) 2022/11/17 12:46:46 fetching corpus: 1800, signal 103140/160093 (executing program) 2022/11/17 12:46:47 fetching corpus: 1850, signal 105052/163215 (executing program) 2022/11/17 12:46:47 fetching corpus: 1900, signal 106398/165839 (executing program) 2022/11/17 12:46:47 fetching corpus: 1950, signal 107378/168177 (executing program) 2022/11/17 12:46:47 fetching corpus: 2000, signal 109175/171113 (executing program) 2022/11/17 12:46:47 fetching corpus: 2050, signal 110556/173723 (executing program) 2022/11/17 12:46:47 fetching corpus: 2100, signal 112621/176877 (executing program) 2022/11/17 12:46:47 fetching corpus: 2150, signal 113436/178983 (executing program) 2022/11/17 12:46:47 fetching corpus: 2200, signal 115076/181770 (executing program) 2022/11/17 12:46:47 fetching corpus: 2250, signal 116085/184034 (executing program) 2022/11/17 12:46:47 fetching corpus: 2300, signal 116961/186220 (executing program) 2022/11/17 12:46:47 fetching corpus: 2350, signal 118307/188772 (executing program) 2022/11/17 12:46:47 fetching corpus: 2400, signal 119391/191085 (executing program) 2022/11/17 12:46:47 fetching corpus: 2450, signal 120546/193463 (executing program) 2022/11/17 12:46:47 fetching corpus: 2500, signal 121566/195687 (executing program) 2022/11/17 12:46:48 fetching corpus: 2550, signal 122747/198032 (executing program) 2022/11/17 12:46:48 fetching corpus: 2600, signal 123990/200414 (executing program) 2022/11/17 12:46:48 fetching corpus: 2650, signal 125006/202598 (executing program) 2022/11/17 12:46:48 fetching corpus: 2700, signal 126083/204864 (executing program) 2022/11/17 12:46:48 fetching corpus: 2750, signal 126916/206919 (executing program) 2022/11/17 12:46:48 fetching corpus: 2800, signal 127951/209122 (executing program) 2022/11/17 12:46:48 fetching corpus: 2850, signal 128885/211187 (executing program) 2022/11/17 12:46:48 fetching corpus: 2900, signal 129606/213122 (executing program) 2022/11/17 12:46:48 fetching corpus: 2950, signal 130503/215261 (executing program) 2022/11/17 12:46:48 fetching corpus: 3000, signal 131397/217298 (executing program) 2022/11/17 12:46:48 fetching corpus: 3050, signal 132649/219592 (executing program) 2022/11/17 12:46:48 fetching corpus: 3100, signal 134029/222059 (executing program) 2022/11/17 12:46:48 fetching corpus: 3150, signal 134693/223888 (executing program) 2022/11/17 12:46:48 fetching corpus: 3200, signal 136018/226229 (executing program) 2022/11/17 12:46:48 fetching corpus: 3250, signal 136930/228275 (executing program) 2022/11/17 12:46:49 fetching corpus: 3300, signal 137674/230184 (executing program) 2022/11/17 12:46:49 fetching corpus: 3350, signal 138686/232194 (executing program) 2022/11/17 12:46:49 fetching corpus: 3400, signal 139875/234381 (executing program) 2022/11/17 12:46:49 fetching corpus: 3450, signal 140491/236162 (executing program) 2022/11/17 12:46:49 fetching corpus: 3500, signal 141462/238176 (executing program) 2022/11/17 12:46:49 fetching corpus: 3550, signal 142366/240191 (executing program) 2022/11/17 12:46:49 fetching corpus: 3600, signal 145592/243718 (executing program) 2022/11/17 12:46:49 fetching corpus: 3650, signal 146217/245445 (executing program) 2022/11/17 12:46:49 fetching corpus: 3700, signal 146859/247237 (executing program) 2022/11/17 12:46:49 fetching corpus: 3750, signal 147529/249051 (executing program) 2022/11/17 12:46:49 fetching corpus: 3800, signal 148572/251059 (executing program) 2022/11/17 12:46:49 fetching corpus: 3850, signal 149357/252858 (executing program) 2022/11/17 12:46:49 fetching corpus: 3900, signal 150120/254667 (executing program) 2022/11/17 12:46:50 fetching corpus: 3950, signal 150706/256307 (executing program) 2022/11/17 12:46:50 fetching corpus: 4000, signal 151575/258209 (executing program) 2022/11/17 12:46:50 fetching corpus: 4050, signal 152518/260134 (executing program) 2022/11/17 12:46:50 fetching corpus: 4100, signal 153655/262181 (executing program) 2022/11/17 12:46:50 fetching corpus: 4150, signal 154179/263827 (executing program) 2022/11/17 12:46:50 fetching corpus: 4200, signal 154817/265499 (executing program) 2022/11/17 12:46:50 fetching corpus: 4250, signal 155380/267170 (executing program) 2022/11/17 12:46:50 fetching corpus: 4300, signal 156147/268976 (executing program) 2022/11/17 12:46:50 fetching corpus: 4350, signal 157024/270782 (executing program) 2022/11/17 12:46:50 fetching corpus: 4400, signal 157717/272475 (executing program) 2022/11/17 12:46:50 fetching corpus: 4450, signal 158248/274053 (executing program) 2022/11/17 12:46:50 fetching corpus: 4500, signal 158906/275729 (executing program) 2022/11/17 12:46:50 fetching corpus: 4550, signal 159619/277416 (executing program) 2022/11/17 12:46:50 fetching corpus: 4600, signal 160461/279191 (executing program) 2022/11/17 12:46:51 fetching corpus: 4650, signal 161080/280851 (executing program) 2022/11/17 12:46:51 fetching corpus: 4700, signal 162067/282686 (executing program) 2022/11/17 12:46:51 fetching corpus: 4750, signal 163003/284511 (executing program) 2022/11/17 12:46:51 fetching corpus: 4800, signal 163794/286213 (executing program) 2022/11/17 12:46:51 fetching corpus: 4850, signal 164722/287978 (executing program) 2022/11/17 12:46:51 fetching corpus: 4900, signal 165262/289563 (executing program) 2022/11/17 12:46:51 fetching corpus: 4950, signal 165667/291023 (executing program) 2022/11/17 12:46:51 fetching corpus: 5000, signal 166259/292617 (executing program) 2022/11/17 12:46:51 fetching corpus: 5050, signal 167379/294473 (executing program) 2022/11/17 12:46:51 fetching corpus: 5100, signal 167910/296062 (executing program) 2022/11/17 12:46:51 fetching corpus: 5150, signal 168788/297744 (executing program) 2022/11/17 12:46:51 fetching corpus: 5200, signal 169492/299348 (executing program) 2022/11/17 12:46:51 fetching corpus: 5250, signal 170037/300882 (executing program) 2022/11/17 12:46:52 fetching corpus: 5300, signal 170527/302358 (executing program) 2022/11/17 12:46:52 fetching corpus: 5350, signal 171204/303958 (executing program) 2022/11/17 12:46:52 fetching corpus: 5400, signal 171728/305435 (executing program) 2022/11/17 12:46:52 fetching corpus: 5450, signal 172456/307021 (executing program) 2022/11/17 12:46:52 fetching corpus: 5500, signal 173064/308588 (executing program) 2022/11/17 12:46:52 fetching corpus: 5550, signal 173533/310017 (executing program) 2022/11/17 12:46:52 fetching corpus: 5600, signal 174154/311527 (executing program) 2022/11/17 12:46:52 fetching corpus: 5650, signal 174687/313043 (executing program) 2022/11/17 12:46:52 fetching corpus: 5700, signal 175191/314460 (executing program) 2022/11/17 12:46:52 fetching corpus: 5750, signal 175716/315901 (executing program) 2022/11/17 12:46:52 fetching corpus: 5800, signal 176200/317360 (executing program) 2022/11/17 12:46:52 fetching corpus: 5850, signal 176705/318791 (executing program) 2022/11/17 12:46:52 fetching corpus: 5900, signal 177318/320287 (executing program) 2022/11/17 12:46:52 fetching corpus: 5950, signal 177933/321765 (executing program) 2022/11/17 12:46:52 fetching corpus: 6000, signal 178769/323311 (executing program) 2022/11/17 12:46:53 fetching corpus: 6050, signal 179358/324786 (executing program) 2022/11/17 12:46:53 fetching corpus: 6100, signal 179992/326255 (executing program) 2022/11/17 12:46:53 fetching corpus: 6150, signal 180540/327666 (executing program) 2022/11/17 12:46:53 fetching corpus: 6200, signal 181076/329128 (executing program) 2022/11/17 12:46:53 fetching corpus: 6250, signal 181949/330724 (executing program) 2022/11/17 12:46:53 fetching corpus: 6300, signal 182408/332100 (executing program) 2022/11/17 12:46:53 fetching corpus: 6350, signal 182863/333464 (executing program) 2022/11/17 12:46:53 fetching corpus: 6400, signal 183266/334760 (executing program) 2022/11/17 12:46:53 fetching corpus: 6450, signal 183818/336168 (executing program) 2022/11/17 12:46:53 fetching corpus: 6500, signal 185024/337821 (executing program) 2022/11/17 12:46:53 fetching corpus: 6550, signal 185578/339253 (executing program) 2022/11/17 12:46:53 fetching corpus: 6600, signal 185938/340590 (executing program) 2022/11/17 12:46:53 fetching corpus: 6650, signal 186652/342089 (executing program) 2022/11/17 12:46:53 fetching corpus: 6700, signal 187213/343475 (executing program) 2022/11/17 12:46:54 fetching corpus: 6750, signal 187674/344750 (executing program) 2022/11/17 12:46:54 fetching corpus: 6800, signal 188235/346094 (executing program) 2022/11/17 12:46:54 fetching corpus: 6850, signal 188726/347421 (executing program) 2022/11/17 12:46:54 fetching corpus: 6900, signal 189157/348692 (executing program) 2022/11/17 12:46:54 fetching corpus: 6950, signal 189765/350081 (executing program) 2022/11/17 12:46:54 fetching corpus: 7000, signal 190308/351424 (executing program) 2022/11/17 12:46:54 fetching corpus: 7050, signal 190705/352670 (executing program) 2022/11/17 12:46:54 fetching corpus: 7100, signal 191340/354008 (executing program) 2022/11/17 12:46:54 fetching corpus: 7150, signal 191940/355355 (executing program) 2022/11/17 12:46:54 fetching corpus: 7200, signal 192529/356686 (executing program) 2022/11/17 12:46:54 fetching corpus: 7250, signal 193073/357995 (executing program) 2022/11/17 12:46:54 fetching corpus: 7300, signal 193432/359268 (executing program) 2022/11/17 12:46:54 fetching corpus: 7350, signal 193941/360605 (executing program) 2022/11/17 12:46:54 fetching corpus: 7400, signal 194362/361910 (executing program) 2022/11/17 12:46:54 fetching corpus: 7450, signal 194780/363134 (executing program) 2022/11/17 12:46:54 fetching corpus: 7500, signal 195309/364466 (executing program) 2022/11/17 12:46:55 fetching corpus: 7550, signal 195808/365780 (executing program) 2022/11/17 12:46:55 fetching corpus: 7600, signal 196428/367066 (executing program) 2022/11/17 12:46:55 fetching corpus: 7650, signal 196897/368348 (executing program) 2022/11/17 12:46:55 fetching corpus: 7700, signal 197789/369736 (executing program) 2022/11/17 12:46:55 fetching corpus: 7750, signal 198322/371037 (executing program) 2022/11/17 12:46:55 fetching corpus: 7800, signal 198950/372297 (executing program) 2022/11/17 12:46:55 fetching corpus: 7850, signal 199398/373542 (executing program) 2022/11/17 12:46:55 fetching corpus: 7900, signal 199764/374734 (executing program) 2022/11/17 12:46:55 fetching corpus: 7950, signal 200254/375941 (executing program) 2022/11/17 12:46:55 fetching corpus: 8000, signal 200568/377119 (executing program) 2022/11/17 12:46:55 fetching corpus: 8050, signal 201533/378509 (executing program) 2022/11/17 12:46:55 fetching corpus: 8100, signal 201969/379693 (executing program) 2022/11/17 12:46:55 fetching corpus: 8150, signal 202308/380904 (executing program) 2022/11/17 12:46:55 fetching corpus: 8200, signal 202709/382063 (executing program) 2022/11/17 12:46:55 fetching corpus: 8250, signal 203170/383225 (executing program) 2022/11/17 12:46:55 fetching corpus: 8300, signal 204068/384540 (executing program) 2022/11/17 12:46:56 fetching corpus: 8350, signal 204517/385720 (executing program) 2022/11/17 12:46:56 fetching corpus: 8400, signal 204981/386892 (executing program) 2022/11/17 12:46:56 fetching corpus: 8450, signal 205505/388088 (executing program) 2022/11/17 12:46:56 fetching corpus: 8500, signal 206108/389316 (executing program) 2022/11/17 12:46:56 fetching corpus: 8550, signal 206742/390524 (executing program) 2022/11/17 12:46:56 fetching corpus: 8600, signal 207094/391658 (executing program) 2022/11/17 12:46:56 fetching corpus: 8650, signal 207601/392850 (executing program) 2022/11/17 12:46:56 fetching corpus: 8700, signal 208019/394010 (executing program) [ 156.574249][ T1205] ieee802154 phy0 wpan0: encryption failed: -22 [ 156.580885][ T1205] ieee802154 phy1 wpan1: encryption failed: -22 2022/11/17 12:46:56 fetching corpus: 8750, signal 208729/395241 (executing program) 2022/11/17 12:46:56 fetching corpus: 8800, signal 209225/396398 (executing program) 2022/11/17 12:46:56 fetching corpus: 8850, signal 210064/397634 (executing program) 2022/11/17 12:46:56 fetching corpus: 8900, signal 210371/398761 (executing program) 2022/11/17 12:46:57 fetching corpus: 8950, signal 210813/399902 (executing program) 2022/11/17 12:46:57 fetching corpus: 9000, signal 211346/401030 (executing program) 2022/11/17 12:46:57 fetching corpus: 9050, signal 211927/402215 (executing program) 2022/11/17 12:46:57 fetching corpus: 9100, signal 212501/403364 (executing program) 2022/11/17 12:46:57 fetching corpus: 9150, signal 213099/404506 (executing program) 2022/11/17 12:46:57 fetching corpus: 9200, signal 213512/405648 (executing program) 2022/11/17 12:46:57 fetching corpus: 9250, signal 213939/406751 (executing program) 2022/11/17 12:46:57 fetching corpus: 9300, signal 214268/407839 (executing program) 2022/11/17 12:46:57 fetching corpus: 9350, signal 214730/408992 (executing program) 2022/11/17 12:46:57 fetching corpus: 9400, signal 215060/410053 (executing program) 2022/11/17 12:46:57 fetching corpus: 9450, signal 215428/411115 (executing program) 2022/11/17 12:46:57 fetching corpus: 9500, signal 215810/412244 (executing program) 2022/11/17 12:46:57 fetching corpus: 9550, signal 216373/413388 (executing program) 2022/11/17 12:46:58 fetching corpus: 9600, signal 216695/414477 (executing program) 2022/11/17 12:46:58 fetching corpus: 9650, signal 217116/415574 (executing program) 2022/11/17 12:46:58 fetching corpus: 9700, signal 217370/416626 (executing program) 2022/11/17 12:46:58 fetching corpus: 9750, signal 217630/417653 (executing program) 2022/11/17 12:46:58 fetching corpus: 9800, signal 218012/418729 (executing program) 2022/11/17 12:46:58 fetching corpus: 9850, signal 218406/419768 (executing program) 2022/11/17 12:46:58 fetching corpus: 9900, signal 218768/420764 (executing program) 2022/11/17 12:46:58 fetching corpus: 9950, signal 219199/421813 (executing program) 2022/11/17 12:46:58 fetching corpus: 10000, signal 219734/422873 (executing program) 2022/11/17 12:46:58 fetching corpus: 10050, signal 220106/423936 (executing program) 2022/11/17 12:46:58 fetching corpus: 10100, signal 220440/424961 (executing program) 2022/11/17 12:46:58 fetching corpus: 10150, signal 220862/426010 (executing program) 2022/11/17 12:46:59 fetching corpus: 10200, signal 221228/427052 (executing program) 2022/11/17 12:46:59 fetching corpus: 10250, signal 221621/428103 (executing program) 2022/11/17 12:46:59 fetching corpus: 10300, signal 222098/429118 (executing program) 2022/11/17 12:46:59 fetching corpus: 10350, signal 222858/430173 (executing program) 2022/11/17 12:46:59 fetching corpus: 10400, signal 223277/431198 (executing program) 2022/11/17 12:46:59 fetching corpus: 10450, signal 223529/432231 (executing program) 2022/11/17 12:46:59 fetching corpus: 10500, signal 224691/433297 (executing program) 2022/11/17 12:46:59 fetching corpus: 10550, signal 225116/434329 (executing program) 2022/11/17 12:46:59 fetching corpus: 10600, signal 225657/435339 (executing program) 2022/11/17 12:46:59 fetching corpus: 10650, signal 225996/436320 (executing program) 2022/11/17 12:46:59 fetching corpus: 10700, signal 226341/437298 (executing program) 2022/11/17 12:46:59 fetching corpus: 10750, signal 226663/438250 (executing program) 2022/11/17 12:46:59 fetching corpus: 10800, signal 227036/439230 (executing program) 2022/11/17 12:46:59 fetching corpus: 10850, signal 227383/440220 (executing program) 2022/11/17 12:46:59 fetching corpus: 10900, signal 227763/441159 (executing program) 2022/11/17 12:46:59 fetching corpus: 10950, signal 228231/442160 (executing program) 2022/11/17 12:47:00 fetching corpus: 11000, signal 228634/443158 (executing program) 2022/11/17 12:47:00 fetching corpus: 11050, signal 229110/444108 (executing program) 2022/11/17 12:47:00 fetching corpus: 11100, signal 229407/444207 (executing program) 2022/11/17 12:47:00 fetching corpus: 11150, signal 229897/444207 (executing program) 2022/11/17 12:47:00 fetching corpus: 11200, signal 230316/444207 (executing program) 2022/11/17 12:47:00 fetching corpus: 11250, signal 230711/444207 (executing program) 2022/11/17 12:47:00 fetching corpus: 11300, signal 231317/444207 (executing program) 2022/11/17 12:47:00 fetching corpus: 11350, signal 231662/444207 (executing program) 2022/11/17 12:47:00 fetching corpus: 11400, signal 232158/444207 (executing program) 2022/11/17 12:47:00 fetching corpus: 11450, signal 232767/444207 (executing program) 2022/11/17 12:47:00 fetching corpus: 11500, signal 233234/444207 (executing program) 2022/11/17 12:47:00 fetching corpus: 11550, signal 233522/444207 (executing program) 2022/11/17 12:47:00 fetching corpus: 11600, signal 233871/444207 (executing program) 2022/11/17 12:47:01 fetching corpus: 11650, signal 234172/444207 (executing program) 2022/11/17 12:47:01 fetching corpus: 11700, signal 234469/444207 (executing program) 2022/11/17 12:47:01 fetching corpus: 11750, signal 234835/444207 (executing program) 2022/11/17 12:47:01 fetching corpus: 11800, signal 235200/444207 (executing program) 2022/11/17 12:47:01 fetching corpus: 11850, signal 235576/444207 (executing program) 2022/11/17 12:47:01 fetching corpus: 11900, signal 235874/444207 (executing program) 2022/11/17 12:47:01 fetching corpus: 11950, signal 236214/444207 (executing program) 2022/11/17 12:47:01 fetching corpus: 12000, signal 236627/444207 (executing program) 2022/11/17 12:47:01 fetching corpus: 12050, signal 236986/444207 (executing program) 2022/11/17 12:47:01 fetching corpus: 12100, signal 237286/444207 (executing program) 2022/11/17 12:47:01 fetching corpus: 12150, signal 237737/444207 (executing program) 2022/11/17 12:47:01 fetching corpus: 12200, signal 237998/444207 (executing program) 2022/11/17 12:47:01 fetching corpus: 12250, signal 238389/444207 (executing program) 2022/11/17 12:47:01 fetching corpus: 12300, signal 238750/444207 (executing program) 2022/11/17 12:47:01 fetching corpus: 12350, signal 239032/444207 (executing program) 2022/11/17 12:47:01 fetching corpus: 12400, signal 239365/444207 (executing program) 2022/11/17 12:47:01 fetching corpus: 12450, signal 239638/444207 (executing program) 2022/11/17 12:47:02 fetching corpus: 12500, signal 239900/444207 (executing program) 2022/11/17 12:47:02 fetching corpus: 12550, signal 240338/444207 (executing program) 2022/11/17 12:47:02 fetching corpus: 12600, signal 240888/444207 (executing program) 2022/11/17 12:47:02 fetching corpus: 12650, signal 241111/444207 (executing program) 2022/11/17 12:47:02 fetching corpus: 12700, signal 241366/444207 (executing program) 2022/11/17 12:47:02 fetching corpus: 12750, signal 241688/444207 (executing program) 2022/11/17 12:47:02 fetching corpus: 12800, signal 241965/444207 (executing program) 2022/11/17 12:47:02 fetching corpus: 12850, signal 242449/444207 (executing program) 2022/11/17 12:47:02 fetching corpus: 12900, signal 242813/444207 (executing program) 2022/11/17 12:47:02 fetching corpus: 12950, signal 243134/444207 (executing program) 2022/11/17 12:47:02 fetching corpus: 13000, signal 243635/444207 (executing program) 2022/11/17 12:47:02 fetching corpus: 13050, signal 243937/444207 (executing program) 2022/11/17 12:47:02 fetching corpus: 13100, signal 244210/444207 (executing program) 2022/11/17 12:47:02 fetching corpus: 13150, signal 244583/444207 (executing program) 2022/11/17 12:47:02 fetching corpus: 13200, signal 244887/444207 (executing program) 2022/11/17 12:47:02 fetching corpus: 13250, signal 245182/444207 (executing program) 2022/11/17 12:47:02 fetching corpus: 13300, signal 245960/444207 (executing program) 2022/11/17 12:47:02 fetching corpus: 13350, signal 246411/444207 (executing program) 2022/11/17 12:47:03 fetching corpus: 13400, signal 246686/444207 (executing program) 2022/11/17 12:47:03 fetching corpus: 13450, signal 246933/444207 (executing program) 2022/11/17 12:47:03 fetching corpus: 13500, signal 247267/444207 (executing program) 2022/11/17 12:47:03 fetching corpus: 13550, signal 247639/444207 (executing program) 2022/11/17 12:47:03 fetching corpus: 13600, signal 248026/444207 (executing program) 2022/11/17 12:47:03 fetching corpus: 13650, signal 248458/444207 (executing program) 2022/11/17 12:47:03 fetching corpus: 13700, signal 248966/444207 (executing program) 2022/11/17 12:47:03 fetching corpus: 13750, signal 249283/444207 (executing program) 2022/11/17 12:47:03 fetching corpus: 13800, signal 249550/444207 (executing program) 2022/11/17 12:47:03 fetching corpus: 13850, signal 249801/444207 (executing program) 2022/11/17 12:47:03 fetching corpus: 13900, signal 250111/444207 (executing program) 2022/11/17 12:47:03 fetching corpus: 13950, signal 250624/444207 (executing program) 2022/11/17 12:47:03 fetching corpus: 14000, signal 251246/444207 (executing program) 2022/11/17 12:47:03 fetching corpus: 14050, signal 251572/444207 (executing program) 2022/11/17 12:47:03 fetching corpus: 14100, signal 251929/444207 (executing program) 2022/11/17 12:47:03 fetching corpus: 14150, signal 252240/444207 (executing program) 2022/11/17 12:47:04 fetching corpus: 14200, signal 252588/444207 (executing program) 2022/11/17 12:47:04 fetching corpus: 14250, signal 253018/444207 (executing program) 2022/11/17 12:47:04 fetching corpus: 14300, signal 254053/444207 (executing program) 2022/11/17 12:47:04 fetching corpus: 14350, signal 254367/444207 (executing program) 2022/11/17 12:47:04 fetching corpus: 14400, signal 254703/444207 (executing program) 2022/11/17 12:47:04 fetching corpus: 14450, signal 255047/444207 (executing program) 2022/11/17 12:47:04 fetching corpus: 14500, signal 255328/444207 (executing program) 2022/11/17 12:47:04 fetching corpus: 14550, signal 255605/444207 (executing program) 2022/11/17 12:47:04 fetching corpus: 14600, signal 255915/444207 (executing program) 2022/11/17 12:47:04 fetching corpus: 14650, signal 256227/444207 (executing program) 2022/11/17 12:47:04 fetching corpus: 14700, signal 256553/444207 (executing program) 2022/11/17 12:47:04 fetching corpus: 14750, signal 256793/444207 (executing program) 2022/11/17 12:47:04 fetching corpus: 14800, signal 257159/444207 (executing program) 2022/11/17 12:47:04 fetching corpus: 14850, signal 257452/444207 (executing program) 2022/11/17 12:47:04 fetching corpus: 14900, signal 257798/444207 (executing program) 2022/11/17 12:47:05 fetching corpus: 14950, signal 258113/444207 (executing program) 2022/11/17 12:47:05 fetching corpus: 15000, signal 258308/444207 (executing program) 2022/11/17 12:47:05 fetching corpus: 15050, signal 258680/444207 (executing program) 2022/11/17 12:47:05 fetching corpus: 15100, signal 258952/444207 (executing program) 2022/11/17 12:47:05 fetching corpus: 15150, signal 259199/444207 (executing program) 2022/11/17 12:47:05 fetching corpus: 15200, signal 259478/444207 (executing program) 2022/11/17 12:47:05 fetching corpus: 15250, signal 259774/444207 (executing program) 2022/11/17 12:47:05 fetching corpus: 15300, signal 260011/444207 (executing program) 2022/11/17 12:47:05 fetching corpus: 15350, signal 260295/444207 (executing program) 2022/11/17 12:47:05 fetching corpus: 15400, signal 264250/444207 (executing program) 2022/11/17 12:47:05 fetching corpus: 15450, signal 264595/444207 (executing program) 2022/11/17 12:47:05 fetching corpus: 15500, signal 264900/444207 (executing program) 2022/11/17 12:47:05 fetching corpus: 15550, signal 265134/444207 (executing program) 2022/11/17 12:47:05 fetching corpus: 15600, signal 265403/444207 (executing program) 2022/11/17 12:47:05 fetching corpus: 15650, signal 265690/444207 (executing program) 2022/11/17 12:47:05 fetching corpus: 15700, signal 265968/444207 (executing program) 2022/11/17 12:47:05 fetching corpus: 15750, signal 266228/444207 (executing program) 2022/11/17 12:47:06 fetching corpus: 15800, signal 266563/444207 (executing program) 2022/11/17 12:47:06 fetching corpus: 15850, signal 266880/444207 (executing program) 2022/11/17 12:47:06 fetching corpus: 15900, signal 267187/444207 (executing program) 2022/11/17 12:47:06 fetching corpus: 15950, signal 267371/444207 (executing program) 2022/11/17 12:47:06 fetching corpus: 16000, signal 267591/444207 (executing program) 2022/11/17 12:47:06 fetching corpus: 16050, signal 267873/444207 (executing program) 2022/11/17 12:47:06 fetching corpus: 16100, signal 268190/444207 (executing program) 2022/11/17 12:47:06 fetching corpus: 16150, signal 268499/444207 (executing program) 2022/11/17 12:47:06 fetching corpus: 16200, signal 268936/444207 (executing program) 2022/11/17 12:47:06 fetching corpus: 16250, signal 269103/444207 (executing program) 2022/11/17 12:47:06 fetching corpus: 16300, signal 269377/444207 (executing program) 2022/11/17 12:47:06 fetching corpus: 16350, signal 269592/444207 (executing program) 2022/11/17 12:47:06 fetching corpus: 16400, signal 269886/444207 (executing program) 2022/11/17 12:47:06 fetching corpus: 16450, signal 270243/444207 (executing program) 2022/11/17 12:47:06 fetching corpus: 16500, signal 270481/444207 (executing program) 2022/11/17 12:47:07 fetching corpus: 16550, signal 270819/444207 (executing program) 2022/11/17 12:47:07 fetching corpus: 16600, signal 271181/444207 (executing program) 2022/11/17 12:47:07 fetching corpus: 16650, signal 272063/444207 (executing program) 2022/11/17 12:47:07 fetching corpus: 16700, signal 272380/444207 (executing program) 2022/11/17 12:47:07 fetching corpus: 16750, signal 272591/444207 (executing program) 2022/11/17 12:47:07 fetching corpus: 16800, signal 272791/444207 (executing program) 2022/11/17 12:47:07 fetching corpus: 16850, signal 273175/444207 (executing program) 2022/11/17 12:47:07 fetching corpus: 16900, signal 273509/444207 (executing program) 2022/11/17 12:47:07 fetching corpus: 16950, signal 274028/444207 (executing program) 2022/11/17 12:47:07 fetching corpus: 17000, signal 274656/444207 (executing program) 2022/11/17 12:47:07 fetching corpus: 17050, signal 274839/444207 (executing program) 2022/11/17 12:47:07 fetching corpus: 17100, signal 275251/444207 (executing program) 2022/11/17 12:47:07 fetching corpus: 17150, signal 275547/444207 (executing program) 2022/11/17 12:47:07 fetching corpus: 17200, signal 275813/444207 (executing program) 2022/11/17 12:47:07 fetching corpus: 17250, signal 276194/444207 (executing program) 2022/11/17 12:47:07 fetching corpus: 17300, signal 276421/444207 (executing program) 2022/11/17 12:47:08 fetching corpus: 17350, signal 277044/444207 (executing program) 2022/11/17 12:47:08 fetching corpus: 17400, signal 277269/444207 (executing program) 2022/11/17 12:47:08 fetching corpus: 17450, signal 277518/444207 (executing program) 2022/11/17 12:47:08 fetching corpus: 17500, signal 277740/444207 (executing program) 2022/11/17 12:47:08 fetching corpus: 17550, signal 278144/444207 (executing program) 2022/11/17 12:47:08 fetching corpus: 17600, signal 278392/444207 (executing program) 2022/11/17 12:47:08 fetching corpus: 17650, signal 278618/444207 (executing program) 2022/11/17 12:47:08 fetching corpus: 17700, signal 278819/444207 (executing program) 2022/11/17 12:47:08 fetching corpus: 17750, signal 279088/444207 (executing program) 2022/11/17 12:47:08 fetching corpus: 17800, signal 279335/444207 (executing program) 2022/11/17 12:47:08 fetching corpus: 17850, signal 279924/444207 (executing program) 2022/11/17 12:47:08 fetching corpus: 17900, signal 280222/444207 (executing program) 2022/11/17 12:47:09 fetching corpus: 17950, signal 280496/444207 (executing program) 2022/11/17 12:47:09 fetching corpus: 18000, signal 280728/444207 (executing program) 2022/11/17 12:47:09 fetching corpus: 18050, signal 281010/444207 (executing program) 2022/11/17 12:47:09 fetching corpus: 18100, signal 281237/444207 (executing program) 2022/11/17 12:47:09 fetching corpus: 18150, signal 281482/444207 (executing program) 2022/11/17 12:47:09 fetching corpus: 18200, signal 281642/444207 (executing program) 2022/11/17 12:47:09 fetching corpus: 18250, signal 281915/444207 (executing program) 2022/11/17 12:47:09 fetching corpus: 18300, signal 282220/444207 (executing program) 2022/11/17 12:47:09 fetching corpus: 18350, signal 282506/444207 (executing program) 2022/11/17 12:47:09 fetching corpus: 18400, signal 282737/444207 (executing program) 2022/11/17 12:47:09 fetching corpus: 18450, signal 282972/444207 (executing program) 2022/11/17 12:47:09 fetching corpus: 18500, signal 283188/444207 (executing program) 2022/11/17 12:47:10 fetching corpus: 18550, signal 283389/444207 (executing program) 2022/11/17 12:47:10 fetching corpus: 18600, signal 283670/444207 (executing program) 2022/11/17 12:47:10 fetching corpus: 18650, signal 283911/444207 (executing program) 2022/11/17 12:47:10 fetching corpus: 18700, signal 284147/444207 (executing program) 2022/11/17 12:47:10 fetching corpus: 18750, signal 284332/444207 (executing program) 2022/11/17 12:47:10 fetching corpus: 18800, signal 285120/444207 (executing program) 2022/11/17 12:47:10 fetching corpus: 18850, signal 285343/444207 (executing program) 2022/11/17 12:47:10 fetching corpus: 18900, signal 285646/444207 (executing program) 2022/11/17 12:47:10 fetching corpus: 18950, signal 285919/444207 (executing program) 2022/11/17 12:47:10 fetching corpus: 19000, signal 286120/444207 (executing program) 2022/11/17 12:47:10 fetching corpus: 19050, signal 286358/444207 (executing program) 2022/11/17 12:47:10 fetching corpus: 19100, signal 286664/444207 (executing program) 2022/11/17 12:47:10 fetching corpus: 19150, signal 286985/444207 (executing program) 2022/11/17 12:47:10 fetching corpus: 19200, signal 287170/444207 (executing program) 2022/11/17 12:47:11 fetching corpus: 19250, signal 287421/444207 (executing program) 2022/11/17 12:47:11 fetching corpus: 19300, signal 287757/444207 (executing program) 2022/11/17 12:47:11 fetching corpus: 19350, signal 287961/444207 (executing program) 2022/11/17 12:47:11 fetching corpus: 19400, signal 288185/444207 (executing program) 2022/11/17 12:47:11 fetching corpus: 19450, signal 288385/444207 (executing program) 2022/11/17 12:47:11 fetching corpus: 19500, signal 288627/444207 (executing program) 2022/11/17 12:47:11 fetching corpus: 19550, signal 288848/444207 (executing program) 2022/11/17 12:47:12 fetching corpus: 19600, signal 289068/444207 (executing program) 2022/11/17 12:47:12 fetching corpus: 19650, signal 289420/444207 (executing program) 2022/11/17 12:47:12 fetching corpus: 19700, signal 289641/444207 (executing program) 2022/11/17 12:47:12 fetching corpus: 19750, signal 289902/444207 (executing program) 2022/11/17 12:47:12 fetching corpus: 19800, signal 290155/444207 (executing program) 2022/11/17 12:47:12 fetching corpus: 19850, signal 290348/444207 (executing program) 2022/11/17 12:47:12 fetching corpus: 19900, signal 290593/444207 (executing program) 2022/11/17 12:47:12 fetching corpus: 19950, signal 290852/444207 (executing program) 2022/11/17 12:47:12 fetching corpus: 20000, signal 291134/444207 (executing program) 2022/11/17 12:47:12 fetching corpus: 20050, signal 291451/444207 (executing program) 2022/11/17 12:47:12 fetching corpus: 20100, signal 291694/444207 (executing program) 2022/11/17 12:47:12 fetching corpus: 20150, signal 292046/444207 (executing program) 2022/11/17 12:47:12 fetching corpus: 20200, signal 292246/444207 (executing program) 2022/11/17 12:47:12 fetching corpus: 20250, signal 292562/444207 (executing program) 2022/11/17 12:47:12 fetching corpus: 20300, signal 292857/444207 (executing program) 2022/11/17 12:47:12 fetching corpus: 20350, signal 293049/444207 (executing program) 2022/11/17 12:47:12 fetching corpus: 20400, signal 293335/444207 (executing program) 2022/11/17 12:47:12 fetching corpus: 20450, signal 293553/444207 (executing program) 2022/11/17 12:47:13 fetching corpus: 20500, signal 293763/444207 (executing program) 2022/11/17 12:47:13 fetching corpus: 20550, signal 294033/444207 (executing program) 2022/11/17 12:47:13 fetching corpus: 20600, signal 294237/444207 (executing program) 2022/11/17 12:47:13 fetching corpus: 20650, signal 294552/444207 (executing program) 2022/11/17 12:47:13 fetching corpus: 20700, signal 294747/444207 (executing program) 2022/11/17 12:47:13 fetching corpus: 20750, signal 294950/444207 (executing program) 2022/11/17 12:47:13 fetching corpus: 20800, signal 295163/444207 (executing program) 2022/11/17 12:47:13 fetching corpus: 20850, signal 295365/444207 (executing program) 2022/11/17 12:47:13 fetching corpus: 20900, signal 295543/444207 (executing program) 2022/11/17 12:47:13 fetching corpus: 20950, signal 295775/444207 (executing program) 2022/11/17 12:47:13 fetching corpus: 21000, signal 296027/444207 (executing program) 2022/11/17 12:47:13 fetching corpus: 21050, signal 296243/444207 (executing program) 2022/11/17 12:47:13 fetching corpus: 21100, signal 296476/444207 (executing program) 2022/11/17 12:47:13 fetching corpus: 21150, signal 296699/444207 (executing program) 2022/11/17 12:47:13 fetching corpus: 21200, signal 296891/444207 (executing program) 2022/11/17 12:47:14 fetching corpus: 21250, signal 297203/444207 (executing program) 2022/11/17 12:47:14 fetching corpus: 21300, signal 297412/444207 (executing program) 2022/11/17 12:47:14 fetching corpus: 21350, signal 297586/444207 (executing program) 2022/11/17 12:47:14 fetching corpus: 21400, signal 297795/444207 (executing program) 2022/11/17 12:47:14 fetching corpus: 21450, signal 297996/444207 (executing program) 2022/11/17 12:47:14 fetching corpus: 21500, signal 298158/444207 (executing program) 2022/11/17 12:47:14 fetching corpus: 21550, signal 298393/444207 (executing program) 2022/11/17 12:47:14 fetching corpus: 21600, signal 298608/444207 (executing program) 2022/11/17 12:47:14 fetching corpus: 21650, signal 298838/444207 (executing program) 2022/11/17 12:47:14 fetching corpus: 21700, signal 299014/444207 (executing program) 2022/11/17 12:47:14 fetching corpus: 21750, signal 299270/444207 (executing program) 2022/11/17 12:47:14 fetching corpus: 21800, signal 299464/444207 (executing program) 2022/11/17 12:47:14 fetching corpus: 21850, signal 299675/444207 (executing program) 2022/11/17 12:47:14 fetching corpus: 21900, signal 299910/444207 (executing program) 2022/11/17 12:47:14 fetching corpus: 21950, signal 300556/444207 (executing program) 2022/11/17 12:47:14 fetching corpus: 22000, signal 300720/444207 (executing program) 2022/11/17 12:47:14 fetching corpus: 22050, signal 300992/444207 (executing program) 2022/11/17 12:47:15 fetching corpus: 22100, signal 301213/444207 (executing program) 2022/11/17 12:47:15 fetching corpus: 22150, signal 301462/444207 (executing program) 2022/11/17 12:47:15 fetching corpus: 22200, signal 301720/444207 (executing program) 2022/11/17 12:47:15 fetching corpus: 22250, signal 302200/444207 (executing program) 2022/11/17 12:47:15 fetching corpus: 22300, signal 302424/444207 (executing program) 2022/11/17 12:47:15 fetching corpus: 22350, signal 302776/444207 (executing program) 2022/11/17 12:47:15 fetching corpus: 22400, signal 303170/444207 (executing program) 2022/11/17 12:47:15 fetching corpus: 22450, signal 303384/444207 (executing program) 2022/11/17 12:47:15 fetching corpus: 22500, signal 303684/444207 (executing program) 2022/11/17 12:47:15 fetching corpus: 22550, signal 303920/444207 (executing program) 2022/11/17 12:47:15 fetching corpus: 22600, signal 304260/444207 (executing program) 2022/11/17 12:47:15 fetching corpus: 22650, signal 304478/444207 (executing program) 2022/11/17 12:47:15 fetching corpus: 22700, signal 304698/444207 (executing program) 2022/11/17 12:47:16 fetching corpus: 22750, signal 304884/444207 (executing program) 2022/11/17 12:47:16 fetching corpus: 22800, signal 305164/444207 (executing program) 2022/11/17 12:47:16 fetching corpus: 22850, signal 305371/444207 (executing program) 2022/11/17 12:47:16 fetching corpus: 22900, signal 305637/444207 (executing program) 2022/11/17 12:47:16 fetching corpus: 22950, signal 305872/444207 (executing program) 2022/11/17 12:47:16 fetching corpus: 23000, signal 306143/444207 (executing program) 2022/11/17 12:47:16 fetching corpus: 23050, signal 306372/444207 (executing program) 2022/11/17 12:47:16 fetching corpus: 23100, signal 306591/444207 (executing program) 2022/11/17 12:47:16 fetching corpus: 23150, signal 306772/444207 (executing program) 2022/11/17 12:47:16 fetching corpus: 23200, signal 306911/444207 (executing program) 2022/11/17 12:47:16 fetching corpus: 23250, signal 307657/444207 (executing program) 2022/11/17 12:47:16 fetching corpus: 23300, signal 307887/444207 (executing program) 2022/11/17 12:47:16 fetching corpus: 23350, signal 308084/444207 (executing program) 2022/11/17 12:47:16 fetching corpus: 23400, signal 308345/444207 (executing program) 2022/11/17 12:47:17 fetching corpus: 23450, signal 308587/444207 (executing program) 2022/11/17 12:47:17 fetching corpus: 23500, signal 308802/444207 (executing program) 2022/11/17 12:47:17 fetching corpus: 23550, signal 309012/444207 (executing program) 2022/11/17 12:47:17 fetching corpus: 23600, signal 309350/444207 (executing program) 2022/11/17 12:47:17 fetching corpus: 23650, signal 309595/444207 (executing program) 2022/11/17 12:47:17 fetching corpus: 23700, signal 309851/444207 (executing program) 2022/11/17 12:47:17 fetching corpus: 23750, signal 310023/444207 (executing program) 2022/11/17 12:47:17 fetching corpus: 23800, signal 310232/444207 (executing program) 2022/11/17 12:47:17 fetching corpus: 23850, signal 310422/444207 (executing program) 2022/11/17 12:47:17 fetching corpus: 23900, signal 310670/444207 (executing program) 2022/11/17 12:47:17 fetching corpus: 23950, signal 310877/444207 (executing program) 2022/11/17 12:47:17 fetching corpus: 24000, signal 311024/444207 (executing program) 2022/11/17 12:47:17 fetching corpus: 24050, signal 311196/444207 (executing program) 2022/11/17 12:47:18 fetching corpus: 24100, signal 311417/444207 (executing program) 2022/11/17 12:47:18 fetching corpus: 24150, signal 311640/444207 (executing program) 2022/11/17 12:47:18 fetching corpus: 24200, signal 311854/444207 (executing program) 2022/11/17 12:47:18 fetching corpus: 24250, signal 312109/444207 (executing program) 2022/11/17 12:47:18 fetching corpus: 24300, signal 312273/444207 (executing program) 2022/11/17 12:47:18 fetching corpus: 24350, signal 312463/444207 (executing program) 2022/11/17 12:47:18 fetching corpus: 24400, signal 312730/444207 (executing program) 2022/11/17 12:47:18 fetching corpus: 24450, signal 312990/444207 (executing program) 2022/11/17 12:47:18 fetching corpus: 24500, signal 313249/444207 (executing program) 2022/11/17 12:47:18 fetching corpus: 24550, signal 313510/444207 (executing program) 2022/11/17 12:47:18 fetching corpus: 24600, signal 313747/444207 (executing program) 2022/11/17 12:47:18 fetching corpus: 24650, signal 314026/444207 (executing program) 2022/11/17 12:47:18 fetching corpus: 24700, signal 314196/444207 (executing program) 2022/11/17 12:47:18 fetching corpus: 24750, signal 314356/444207 (executing program) 2022/11/17 12:47:18 fetching corpus: 24800, signal 314588/444207 (executing program) 2022/11/17 12:47:18 fetching corpus: 24850, signal 314816/444207 (executing program) 2022/11/17 12:47:19 fetching corpus: 24900, signal 315011/444207 (executing program) 2022/11/17 12:47:19 fetching corpus: 24950, signal 315190/444207 (executing program) 2022/11/17 12:47:19 fetching corpus: 25000, signal 315408/444207 (executing program) 2022/11/17 12:47:19 fetching corpus: 25050, signal 315798/444207 (executing program) 2022/11/17 12:47:19 fetching corpus: 25100, signal 316081/444207 (executing program) 2022/11/17 12:47:19 fetching corpus: 25150, signal 316271/444207 (executing program) 2022/11/17 12:47:19 fetching corpus: 25200, signal 316466/444207 (executing program) 2022/11/17 12:47:19 fetching corpus: 25250, signal 317228/444207 (executing program) 2022/11/17 12:47:19 fetching corpus: 25300, signal 317459/444207 (executing program) 2022/11/17 12:47:19 fetching corpus: 25350, signal 317607/444207 (executing program) 2022/11/17 12:47:19 fetching corpus: 25400, signal 317784/444207 (executing program) 2022/11/17 12:47:19 fetching corpus: 25450, signal 317954/444207 (executing program) 2022/11/17 12:47:19 fetching corpus: 25500, signal 319146/444207 (executing program) 2022/11/17 12:47:20 fetching corpus: 25550, signal 319578/444207 (executing program) 2022/11/17 12:47:20 fetching corpus: 25600, signal 319787/444207 (executing program) 2022/11/17 12:47:20 fetching corpus: 25650, signal 320005/444207 (executing program) 2022/11/17 12:47:20 fetching corpus: 25700, signal 320242/444207 (executing program) 2022/11/17 12:47:20 fetching corpus: 25750, signal 320506/444207 (executing program) 2022/11/17 12:47:20 fetching corpus: 25800, signal 320666/444207 (executing program) 2022/11/17 12:47:20 fetching corpus: 25850, signal 320846/444207 (executing program) 2022/11/17 12:47:20 fetching corpus: 25900, signal 321125/444207 (executing program) 2022/11/17 12:47:20 fetching corpus: 25950, signal 321343/444207 (executing program) 2022/11/17 12:47:20 fetching corpus: 26000, signal 321488/444207 (executing program) 2022/11/17 12:47:20 fetching corpus: 26050, signal 321703/444207 (executing program) 2022/11/17 12:47:20 fetching corpus: 26100, signal 321964/444207 (executing program) 2022/11/17 12:47:20 fetching corpus: 26150, signal 322251/444207 (executing program) 2022/11/17 12:47:20 fetching corpus: 26200, signal 322416/444207 (executing program) 2022/11/17 12:47:20 fetching corpus: 26250, signal 322597/444207 (executing program) 2022/11/17 12:47:20 fetching corpus: 26300, signal 322793/444207 (executing program) 2022/11/17 12:47:21 fetching corpus: 26350, signal 322986/444207 (executing program) 2022/11/17 12:47:21 fetching corpus: 26400, signal 323176/444207 (executing program) 2022/11/17 12:47:21 fetching corpus: 26450, signal 323536/444207 (executing program) 2022/11/17 12:47:21 fetching corpus: 26500, signal 323755/444207 (executing program) 2022/11/17 12:47:21 fetching corpus: 26550, signal 323904/444207 (executing program) 2022/11/17 12:47:21 fetching corpus: 26600, signal 324183/444207 (executing program) 2022/11/17 12:47:21 fetching corpus: 26650, signal 324358/444207 (executing program) 2022/11/17 12:47:21 fetching corpus: 26700, signal 324595/444207 (executing program) 2022/11/17 12:47:22 fetching corpus: 26750, signal 325093/444207 (executing program) 2022/11/17 12:47:22 fetching corpus: 26800, signal 325304/444207 (executing program) 2022/11/17 12:47:22 fetching corpus: 26850, signal 325511/444207 (executing program) 2022/11/17 12:47:22 fetching corpus: 26900, signal 325788/444207 (executing program) 2022/11/17 12:47:22 fetching corpus: 26950, signal 326009/444207 (executing program) 2022/11/17 12:47:22 fetching corpus: 27000, signal 326252/444207 (executing program) 2022/11/17 12:47:22 fetching corpus: 27050, signal 326462/444207 (executing program) 2022/11/17 12:47:22 fetching corpus: 27100, signal 326639/444207 (executing program) 2022/11/17 12:47:22 fetching corpus: 27150, signal 326832/444207 (executing program) 2022/11/17 12:47:22 fetching corpus: 27200, signal 327046/444207 (executing program) 2022/11/17 12:47:22 fetching corpus: 27250, signal 327229/444207 (executing program) 2022/11/17 12:47:22 fetching corpus: 27300, signal 327383/444207 (executing program) 2022/11/17 12:47:22 fetching corpus: 27350, signal 327573/444207 (executing program) 2022/11/17 12:47:23 fetching corpus: 27400, signal 327747/444207 (executing program) 2022/11/17 12:47:23 fetching corpus: 27450, signal 327992/444207 (executing program) 2022/11/17 12:47:23 fetching corpus: 27500, signal 328222/444207 (executing program) 2022/11/17 12:47:23 fetching corpus: 27550, signal 328439/444207 (executing program) 2022/11/17 12:47:23 fetching corpus: 27600, signal 328618/444207 (executing program) 2022/11/17 12:47:23 fetching corpus: 27650, signal 328801/444207 (executing program) 2022/11/17 12:47:23 fetching corpus: 27700, signal 329015/444207 (executing program) 2022/11/17 12:47:23 fetching corpus: 27750, signal 329234/444207 (executing program) 2022/11/17 12:47:23 fetching corpus: 27800, signal 329467/444207 (executing program) 2022/11/17 12:47:23 fetching corpus: 27850, signal 329775/444207 (executing program) 2022/11/17 12:47:23 fetching corpus: 27900, signal 329942/444207 (executing program) 2022/11/17 12:47:23 fetching corpus: 27950, signal 330126/444207 (executing program) 2022/11/17 12:47:23 fetching corpus: 28000, signal 330327/444207 (executing program) 2022/11/17 12:47:23 fetching corpus: 28050, signal 330502/444207 (executing program) 2022/11/17 12:47:23 fetching corpus: 28100, signal 330765/444207 (executing program) 2022/11/17 12:47:23 fetching corpus: 28150, signal 330915/444207 (executing program) 2022/11/17 12:47:23 fetching corpus: 28200, signal 331100/444207 (executing program) 2022/11/17 12:47:23 fetching corpus: 28250, signal 331258/444207 (executing program) 2022/11/17 12:47:24 fetching corpus: 28300, signal 331421/444207 (executing program) 2022/11/17 12:47:24 fetching corpus: 28350, signal 331566/444207 (executing program) 2022/11/17 12:47:24 fetching corpus: 28400, signal 331786/444207 (executing program) 2022/11/17 12:47:24 fetching corpus: 28450, signal 331983/444207 (executing program) 2022/11/17 12:47:24 fetching corpus: 28500, signal 332136/444207 (executing program) 2022/11/17 12:47:24 fetching corpus: 28550, signal 332306/444207 (executing program) 2022/11/17 12:47:24 fetching corpus: 28600, signal 332549/444207 (executing program) 2022/11/17 12:47:24 fetching corpus: 28650, signal 332721/444207 (executing program) 2022/11/17 12:47:24 fetching corpus: 28700, signal 332869/444207 (executing program) 2022/11/17 12:47:24 fetching corpus: 28750, signal 333031/444207 (executing program) 2022/11/17 12:47:24 fetching corpus: 28800, signal 333273/444207 (executing program) 2022/11/17 12:47:24 fetching corpus: 28850, signal 333445/444207 (executing program) 2022/11/17 12:47:24 fetching corpus: 28900, signal 333611/444207 (executing program) 2022/11/17 12:47:24 fetching corpus: 28950, signal 333756/444207 (executing program) 2022/11/17 12:47:24 fetching corpus: 29000, signal 333983/444207 (executing program) 2022/11/17 12:47:24 fetching corpus: 29050, signal 334143/444207 (executing program) 2022/11/17 12:47:25 fetching corpus: 29100, signal 334317/444207 (executing program) 2022/11/17 12:47:25 fetching corpus: 29150, signal 334515/444207 (executing program) 2022/11/17 12:47:25 fetching corpus: 29200, signal 334716/444207 (executing program) 2022/11/17 12:47:25 fetching corpus: 29250, signal 334907/444207 (executing program) 2022/11/17 12:47:25 fetching corpus: 29300, signal 335025/444207 (executing program) 2022/11/17 12:47:25 fetching corpus: 29350, signal 335184/444207 (executing program) 2022/11/17 12:47:25 fetching corpus: 29400, signal 335427/444207 (executing program) 2022/11/17 12:47:25 fetching corpus: 29450, signal 335640/444207 (executing program) 2022/11/17 12:47:25 fetching corpus: 29500, signal 335832/444207 (executing program) 2022/11/17 12:47:25 fetching corpus: 29550, signal 336003/444207 (executing program) 2022/11/17 12:47:25 fetching corpus: 29600, signal 336143/444207 (executing program) 2022/11/17 12:47:25 fetching corpus: 29650, signal 336366/444207 (executing program) 2022/11/17 12:47:25 fetching corpus: 29700, signal 336585/444207 (executing program) 2022/11/17 12:47:25 fetching corpus: 29750, signal 337262/444207 (executing program) 2022/11/17 12:47:25 fetching corpus: 29800, signal 337485/444207 (executing program) 2022/11/17 12:47:25 fetching corpus: 29850, signal 338449/444207 (executing program) 2022/11/17 12:47:25 fetching corpus: 29900, signal 338611/444207 (executing program) 2022/11/17 12:47:25 fetching corpus: 29950, signal 338770/444207 (executing program) 2022/11/17 12:47:26 fetching corpus: 30000, signal 338924/444207 (executing program) 2022/11/17 12:47:26 fetching corpus: 30050, signal 339093/444207 (executing program) 2022/11/17 12:47:26 fetching corpus: 30100, signal 339266/444207 (executing program) 2022/11/17 12:47:26 fetching corpus: 30150, signal 339478/444207 (executing program) 2022/11/17 12:47:26 fetching corpus: 30200, signal 339975/444207 (executing program) 2022/11/17 12:47:26 fetching corpus: 30250, signal 340177/444207 (executing program) 2022/11/17 12:47:26 fetching corpus: 30300, signal 340352/444207 (executing program) 2022/11/17 12:47:26 fetching corpus: 30350, signal 340520/444207 (executing program) 2022/11/17 12:47:26 fetching corpus: 30400, signal 340937/444207 (executing program) 2022/11/17 12:47:26 fetching corpus: 30450, signal 341103/444207 (executing program) 2022/11/17 12:47:26 fetching corpus: 30500, signal 341403/444207 (executing program) 2022/11/17 12:47:26 fetching corpus: 30550, signal 341601/444207 (executing program) 2022/11/17 12:47:26 fetching corpus: 30600, signal 341937/444207 (executing program) 2022/11/17 12:47:26 fetching corpus: 30650, signal 342183/444207 (executing program) 2022/11/17 12:47:26 fetching corpus: 30700, signal 342332/444207 (executing program) 2022/11/17 12:47:26 fetching corpus: 30750, signal 342666/444207 (executing program) 2022/11/17 12:47:26 fetching corpus: 30800, signal 342872/444207 (executing program) 2022/11/17 12:47:26 fetching corpus: 30850, signal 343045/444207 (executing program) 2022/11/17 12:47:27 fetching corpus: 30900, signal 343186/444207 (executing program) 2022/11/17 12:47:27 fetching corpus: 30950, signal 343399/444207 (executing program) 2022/11/17 12:47:27 fetching corpus: 31000, signal 343630/444207 (executing program) 2022/11/17 12:47:27 fetching corpus: 31050, signal 343776/444207 (executing program) 2022/11/17 12:47:27 fetching corpus: 31100, signal 344022/444207 (executing program) 2022/11/17 12:47:27 fetching corpus: 31150, signal 344194/444207 (executing program) 2022/11/17 12:47:27 fetching corpus: 31200, signal 344405/444207 (executing program) 2022/11/17 12:47:27 fetching corpus: 31250, signal 345024/444207 (executing program) 2022/11/17 12:47:27 fetching corpus: 31300, signal 345282/444207 (executing program) 2022/11/17 12:47:27 fetching corpus: 31350, signal 345576/444207 (executing program) 2022/11/17 12:47:27 fetching corpus: 31400, signal 345726/444207 (executing program) 2022/11/17 12:47:27 fetching corpus: 31450, signal 345930/444207 (executing program) 2022/11/17 12:47:27 fetching corpus: 31500, signal 346158/444207 (executing program) 2022/11/17 12:47:28 fetching corpus: 31550, signal 346388/444207 (executing program) 2022/11/17 12:47:28 fetching corpus: 31600, signal 346533/444207 (executing program) 2022/11/17 12:47:28 fetching corpus: 31650, signal 346708/444207 (executing program) 2022/11/17 12:47:28 fetching corpus: 31700, signal 346916/444207 (executing program) 2022/11/17 12:47:28 fetching corpus: 31750, signal 347108/444207 (executing program) 2022/11/17 12:47:28 fetching corpus: 31800, signal 347266/444207 (executing program) 2022/11/17 12:47:28 fetching corpus: 31850, signal 347472/444207 (executing program) 2022/11/17 12:47:28 fetching corpus: 31900, signal 347640/444207 (executing program) 2022/11/17 12:47:28 fetching corpus: 31950, signal 347867/444207 (executing program) 2022/11/17 12:47:28 fetching corpus: 32000, signal 348130/444207 (executing program) 2022/11/17 12:47:28 fetching corpus: 32050, signal 348335/444207 (executing program) 2022/11/17 12:47:28 fetching corpus: 32100, signal 348493/444207 (executing program) 2022/11/17 12:47:28 fetching corpus: 32150, signal 348694/444207 (executing program) 2022/11/17 12:47:28 fetching corpus: 32200, signal 348877/444207 (executing program) 2022/11/17 12:47:28 fetching corpus: 32250, signal 349033/444207 (executing program) 2022/11/17 12:47:29 fetching corpus: 32300, signal 349163/444207 (executing program) 2022/11/17 12:47:29 fetching corpus: 32350, signal 349342/444207 (executing program) 2022/11/17 12:47:29 fetching corpus: 32400, signal 349527/444207 (executing program) 2022/11/17 12:47:29 fetching corpus: 32450, signal 349713/444207 (executing program) 2022/11/17 12:47:29 fetching corpus: 32500, signal 349892/444207 (executing program) 2022/11/17 12:47:29 fetching corpus: 32550, signal 350089/444207 (executing program) 2022/11/17 12:47:29 fetching corpus: 32600, signal 350233/444207 (executing program) 2022/11/17 12:47:29 fetching corpus: 32650, signal 350432/444207 (executing program) 2022/11/17 12:47:29 fetching corpus: 32700, signal 350544/444207 (executing program) 2022/11/17 12:47:29 fetching corpus: 32750, signal 350739/444207 (executing program) 2022/11/17 12:47:29 fetching corpus: 32800, signal 351013/444207 (executing program) 2022/11/17 12:47:29 fetching corpus: 32850, signal 351200/444207 (executing program) 2022/11/17 12:47:30 fetching corpus: 32900, signal 351330/444207 (executing program) 2022/11/17 12:47:30 fetching corpus: 32950, signal 351570/444207 (executing program) 2022/11/17 12:47:30 fetching corpus: 33000, signal 351730/444207 (executing program) 2022/11/17 12:47:30 fetching corpus: 33050, signal 351920/444207 (executing program) 2022/11/17 12:47:30 fetching corpus: 33100, signal 352130/444207 (executing program) 2022/11/17 12:47:30 fetching corpus: 33150, signal 352309/444207 (executing program) 2022/11/17 12:47:30 fetching corpus: 33200, signal 352579/444207 (executing program) 2022/11/17 12:47:30 fetching corpus: 33250, signal 352740/444207 (executing program) 2022/11/17 12:47:30 fetching corpus: 33300, signal 352891/444207 (executing program) 2022/11/17 12:47:30 fetching corpus: 33350, signal 353076/444207 (executing program) 2022/11/17 12:47:30 fetching corpus: 33400, signal 353334/444207 (executing program) 2022/11/17 12:47:30 fetching corpus: 33450, signal 353496/444207 (executing program) 2022/11/17 12:47:30 fetching corpus: 33500, signal 353645/444207 (executing program) 2022/11/17 12:47:30 fetching corpus: 33550, signal 353779/444207 (executing program) 2022/11/17 12:47:31 fetching corpus: 33600, signal 353976/444207 (executing program) 2022/11/17 12:47:31 fetching corpus: 33650, signal 354132/444207 (executing program) 2022/11/17 12:47:31 fetching corpus: 33700, signal 354276/444207 (executing program) 2022/11/17 12:47:31 fetching corpus: 33750, signal 354478/444207 (executing program) 2022/11/17 12:47:31 fetching corpus: 33800, signal 354639/444207 (executing program) 2022/11/17 12:47:31 fetching corpus: 33850, signal 354869/444207 (executing program) 2022/11/17 12:47:31 fetching corpus: 33900, signal 355023/444207 (executing program) 2022/11/17 12:47:31 fetching corpus: 33950, signal 355196/444207 (executing program) 2022/11/17 12:47:31 fetching corpus: 34000, signal 355393/444207 (executing program) 2022/11/17 12:47:31 fetching corpus: 34050, signal 355573/444207 (executing program) 2022/11/17 12:47:31 fetching corpus: 34100, signal 355719/444207 (executing program) 2022/11/17 12:47:31 fetching corpus: 34150, signal 355871/444207 (executing program) 2022/11/17 12:47:31 fetching corpus: 34200, signal 356053/444207 (executing program) 2022/11/17 12:47:31 fetching corpus: 34250, signal 356232/444207 (executing program) 2022/11/17 12:47:31 fetching corpus: 34300, signal 356473/444207 (executing program) 2022/11/17 12:47:32 fetching corpus: 34350, signal 356623/444207 (executing program) 2022/11/17 12:47:32 fetching corpus: 34400, signal 356798/444207 (executing program) 2022/11/17 12:47:32 fetching corpus: 34450, signal 357110/444207 (executing program) 2022/11/17 12:47:32 fetching corpus: 34500, signal 357407/444207 (executing program) 2022/11/17 12:47:32 fetching corpus: 34550, signal 357585/444207 (executing program) 2022/11/17 12:47:32 fetching corpus: 34600, signal 357776/444207 (executing program) 2022/11/17 12:47:32 fetching corpus: 34650, signal 357945/444207 (executing program) 2022/11/17 12:47:32 fetching corpus: 34700, signal 358144/444207 (executing program) 2022/11/17 12:47:32 fetching corpus: 34750, signal 358337/444207 (executing program) 2022/11/17 12:47:32 fetching corpus: 34800, signal 358523/444207 (executing program) 2022/11/17 12:47:32 fetching corpus: 34850, signal 358655/444207 (executing program) 2022/11/17 12:47:32 fetching corpus: 34900, signal 358791/444207 (executing program) 2022/11/17 12:47:32 fetching corpus: 34950, signal 359003/444207 (executing program) 2022/11/17 12:47:32 fetching corpus: 35000, signal 359143/444207 (executing program) 2022/11/17 12:47:32 fetching corpus: 35050, signal 359318/444207 (executing program) 2022/11/17 12:47:32 fetching corpus: 35100, signal 359465/444207 (executing program) 2022/11/17 12:47:32 fetching corpus: 35150, signal 359630/444207 (executing program) 2022/11/17 12:47:33 fetching corpus: 35200, signal 359853/444207 (executing program) 2022/11/17 12:47:33 fetching corpus: 35250, signal 359991/444207 (executing program) 2022/11/17 12:47:33 fetching corpus: 35300, signal 360177/444207 (executing program) 2022/11/17 12:47:33 fetching corpus: 35350, signal 360383/444207 (executing program) 2022/11/17 12:47:33 fetching corpus: 35400, signal 360856/444207 (executing program) 2022/11/17 12:47:33 fetching corpus: 35450, signal 361030/444207 (executing program) 2022/11/17 12:47:33 fetching corpus: 35500, signal 361179/444207 (executing program) 2022/11/17 12:47:33 fetching corpus: 35550, signal 361360/444207 (executing program) 2022/11/17 12:47:33 fetching corpus: 35600, signal 361508/444207 (executing program) 2022/11/17 12:47:33 fetching corpus: 35650, signal 361686/444207 (executing program) 2022/11/17 12:47:33 fetching corpus: 35700, signal 361855/444207 (executing program) 2022/11/17 12:47:33 fetching corpus: 35750, signal 361969/444207 (executing program) 2022/11/17 12:47:33 fetching corpus: 35800, signal 362096/444207 (executing program) 2022/11/17 12:47:33 fetching corpus: 35850, signal 362261/444207 (executing program) 2022/11/17 12:47:33 fetching corpus: 35900, signal 362400/444207 (executing program) 2022/11/17 12:47:34 fetching corpus: 35950, signal 362530/444207 (executing program) 2022/11/17 12:47:34 fetching corpus: 36000, signal 362723/444207 (executing program) 2022/11/17 12:47:34 fetching corpus: 36050, signal 362850/444207 (executing program) 2022/11/17 12:47:34 fetching corpus: 36100, signal 363013/444207 (executing program) 2022/11/17 12:47:34 fetching corpus: 36150, signal 363176/444207 (executing program) 2022/11/17 12:47:34 fetching corpus: 36200, signal 363471/444207 (executing program) 2022/11/17 12:47:34 fetching corpus: 36250, signal 363610/444207 (executing program) 2022/11/17 12:47:34 fetching corpus: 36300, signal 363790/444207 (executing program) 2022/11/17 12:47:34 fetching corpus: 36350, signal 363963/444207 (executing program) 2022/11/17 12:47:34 fetching corpus: 36400, signal 364098/444207 (executing program) 2022/11/17 12:47:34 fetching corpus: 36450, signal 364264/444207 (executing program) 2022/11/17 12:47:34 fetching corpus: 36500, signal 364482/444207 (executing program) 2022/11/17 12:47:35 fetching corpus: 36550, signal 364632/444207 (executing program) 2022/11/17 12:47:35 fetching corpus: 36600, signal 364782/444207 (executing program) 2022/11/17 12:47:35 fetching corpus: 36650, signal 364915/444207 (executing program) 2022/11/17 12:47:35 fetching corpus: 36700, signal 365094/444207 (executing program) 2022/11/17 12:47:35 fetching corpus: 36750, signal 365284/444207 (executing program) 2022/11/17 12:47:35 fetching corpus: 36800, signal 365454/444207 (executing program) 2022/11/17 12:47:35 fetching corpus: 36850, signal 365661/444207 (executing program) 2022/11/17 12:47:35 fetching corpus: 36900, signal 365826/444207 (executing program) 2022/11/17 12:47:35 fetching corpus: 36950, signal 365947/444207 (executing program) 2022/11/17 12:47:35 fetching corpus: 37000, signal 366116/444207 (executing program) 2022/11/17 12:47:35 fetching corpus: 37050, signal 366258/444207 (executing program) 2022/11/17 12:47:35 fetching corpus: 37100, signal 366444/444207 (executing program) 2022/11/17 12:47:35 fetching corpus: 37150, signal 366619/444207 (executing program) 2022/11/17 12:47:35 fetching corpus: 37200, signal 366735/444207 (executing program) 2022/11/17 12:47:35 fetching corpus: 37250, signal 366867/444207 (executing program) 2022/11/17 12:47:35 fetching corpus: 37300, signal 367043/444207 (executing program) 2022/11/17 12:47:35 fetching corpus: 37350, signal 367180/444207 (executing program) 2022/11/17 12:47:35 fetching corpus: 37400, signal 367331/444207 (executing program) 2022/11/17 12:47:36 fetching corpus: 37450, signal 367591/444207 (executing program) 2022/11/17 12:47:36 fetching corpus: 37500, signal 367816/444207 (executing program) 2022/11/17 12:47:36 fetching corpus: 37550, signal 367955/444207 (executing program) 2022/11/17 12:47:36 fetching corpus: 37600, signal 368121/444207 (executing program) 2022/11/17 12:47:36 fetching corpus: 37650, signal 368296/444207 (executing program) 2022/11/17 12:47:36 fetching corpus: 37700, signal 368464/444207 (executing program) 2022/11/17 12:47:36 fetching corpus: 37750, signal 368629/444207 (executing program) 2022/11/17 12:47:36 fetching corpus: 37800, signal 368753/444207 (executing program) 2022/11/17 12:47:36 fetching corpus: 37850, signal 368872/444207 (executing program) 2022/11/17 12:47:36 fetching corpus: 37900, signal 369007/444207 (executing program) 2022/11/17 12:47:36 fetching corpus: 37950, signal 369130/444207 (executing program) 2022/11/17 12:47:36 fetching corpus: 38000, signal 369256/444207 (executing program) 2022/11/17 12:47:36 fetching corpus: 38050, signal 369448/444207 (executing program) 2022/11/17 12:47:36 fetching corpus: 38100, signal 369639/444207 (executing program) 2022/11/17 12:47:36 fetching corpus: 38150, signal 369789/444207 (executing program) 2022/11/17 12:47:36 fetching corpus: 38200, signal 369921/444207 (executing program) 2022/11/17 12:47:36 fetching corpus: 38250, signal 370059/444207 (executing program) 2022/11/17 12:47:37 fetching corpus: 38300, signal 370218/444207 (executing program) 2022/11/17 12:47:37 fetching corpus: 38350, signal 370369/444207 (executing program) 2022/11/17 12:47:37 fetching corpus: 38400, signal 370488/444207 (executing program) 2022/11/17 12:47:37 fetching corpus: 38450, signal 370639/444207 (executing program) 2022/11/17 12:47:37 fetching corpus: 38500, signal 370843/444207 (executing program) 2022/11/17 12:47:37 fetching corpus: 38550, signal 371023/444207 (executing program) 2022/11/17 12:47:37 fetching corpus: 38600, signal 371162/444207 (executing program) 2022/11/17 12:47:37 fetching corpus: 38650, signal 371295/444207 (executing program) 2022/11/17 12:47:37 fetching corpus: 38700, signal 371446/444207 (executing program) 2022/11/17 12:47:37 fetching corpus: 38750, signal 371626/444207 (executing program) 2022/11/17 12:47:37 fetching corpus: 38800, signal 371852/444207 (executing program) 2022/11/17 12:47:37 fetching corpus: 38850, signal 372089/444207 (executing program) 2022/11/17 12:47:37 fetching corpus: 38900, signal 372221/444207 (executing program) 2022/11/17 12:47:38 fetching corpus: 38950, signal 372401/444207 (executing program) 2022/11/17 12:47:38 fetching corpus: 39000, signal 372537/444207 (executing program) 2022/11/17 12:47:38 fetching corpus: 39050, signal 372712/444207 (executing program) 2022/11/17 12:47:38 fetching corpus: 39100, signal 372876/444207 (executing program) 2022/11/17 12:47:38 fetching corpus: 39150, signal 373090/444207 (executing program) 2022/11/17 12:47:38 fetching corpus: 39200, signal 373372/444207 (executing program) 2022/11/17 12:47:38 fetching corpus: 39250, signal 373529/444207 (executing program) 2022/11/17 12:47:38 fetching corpus: 39300, signal 373679/444207 (executing program) 2022/11/17 12:47:38 fetching corpus: 39350, signal 373838/444207 (executing program) 2022/11/17 12:47:38 fetching corpus: 39400, signal 373992/444207 (executing program) 2022/11/17 12:47:38 fetching corpus: 39450, signal 374166/444207 (executing program) 2022/11/17 12:47:38 fetching corpus: 39500, signal 374363/444207 (executing program) 2022/11/17 12:47:38 fetching corpus: 39550, signal 374566/444207 (executing program) 2022/11/17 12:47:39 fetching corpus: 39600, signal 374706/444207 (executing program) 2022/11/17 12:47:39 fetching corpus: 39650, signal 374951/444207 (executing program) 2022/11/17 12:47:39 fetching corpus: 39700, signal 375170/444207 (executing program) 2022/11/17 12:47:39 fetching corpus: 39750, signal 375384/444207 (executing program) 2022/11/17 12:47:39 fetching corpus: 39800, signal 375533/444207 (executing program) 2022/11/17 12:47:39 fetching corpus: 39850, signal 375704/444207 (executing program) 2022/11/17 12:47:39 fetching corpus: 39900, signal 375920/444207 (executing program) 2022/11/17 12:47:39 fetching corpus: 39950, signal 376054/444207 (executing program) 2022/11/17 12:47:39 fetching corpus: 40000, signal 376230/444207 (executing program) 2022/11/17 12:47:39 fetching corpus: 40050, signal 376466/444207 (executing program) 2022/11/17 12:47:39 fetching corpus: 40100, signal 376672/444207 (executing program) 2022/11/17 12:47:39 fetching corpus: 40150, signal 376809/444207 (executing program) 2022/11/17 12:47:39 fetching corpus: 40200, signal 376969/444207 (executing program) 2022/11/17 12:47:39 fetching corpus: 40250, signal 377195/444207 (executing program) 2022/11/17 12:47:39 fetching corpus: 40300, signal 377364/444207 (executing program) 2022/11/17 12:47:40 fetching corpus: 40350, signal 377511/444207 (executing program) 2022/11/17 12:47:40 fetching corpus: 40400, signal 377662/444207 (executing program) 2022/11/17 12:47:40 fetching corpus: 40450, signal 377797/444207 (executing program) 2022/11/17 12:47:40 fetching corpus: 40500, signal 377967/444207 (executing program) 2022/11/17 12:47:40 fetching corpus: 40550, signal 378174/444207 (executing program) 2022/11/17 12:47:40 fetching corpus: 40600, signal 378350/444207 (executing program) 2022/11/17 12:47:40 fetching corpus: 40650, signal 378482/444207 (executing program) 2022/11/17 12:47:40 fetching corpus: 40700, signal 378623/444207 (executing program) 2022/11/17 12:47:40 fetching corpus: 40750, signal 378743/444207 (executing program) 2022/11/17 12:47:40 fetching corpus: 40800, signal 378984/444207 (executing program) 2022/11/17 12:47:40 fetching corpus: 40850, signal 379143/444207 (executing program) 2022/11/17 12:47:40 fetching corpus: 40900, signal 379273/444207 (executing program) 2022/11/17 12:47:40 fetching corpus: 40950, signal 379430/444207 (executing program) 2022/11/17 12:47:40 fetching corpus: 41000, signal 379596/444207 (executing program) 2022/11/17 12:47:40 fetching corpus: 41050, signal 379736/444207 (executing program) 2022/11/17 12:47:41 fetching corpus: 41100, signal 379883/444207 (executing program) 2022/11/17 12:47:41 fetching corpus: 41150, signal 380069/444207 (executing program) 2022/11/17 12:47:41 fetching corpus: 41200, signal 380190/444207 (executing program) 2022/11/17 12:47:41 fetching corpus: 41250, signal 380344/444207 (executing program) 2022/11/17 12:47:41 fetching corpus: 41300, signal 380535/444207 (executing program) 2022/11/17 12:47:41 fetching corpus: 41350, signal 381661/444207 (executing program) 2022/11/17 12:47:41 fetching corpus: 41400, signal 381802/444207 (executing program) 2022/11/17 12:47:41 fetching corpus: 41450, signal 381972/444207 (executing program) 2022/11/17 12:47:41 fetching corpus: 41500, signal 382099/444207 (executing program) 2022/11/17 12:47:41 fetching corpus: 41550, signal 382258/444207 (executing program) 2022/11/17 12:47:41 fetching corpus: 41600, signal 382411/444207 (executing program) 2022/11/17 12:47:41 fetching corpus: 41650, signal 382534/444207 (executing program) 2022/11/17 12:47:41 fetching corpus: 41700, signal 382734/444207 (executing program) 2022/11/17 12:47:41 fetching corpus: 41750, signal 382864/444207 (executing program) 2022/11/17 12:47:42 fetching corpus: 41800, signal 382999/444207 (executing program) 2022/11/17 12:47:42 fetching corpus: 41850, signal 383117/444207 (executing program) 2022/11/17 12:47:42 fetching corpus: 41900, signal 383256/444207 (executing program) 2022/11/17 12:47:42 fetching corpus: 41950, signal 383366/444207 (executing program) 2022/11/17 12:47:42 fetching corpus: 42000, signal 383464/444207 (executing program) 2022/11/17 12:47:42 fetching corpus: 42050, signal 383807/444207 (executing program) 2022/11/17 12:47:43 fetching corpus: 42100, signal 383916/444207 (executing program) 2022/11/17 12:47:43 fetching corpus: 42150, signal 384063/444207 (executing program) 2022/11/17 12:47:43 fetching corpus: 42200, signal 384168/444207 (executing program) 2022/11/17 12:47:43 fetching corpus: 42250, signal 384276/444207 (executing program) 2022/11/17 12:47:43 fetching corpus: 42300, signal 384419/444207 (executing program) 2022/11/17 12:47:43 fetching corpus: 42350, signal 384557/444207 (executing program) 2022/11/17 12:47:43 fetching corpus: 42400, signal 384737/444207 (executing program) 2022/11/17 12:47:43 fetching corpus: 42450, signal 384924/444207 (executing program) 2022/11/17 12:47:43 fetching corpus: 42500, signal 385100/444207 (executing program) 2022/11/17 12:47:43 fetching corpus: 42550, signal 385203/444207 (executing program) 2022/11/17 12:47:43 fetching corpus: 42600, signal 385336/444207 (executing program) 2022/11/17 12:47:43 fetching corpus: 42650, signal 385477/444207 (executing program) 2022/11/17 12:47:43 fetching corpus: 42700, signal 385582/444207 (executing program) 2022/11/17 12:47:43 fetching corpus: 42750, signal 385727/444207 (executing program) 2022/11/17 12:47:43 fetching corpus: 42800, signal 385861/444207 (executing program) 2022/11/17 12:47:43 fetching corpus: 42850, signal 386232/444207 (executing program) 2022/11/17 12:47:43 fetching corpus: 42900, signal 386378/444207 (executing program) 2022/11/17 12:47:44 fetching corpus: 42950, signal 386516/444207 (executing program) 2022/11/17 12:47:44 fetching corpus: 43000, signal 386671/444207 (executing program) 2022/11/17 12:47:44 fetching corpus: 43050, signal 386814/444207 (executing program) 2022/11/17 12:47:44 fetching corpus: 43100, signal 386939/444207 (executing program) 2022/11/17 12:47:44 fetching corpus: 43150, signal 387088/444207 (executing program) 2022/11/17 12:47:44 fetching corpus: 43200, signal 387238/444207 (executing program) 2022/11/17 12:47:44 fetching corpus: 43250, signal 387378/444207 (executing program) 2022/11/17 12:47:44 fetching corpus: 43300, signal 387548/444207 (executing program) 2022/11/17 12:47:44 fetching corpus: 43350, signal 387666/444207 (executing program) 2022/11/17 12:47:44 fetching corpus: 43400, signal 387831/444207 (executing program) 2022/11/17 12:47:44 fetching corpus: 43450, signal 387983/444207 (executing program) 2022/11/17 12:47:44 fetching corpus: 43500, signal 388146/444207 (executing program) 2022/11/17 12:47:44 fetching corpus: 43550, signal 388339/444207 (executing program) 2022/11/17 12:47:44 fetching corpus: 43600, signal 388495/444207 (executing program) 2022/11/17 12:47:44 fetching corpus: 43650, signal 388620/444207 (executing program) 2022/11/17 12:47:44 fetching corpus: 43700, signal 388718/444207 (executing program) 2022/11/17 12:47:44 fetching corpus: 43750, signal 388845/444207 (executing program) 2022/11/17 12:47:44 fetching corpus: 43800, signal 388982/444207 (executing program) 2022/11/17 12:47:45 fetching corpus: 43850, signal 389104/444207 (executing program) 2022/11/17 12:47:45 fetching corpus: 43900, signal 389332/444207 (executing program) 2022/11/17 12:47:45 fetching corpus: 43950, signal 389531/444207 (executing program) 2022/11/17 12:47:45 fetching corpus: 44000, signal 389650/444207 (executing program) 2022/11/17 12:47:45 fetching corpus: 44050, signal 389796/444207 (executing program) 2022/11/17 12:47:45 fetching corpus: 44100, signal 389980/444207 (executing program) 2022/11/17 12:47:45 fetching corpus: 44150, signal 390088/444207 (executing program) 2022/11/17 12:47:45 fetching corpus: 44200, signal 390232/444207 (executing program) 2022/11/17 12:47:45 fetching corpus: 44250, signal 390415/444207 (executing program) 2022/11/17 12:47:45 fetching corpus: 44300, signal 390534/444207 (executing program) 2022/11/17 12:47:45 fetching corpus: 44350, signal 390636/444207 (executing program) 2022/11/17 12:47:45 fetching corpus: 44400, signal 390770/444207 (executing program) 2022/11/17 12:47:45 fetching corpus: 44450, signal 390886/444207 (executing program) 2022/11/17 12:47:45 fetching corpus: 44500, signal 391083/444207 (executing program) 2022/11/17 12:47:45 fetching corpus: 44550, signal 391285/444207 (executing program) 2022/11/17 12:47:45 fetching corpus: 44600, signal 391426/444207 (executing program) 2022/11/17 12:47:46 fetching corpus: 44650, signal 391602/444207 (executing program) 2022/11/17 12:47:46 fetching corpus: 44700, signal 391746/444207 (executing program) 2022/11/17 12:47:46 fetching corpus: 44750, signal 391864/444207 (executing program) 2022/11/17 12:47:46 fetching corpus: 44800, signal 391984/444207 (executing program) 2022/11/17 12:47:46 fetching corpus: 44850, signal 392124/444207 (executing program) 2022/11/17 12:47:46 fetching corpus: 44900, signal 392217/444207 (executing program) 2022/11/17 12:47:46 fetching corpus: 44950, signal 392353/444207 (executing program) 2022/11/17 12:47:46 fetching corpus: 45000, signal 392496/444207 (executing program) 2022/11/17 12:47:46 fetching corpus: 45050, signal 392629/444207 (executing program) 2022/11/17 12:47:46 fetching corpus: 45100, signal 392810/444207 (executing program) 2022/11/17 12:47:46 fetching corpus: 45150, signal 392931/444207 (executing program) 2022/11/17 12:47:46 fetching corpus: 45200, signal 393161/444207 (executing program) 2022/11/17 12:47:46 fetching corpus: 45250, signal 393315/444207 (executing program) 2022/11/17 12:47:47 fetching corpus: 45300, signal 393469/444207 (executing program) 2022/11/17 12:47:47 fetching corpus: 45350, signal 393611/444207 (executing program) 2022/11/17 12:47:47 fetching corpus: 45400, signal 393720/444207 (executing program) 2022/11/17 12:47:47 fetching corpus: 45450, signal 393876/444207 (executing program) 2022/11/17 12:47:47 fetching corpus: 45500, signal 393973/444207 (executing program) 2022/11/17 12:47:47 fetching corpus: 45550, signal 394164/444207 (executing program) 2022/11/17 12:47:47 fetching corpus: 45600, signal 394304/444207 (executing program) 2022/11/17 12:47:47 fetching corpus: 45650, signal 394825/444207 (executing program) 2022/11/17 12:47:47 fetching corpus: 45700, signal 394940/444207 (executing program) 2022/11/17 12:47:47 fetching corpus: 45750, signal 395062/444207 (executing program) 2022/11/17 12:47:47 fetching corpus: 45800, signal 395187/444207 (executing program) 2022/11/17 12:47:48 fetching corpus: 45850, signal 395335/444207 (executing program) 2022/11/17 12:47:48 fetching corpus: 45900, signal 395506/444207 (executing program) 2022/11/17 12:47:48 fetching corpus: 45950, signal 395651/444207 (executing program) 2022/11/17 12:47:48 fetching corpus: 46000, signal 395852/444207 (executing program) 2022/11/17 12:47:48 fetching corpus: 46050, signal 396095/444207 (executing program) 2022/11/17 12:47:48 fetching corpus: 46100, signal 397338/444207 (executing program) 2022/11/17 12:47:48 fetching corpus: 46150, signal 397472/444207 (executing program) 2022/11/17 12:47:48 fetching corpus: 46200, signal 397605/444207 (executing program) 2022/11/17 12:47:48 fetching corpus: 46250, signal 397762/444207 (executing program) 2022/11/17 12:47:48 fetching corpus: 46300, signal 397895/444207 (executing program) 2022/11/17 12:47:48 fetching corpus: 46350, signal 398049/444207 (executing program) 2022/11/17 12:47:48 fetching corpus: 46400, signal 398188/444207 (executing program) 2022/11/17 12:47:48 fetching corpus: 46450, signal 398337/444207 (executing program) 2022/11/17 12:47:48 fetching corpus: 46500, signal 398462/444207 (executing program) 2022/11/17 12:47:48 fetching corpus: 46550, signal 398593/444207 (executing program) 2022/11/17 12:47:48 fetching corpus: 46600, signal 398726/444207 (executing program) 2022/11/17 12:47:48 fetching corpus: 46650, signal 398840/444207 (executing program) 2022/11/17 12:47:48 fetching corpus: 46700, signal 398991/444207 (executing program) 2022/11/17 12:47:48 fetching corpus: 46750, signal 399177/444207 (executing program) 2022/11/17 12:47:49 fetching corpus: 46800, signal 400252/444207 (executing program) 2022/11/17 12:47:49 fetching corpus: 46850, signal 400386/444207 (executing program) 2022/11/17 12:47:49 fetching corpus: 46900, signal 400524/444207 (executing program) 2022/11/17 12:47:49 fetching corpus: 46950, signal 400679/444207 (executing program) 2022/11/17 12:47:49 fetching corpus: 47000, signal 400800/444207 (executing program) 2022/11/17 12:47:49 fetching corpus: 47050, signal 401091/444207 (executing program) 2022/11/17 12:47:49 fetching corpus: 47100, signal 401239/444207 (executing program) 2022/11/17 12:47:49 fetching corpus: 47150, signal 401423/444207 (executing program) 2022/11/17 12:47:49 fetching corpus: 47200, signal 401571/444207 (executing program) 2022/11/17 12:47:49 fetching corpus: 47250, signal 401774/444207 (executing program) 2022/11/17 12:47:49 fetching corpus: 47300, signal 401915/444207 (executing program) 2022/11/17 12:47:49 fetching corpus: 47350, signal 402062/444207 (executing program) 2022/11/17 12:47:49 fetching corpus: 47400, signal 402175/444207 (executing program) 2022/11/17 12:47:49 fetching corpus: 47450, signal 402279/444207 (executing program) 2022/11/17 12:47:49 fetching corpus: 47500, signal 402417/444207 (executing program) 2022/11/17 12:47:49 fetching corpus: 47550, signal 402576/444207 (executing program) 2022/11/17 12:47:49 fetching corpus: 47600, signal 402703/444207 (executing program) 2022/11/17 12:47:50 fetching corpus: 47650, signal 402803/444207 (executing program) 2022/11/17 12:47:50 fetching corpus: 47700, signal 402961/444207 (executing program) 2022/11/17 12:47:50 fetching corpus: 47750, signal 403098/444207 (executing program) 2022/11/17 12:47:50 fetching corpus: 47800, signal 403235/444207 (executing program) 2022/11/17 12:47:50 fetching corpus: 47850, signal 403361/444207 (executing program) 2022/11/17 12:47:50 fetching corpus: 47900, signal 403509/444207 (executing program) 2022/11/17 12:47:50 fetching corpus: 47950, signal 403637/444207 (executing program) 2022/11/17 12:47:50 fetching corpus: 48000, signal 403740/444207 (executing program) 2022/11/17 12:47:50 fetching corpus: 48050, signal 403877/444207 (executing program) 2022/11/17 12:47:50 fetching corpus: 48100, signal 404010/444207 (executing program) 2022/11/17 12:47:50 fetching corpus: 48150, signal 404157/444207 (executing program) 2022/11/17 12:47:50 fetching corpus: 48200, signal 404343/444207 (executing program) 2022/11/17 12:47:50 fetching corpus: 48250, signal 404508/444207 (executing program) 2022/11/17 12:47:50 fetching corpus: 48300, signal 404628/444207 (executing program) 2022/11/17 12:47:50 fetching corpus: 48350, signal 404769/444207 (executing program) 2022/11/17 12:47:50 fetching corpus: 48400, signal 404879/444207 (executing program) 2022/11/17 12:47:50 fetching corpus: 48450, signal 405052/444207 (executing program) 2022/11/17 12:47:50 fetching corpus: 48500, signal 405152/444207 (executing program) 2022/11/17 12:47:51 fetching corpus: 48550, signal 405306/444207 (executing program) 2022/11/17 12:47:51 fetching corpus: 48600, signal 405434/444207 (executing program) 2022/11/17 12:47:51 fetching corpus: 48650, signal 405536/444207 (executing program) 2022/11/17 12:47:51 fetching corpus: 48700, signal 405689/444207 (executing program) 2022/11/17 12:47:51 fetching corpus: 48750, signal 405807/444207 (executing program) 2022/11/17 12:47:51 fetching corpus: 48800, signal 405939/444207 (executing program) 2022/11/17 12:47:51 fetching corpus: 48850, signal 406063/444207 (executing program) 2022/11/17 12:47:51 fetching corpus: 48900, signal 406215/444207 (executing program) 2022/11/17 12:47:51 fetching corpus: 48950, signal 406351/444207 (executing program) 2022/11/17 12:47:51 fetching corpus: 49000, signal 406489/444207 (executing program) 2022/11/17 12:47:51 fetching corpus: 49050, signal 406579/444207 (executing program) 2022/11/17 12:47:51 fetching corpus: 49100, signal 406709/444207 (executing program) 2022/11/17 12:47:51 fetching corpus: 49150, signal 406809/444207 (executing program) 2022/11/17 12:47:52 fetching corpus: 49200, signal 406928/444207 (executing program) 2022/11/17 12:47:52 fetching corpus: 49250, signal 407066/444207 (executing program) 2022/11/17 12:47:52 fetching corpus: 49300, signal 407201/444207 (executing program) 2022/11/17 12:47:52 fetching corpus: 49350, signal 407373/444207 (executing program) 2022/11/17 12:47:52 fetching corpus: 49400, signal 407473/444207 (executing program) 2022/11/17 12:47:52 fetching corpus: 49450, signal 407643/444207 (executing program) 2022/11/17 12:47:52 fetching corpus: 49500, signal 407876/444207 (executing program) 2022/11/17 12:47:52 fetching corpus: 49550, signal 407997/444207 (executing program) 2022/11/17 12:47:52 fetching corpus: 49600, signal 408141/444207 (executing program) 2022/11/17 12:47:52 fetching corpus: 49650, signal 408263/444207 (executing program) 2022/11/17 12:47:52 fetching corpus: 49700, signal 408399/444207 (executing program) 2022/11/17 12:47:52 fetching corpus: 49750, signal 408517/444207 (executing program) 2022/11/17 12:47:52 fetching corpus: 49800, signal 408652/444207 (executing program) 2022/11/17 12:47:52 fetching corpus: 49850, signal 408777/444207 (executing program) 2022/11/17 12:47:52 fetching corpus: 49900, signal 408917/444207 (executing program) 2022/11/17 12:47:52 fetching corpus: 49950, signal 409032/444207 (executing program) 2022/11/17 12:47:53 fetching corpus: 50000, signal 409147/444207 (executing program) 2022/11/17 12:47:53 fetching corpus: 50050, signal 409264/444207 (executing program) 2022/11/17 12:47:53 fetching corpus: 50100, signal 409383/444207 (executing program) 2022/11/17 12:47:53 fetching corpus: 50150, signal 409520/444207 (executing program) 2022/11/17 12:47:53 fetching corpus: 50200, signal 409628/444207 (executing program) 2022/11/17 12:47:53 fetching corpus: 50250, signal 409881/444207 (executing program) 2022/11/17 12:47:53 fetching corpus: 50300, signal 409981/444207 (executing program) 2022/11/17 12:47:53 fetching corpus: 50350, signal 410100/444207 (executing program) 2022/11/17 12:47:53 fetching corpus: 50400, signal 410206/444207 (executing program) 2022/11/17 12:47:53 fetching corpus: 50450, signal 410362/444207 (executing program) 2022/11/17 12:47:53 fetching corpus: 50500, signal 410493/444207 (executing program) 2022/11/17 12:47:53 fetching corpus: 50550, signal 410628/444207 (executing program) 2022/11/17 12:47:53 fetching corpus: 50600, signal 410781/444207 (executing program) 2022/11/17 12:47:53 fetching corpus: 50650, signal 410888/444207 (executing program) 2022/11/17 12:47:53 fetching corpus: 50700, signal 410978/444207 (executing program) 2022/11/17 12:47:53 fetching corpus: 50750, signal 411132/444207 (executing program) 2022/11/17 12:47:53 fetching corpus: 50800, signal 411313/444207 (executing program) 2022/11/17 12:47:53 fetching corpus: 50850, signal 411448/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 50900, signal 411565/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 50950, signal 411691/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 51000, signal 411819/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 51050, signal 411959/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 51100, signal 412079/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 51150, signal 412209/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 51200, signal 412389/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 51250, signal 412517/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 51300, signal 412666/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 51350, signal 412800/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 51400, signal 412923/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 51450, signal 413055/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 51500, signal 413206/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 51550, signal 413351/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 51600, signal 413510/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 51650, signal 413648/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 51700, signal 413749/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 51750, signal 413901/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 51800, signal 414017/444207 (executing program) 2022/11/17 12:47:54 fetching corpus: 51850, signal 414159/444207 (executing program) 2022/11/17 12:47:55 fetching corpus: 51900, signal 414457/444207 (executing program) 2022/11/17 12:47:55 fetching corpus: 51950, signal 414639/444207 (executing program) 2022/11/17 12:47:55 fetching corpus: 52000, signal 414788/444207 (executing program) 2022/11/17 12:47:55 fetching corpus: 52050, signal 414886/444207 (executing program) 2022/11/17 12:47:55 fetching corpus: 52100, signal 414989/444207 (executing program) 2022/11/17 12:47:55 fetching corpus: 52150, signal 415125/444207 (executing program) 2022/11/17 12:47:55 fetching corpus: 52200, signal 415639/444207 (executing program) 2022/11/17 12:47:55 fetching corpus: 52250, signal 415759/444207 (executing program) 2022/11/17 12:47:56 fetching corpus: 52300, signal 416145/444207 (executing program) 2022/11/17 12:47:56 fetching corpus: 52350, signal 416284/444207 (executing program) 2022/11/17 12:47:56 fetching corpus: 52400, signal 416381/444207 (executing program) 2022/11/17 12:47:56 fetching corpus: 52450, signal 416506/444207 (executing program) 2022/11/17 12:47:56 fetching corpus: 52500, signal 416614/444207 (executing program) 2022/11/17 12:47:56 fetching corpus: 52550, signal 416732/444207 (executing program) 2022/11/17 12:47:56 fetching corpus: 52600, signal 416840/444207 (executing program) 2022/11/17 12:47:56 fetching corpus: 52650, signal 416944/444207 (executing program) 2022/11/17 12:47:56 fetching corpus: 52700, signal 417074/444207 (executing program) 2022/11/17 12:47:56 fetching corpus: 52750, signal 417165/444207 (executing program) 2022/11/17 12:47:56 fetching corpus: 52800, signal 417292/444207 (executing program) 2022/11/17 12:47:56 fetching corpus: 52850, signal 417397/444207 (executing program) 2022/11/17 12:47:56 fetching corpus: 52900, signal 417525/444207 (executing program) 2022/11/17 12:47:57 fetching corpus: 52950, signal 417637/444207 (executing program) 2022/11/17 12:47:57 fetching corpus: 53000, signal 417763/444207 (executing program) 2022/11/17 12:47:57 fetching corpus: 53050, signal 417933/444207 (executing program) 2022/11/17 12:47:57 fetching corpus: 53100, signal 418054/444207 (executing program) 2022/11/17 12:47:57 fetching corpus: 53150, signal 418189/444207 (executing program) 2022/11/17 12:47:57 fetching corpus: 53200, signal 418334/444207 (executing program) 2022/11/17 12:47:57 fetching corpus: 53250, signal 418458/444207 (executing program) 2022/11/17 12:47:57 fetching corpus: 53300, signal 418567/444207 (executing program) 2022/11/17 12:47:57 fetching corpus: 53305, signal 418592/444207 (executing program) 2022/11/17 12:47:57 fetching corpus: 53305, signal 418592/444207 (executing program) [ 218.013944][ T1205] ieee802154 phy0 wpan0: encryption failed: -22 [ 218.020558][ T1205] ieee802154 phy1 wpan1: encryption failed: -22 2022/11/17 12:48:01 starting 6 fuzzer processes 12:48:01 executing program 0: r0 = socket$inet_udp(0x2, 0x2, 0x0) sendmmsg$inet(r0, &(0x7f00000015c0)=[{{&(0x7f00000005c0)={0x2, 0x4e20, @empty}, 0x10, 0x0, 0x0, &(0x7f0000000740)=[@ip_retopts={{0x10}}], 0x10}}], 0x1, 0x0) 12:48:01 executing program 1: openat$vfio(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) 12:48:01 executing program 4: r0 = socket$inet_udp(0x2, 0x2, 0x0) getsockopt$IP_VS_SO_GET_INFO(r0, 0x0, 0x12, 0x0, &(0x7f0000000040)) 12:48:01 executing program 2: pipe2$9p(&(0x7f0000000080)={0xffffffffffffffff, 0xffffffffffffffff}, 0x4000) write$P9_RSTATFS(r0, &(0x7f00000000c0)={0x43}, 0x43) write$P9_RFLUSH(r0, &(0x7f0000000000)={0x7}, 0x7) 12:48:01 executing program 3: r0 = gettid() migrate_pages(r0, 0x1ff, 0x0, &(0x7f0000000040)=0x40) 12:48:01 executing program 5: r0 = openat$ptmx(0xffffffffffffff9c, &(0x7f00000001c0), 0x0, 0x0) ioctl$TIOCSETD(r0, 0x5423, &(0x7f0000000040)=0x18) [ 222.601100][ T3498] Bluetooth: hci0: unexpected cc 0x0c03 length: 249 > 1 [ 222.609880][ T3498] Bluetooth: hci1: unexpected cc 0x0c03 length: 249 > 1 [ 222.619575][ T3499] Bluetooth: hci0: unexpected cc 0x1003 length: 249 > 9 [ 222.628266][ T3499] Bluetooth: hci1: unexpected cc 0x1003 length: 249 > 9 [ 222.633214][ T3498] Bluetooth: hci0: unexpected cc 0x1001 length: 249 > 9 [ 222.636881][ T3499] Bluetooth: hci1: unexpected cc 0x1001 length: 249 > 9 [ 222.648103][ T3498] Bluetooth: hci0: unexpected cc 0x0c23 length: 249 > 4 [ 222.653475][ T3499] Bluetooth: hci1: unexpected cc 0x0c23 length: 249 > 4 [ 222.660218][ T3498] Bluetooth: hci0: unexpected cc 0x0c25 length: 249 > 3 [ 222.673401][ T3500] Bluetooth: hci0: unexpected cc 0x0c38 length: 249 > 2 [ 222.682099][ T3500] Bluetooth: hci1: unexpected cc 0x0c25 length: 249 > 3 [ 222.691053][ T3498] Bluetooth: hci1: unexpected cc 0x0c38 length: 249 > 2 [ 222.704154][ T3491] Bluetooth: hci0: HCI_REQ-0x0c1a [ 222.711142][ T3492] Bluetooth: hci1: HCI_REQ-0x0c1a [ 222.860786][ T3500] Bluetooth: hci2: unexpected cc 0x0c03 length: 249 > 1 [ 222.870272][ T3500] Bluetooth: hci2: unexpected cc 0x1003 length: 249 > 9 [ 222.881216][ T3500] Bluetooth: hci2: unexpected cc 0x1001 length: 249 > 9 [ 222.894294][ T45] Bluetooth: hci2: unexpected cc 0x0c23 length: 249 > 4 [ 222.905063][ T45] Bluetooth: hci2: unexpected cc 0x0c25 length: 249 > 3 [ 222.914430][ T45] Bluetooth: hci2: unexpected cc 0x0c38 length: 249 > 2 [ 222.932600][ T3501] Bluetooth: hci2: HCI_REQ-0x0c1a [ 223.258468][ T3498] Bluetooth: hci4: unexpected cc 0x0c03 length: 249 > 1 [ 223.261418][ T3499] Bluetooth: hci5: unexpected cc 0x0c03 length: 249 > 1 [ 223.276015][ T3499] Bluetooth: hci5: unexpected cc 0x1003 length: 249 > 9 [ 223.284607][ T3499] Bluetooth: hci5: unexpected cc 0x1001 length: 249 > 9 [ 223.295895][ T3508] Bluetooth: hci3: unexpected cc 0x0c03 length: 249 > 1 [ 223.304182][ T3508] Bluetooth: hci4: unexpected cc 0x1003 length: 249 > 9 [ 223.357000][ T3499] Bluetooth: hci4: unexpected cc 0x1001 length: 249 > 9 [ 223.366025][ T3498] Bluetooth: hci3: unexpected cc 0x1003 length: 249 > 9 [ 223.379865][ T3498] Bluetooth: hci5: unexpected cc 0x0c23 length: 249 > 4 [ 223.390342][ T3498] Bluetooth: hci3: unexpected cc 0x1001 length: 249 > 9 [ 223.401117][ T3498] Bluetooth: hci4: unexpected cc 0x0c23 length: 249 > 4 [ 223.417686][ T3498] Bluetooth: hci5: unexpected cc 0x0c25 length: 249 > 3 [ 223.429645][ T3498] Bluetooth: hci4: unexpected cc 0x0c25 length: 249 > 3 [ 223.437524][ T3508] Bluetooth: hci5: unexpected cc 0x0c38 length: 249 > 2 [ 223.452595][ T3498] Bluetooth: hci4: unexpected cc 0x0c38 length: 249 > 2 [ 223.469027][ T3508] Bluetooth: hci3: unexpected cc 0x0c23 length: 249 > 4 [ 223.482718][ T3505] Bluetooth: hci5: HCI_REQ-0x0c1a [ 223.491064][ T3508] Bluetooth: hci3: unexpected cc 0x0c25 length: 249 > 3 [ 223.497491][ T3503] Bluetooth: hci4: HCI_REQ-0x0c1a [ 223.517197][ T3498] Bluetooth: hci3: unexpected cc 0x0c38 length: 249 > 2 [ 223.549523][ T3504] Bluetooth: hci3: HCI_REQ-0x0c1a [ 224.306998][ T3501] chnl_net:caif_netlink_parms(): no params data found [ 224.424861][ T3491] chnl_net:caif_netlink_parms(): no params data found [ 224.703330][ T3492] chnl_net:caif_netlink_parms(): no params data found [ 224.809390][ T3498] Bluetooth: hci1: command 0x0409 tx timeout [ 224.809453][ T3500] Bluetooth: hci0: command 0x0409 tx timeout [ 224.963061][ T3498] Bluetooth: hci2: command 0x0409 tx timeout [ 225.187152][ T3505] chnl_net:caif_netlink_parms(): no params data found [ 225.528896][ T3500] Bluetooth: hci4: command 0x0409 tx timeout [ 225.529364][ T3498] Bluetooth: hci5: command 0x0409 tx timeout [ 225.682062][ T3500] Bluetooth: hci3: command 0x0409 tx timeout [ 225.764591][ T3501] bridge0: port 1(bridge_slave_0) entered blocking state [ 225.772475][ T3501] bridge0: port 1(bridge_slave_0) entered disabled state [ 225.783833][ T3501] device bridge_slave_0 entered promiscuous mode [ 225.798045][ T3503] chnl_net:caif_netlink_parms(): no params data found [ 225.847165][ T3491] bridge0: port 1(bridge_slave_0) entered blocking state [ 225.855020][ T3491] bridge0: port 1(bridge_slave_0) entered disabled state [ 225.865224][ T3491] device bridge_slave_0 entered promiscuous mode [ 225.901398][ T3501] bridge0: port 2(bridge_slave_1) entered blocking state [ 225.909415][ T3501] bridge0: port 2(bridge_slave_1) entered disabled state [ 225.919749][ T3501] device bridge_slave_1 entered promiscuous mode [ 225.929030][ T3491] bridge0: port 2(bridge_slave_1) entered blocking state [ 225.936818][ T3491] bridge0: port 2(bridge_slave_1) entered disabled state [ 225.946999][ T3491] device bridge_slave_1 entered promiscuous mode [ 226.034452][ T3504] chnl_net:caif_netlink_parms(): no params data found [ 226.247929][ T3501] bond0: (slave bond_slave_0): Enslaving as an active interface with an up link [ 226.304063][ T3501] bond0: (slave bond_slave_1): Enslaving as an active interface with an up link [ 226.338944][ T3491] bond0: (slave bond_slave_0): Enslaving as an active interface with an up link [ 226.442603][ T3492] bridge0: port 1(bridge_slave_0) entered blocking state [ 226.450207][ T3492] bridge0: port 1(bridge_slave_0) entered disabled state [ 226.460795][ T3492] device bridge_slave_0 entered promiscuous mode [ 226.516668][ T3491] bond0: (slave bond_slave_1): Enslaving as an active interface with an up link [ 226.538176][ T3501] team0: Port device team_slave_0 added [ 226.620868][ T3492] bridge0: port 2(bridge_slave_1) entered blocking state [ 226.628672][ T3492] bridge0: port 2(bridge_slave_1) entered disabled state [ 226.639071][ T3492] device bridge_slave_1 entered promiscuous mode [ 226.671337][ T3501] team0: Port device team_slave_1 added [ 226.776678][ T3505] bridge0: port 1(bridge_slave_0) entered blocking state [ 226.784441][ T3505] bridge0: port 1(bridge_slave_0) entered disabled state [ 226.794833][ T3505] device bridge_slave_0 entered promiscuous mode [ 226.884689][ T3498] Bluetooth: hci1: command 0x041b tx timeout [ 226.884721][ T3500] Bluetooth: hci0: command 0x041b tx timeout [ 226.946967][ T3505] bridge0: port 2(bridge_slave_1) entered blocking state [ 226.954663][ T3505] bridge0: port 2(bridge_slave_1) entered disabled state [ 226.964876][ T3505] device bridge_slave_1 entered promiscuous mode [ 227.042854][ T3508] Bluetooth: hci2: command 0x041b tx timeout [ 227.069973][ T3492] bond0: (slave bond_slave_0): Enslaving as an active interface with an up link [ 227.085649][ T3491] team0: Port device team_slave_0 added [ 227.142065][ T3491] team0: Port device team_slave_1 added [ 227.150901][ T3501] batman_adv: batadv0: Adding interface: batadv_slave_0 [ 227.158065][ T3501] batman_adv: batadv0: The MTU of interface batadv_slave_0 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 227.185079][ T3501] batman_adv: batadv0: Not using interface batadv_slave_0 (retrying later): interface not active [ 227.205661][ T3492] bond0: (slave bond_slave_1): Enslaving as an active interface with an up link [ 227.216804][ T3501] batman_adv: batadv0: Adding interface: batadv_slave_1 [ 227.224055][ T3501] batman_adv: batadv0: The MTU of interface batadv_slave_1 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 227.250405][ T3501] batman_adv: batadv0: Not using interface batadv_slave_1 (retrying later): interface not active [ 227.464582][ T3505] bond0: (slave bond_slave_0): Enslaving as an active interface with an up link [ 227.474459][ T3503] bridge0: port 1(bridge_slave_0) entered blocking state [ 227.482135][ T3503] bridge0: port 1(bridge_slave_0) entered disabled state [ 227.492286][ T3503] device bridge_slave_0 entered promiscuous mode [ 227.602240][ T3498] Bluetooth: hci4: command 0x041b tx timeout [ 227.608454][ T3498] Bluetooth: hci5: command 0x041b tx timeout [ 227.640446][ T3505] bond0: (slave bond_slave_1): Enslaving as an active interface with an up link [ 227.680007][ T3503] bridge0: port 2(bridge_slave_1) entered blocking state [ 227.688162][ T3503] bridge0: port 2(bridge_slave_1) entered disabled state [ 227.697771][ T3503] device bridge_slave_1 entered promiscuous mode [ 227.708483][ T3491] batman_adv: batadv0: Adding interface: batadv_slave_0 [ 227.715773][ T3491] batman_adv: batadv0: The MTU of interface batadv_slave_0 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 227.741982][ T3491] batman_adv: batadv0: Not using interface batadv_slave_0 (retrying later): interface not active [ 227.759507][ T3492] team0: Port device team_slave_0 added [ 227.765334][ T3508] Bluetooth: hci3: command 0x041b tx timeout [ 227.776175][ T3504] bridge0: port 1(bridge_slave_0) entered blocking state [ 227.784303][ T3504] bridge0: port 1(bridge_slave_0) entered disabled state [ 227.794658][ T3504] device bridge_slave_0 entered promiscuous mode [ 227.863599][ T3491] batman_adv: batadv0: Adding interface: batadv_slave_1 [ 227.870730][ T3491] batman_adv: batadv0: The MTU of interface batadv_slave_1 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 227.897092][ T3491] batman_adv: batadv0: Not using interface batadv_slave_1 (retrying later): interface not active [ 227.914630][ T3492] team0: Port device team_slave_1 added [ 227.977011][ T3504] bridge0: port 2(bridge_slave_1) entered blocking state [ 227.984869][ T3504] bridge0: port 2(bridge_slave_1) entered disabled state [ 227.997084][ T3504] device bridge_slave_1 entered promiscuous mode [ 228.010831][ T3505] team0: Port device team_slave_0 added [ 228.064316][ T3503] bond0: (slave bond_slave_0): Enslaving as an active interface with an up link [ 228.122361][ T3501] device hsr_slave_0 entered promiscuous mode [ 228.131067][ T3501] device hsr_slave_1 entered promiscuous mode [ 228.168841][ T3505] team0: Port device team_slave_1 added [ 228.214482][ T3503] bond0: (slave bond_slave_1): Enslaving as an active interface with an up link [ 228.334894][ T3504] bond0: (slave bond_slave_0): Enslaving as an active interface with an up link [ 228.385751][ T3492] batman_adv: batadv0: Adding interface: batadv_slave_0 [ 228.393045][ T3492] batman_adv: batadv0: The MTU of interface batadv_slave_0 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 228.419645][ T3492] batman_adv: batadv0: Not using interface batadv_slave_0 (retrying later): interface not active [ 228.490676][ T3504] bond0: (slave bond_slave_1): Enslaving as an active interface with an up link [ 228.652686][ T3492] batman_adv: batadv0: Adding interface: batadv_slave_1 [ 228.659821][ T3492] batman_adv: batadv0: The MTU of interface batadv_slave_1 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 228.686109][ T3492] batman_adv: batadv0: Not using interface batadv_slave_1 (retrying later): interface not active [ 228.732742][ T3505] batman_adv: batadv0: Adding interface: batadv_slave_0 [ 228.739860][ T3505] batman_adv: batadv0: The MTU of interface batadv_slave_0 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 228.766195][ T3505] batman_adv: batadv0: Not using interface batadv_slave_0 (retrying later): interface not active [ 228.811163][ T3503] team0: Port device team_slave_0 added [ 228.835924][ T3503] team0: Port device team_slave_1 added [ 228.917559][ T3504] team0: Port device team_slave_0 added [ 228.957878][ T3505] batman_adv: batadv0: Adding interface: batadv_slave_1 [ 228.965303][ T3505] batman_adv: batadv0: The MTU of interface batadv_slave_1 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 228.982013][ T3498] Bluetooth: hci1: command 0x040f tx timeout [ 228.991473][ T3505] batman_adv: batadv0: Not using interface batadv_slave_1 (retrying later): interface not active [ 228.997988][ T3498] Bluetooth: hci0: command 0x040f tx timeout [ 229.024313][ T3491] device hsr_slave_0 entered promiscuous mode [ 229.034417][ T3491] device hsr_slave_1 entered promiscuous mode [ 229.043090][ T3491] debugfs: Directory 'hsr0' with parent 'hsr' already present! [ 229.050739][ T3491] Cannot create hsr debugfs directory [ 229.122004][ T3508] Bluetooth: hci2: command 0x040f tx timeout [ 229.145009][ T3504] team0: Port device team_slave_1 added [ 229.184069][ T3503] batman_adv: batadv0: Adding interface: batadv_slave_0 [ 229.191213][ T3503] batman_adv: batadv0: The MTU of interface batadv_slave_0 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 229.217699][ T3503] batman_adv: batadv0: Not using interface batadv_slave_0 (retrying later): interface not active [ 229.244691][ T3503] batman_adv: batadv0: Adding interface: batadv_slave_1 [ 229.252019][ T3503] batman_adv: batadv0: The MTU of interface batadv_slave_1 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 229.278363][ T3503] batman_adv: batadv0: Not using interface batadv_slave_1 (retrying later): interface not active [ 229.329163][ T3492] device hsr_slave_0 entered promiscuous mode [ 229.339139][ T3492] device hsr_slave_1 entered promiscuous mode [ 229.347624][ T3492] debugfs: Directory 'hsr0' with parent 'hsr' already present! [ 229.355366][ T3492] Cannot create hsr debugfs directory [ 229.485968][ T3504] batman_adv: batadv0: Adding interface: batadv_slave_0 [ 229.493263][ T3504] batman_adv: batadv0: The MTU of interface batadv_slave_0 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 229.519591][ T3504] batman_adv: batadv0: Not using interface batadv_slave_0 (retrying later): interface not active [ 229.654145][ T3504] batman_adv: batadv0: Adding interface: batadv_slave_1 [ 229.661279][ T3504] batman_adv: batadv0: The MTU of interface batadv_slave_1 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 229.687729][ T3504] batman_adv: batadv0: Not using interface batadv_slave_1 (retrying later): interface not active [ 229.696746][ T3498] Bluetooth: hci5: command 0x040f tx timeout [ 229.699997][ T3508] Bluetooth: hci4: command 0x040f tx timeout [ 229.841959][ T3498] Bluetooth: hci3: command 0x040f tx timeout [ 229.862015][ T3505] device hsr_slave_0 entered promiscuous mode [ 229.871473][ T3505] device hsr_slave_1 entered promiscuous mode [ 229.880032][ T3505] debugfs: Directory 'hsr0' with parent 'hsr' already present! [ 229.887802][ T3505] Cannot create hsr debugfs directory [ 229.906724][ T3503] device hsr_slave_0 entered promiscuous mode [ 229.917324][ T3503] device hsr_slave_1 entered promiscuous mode [ 229.926295][ T3503] debugfs: Directory 'hsr0' with parent 'hsr' already present! [ 229.934049][ T3503] Cannot create hsr debugfs directory [ 230.387266][ T3504] device hsr_slave_0 entered promiscuous mode [ 230.405916][ T3504] device hsr_slave_1 entered promiscuous mode [ 230.420307][ T3504] debugfs: Directory 'hsr0' with parent 'hsr' already present! [ 230.428249][ T3504] Cannot create hsr debugfs directory [ 231.042124][ T3508] Bluetooth: hci0: command 0x0419 tx timeout [ 231.048350][ T3508] Bluetooth: hci1: command 0x0419 tx timeout [ 231.193315][ T3501] netdevsim netdevsim5 netdevsim0: renamed from eth0 [ 231.202074][ T3498] Bluetooth: hci2: command 0x0419 tx timeout [ 231.283749][ T3501] netdevsim netdevsim5 netdevsim1: renamed from eth1 [ 231.302952][ T3501] netdevsim netdevsim5 netdevsim2: renamed from eth2 [ 231.365769][ T3491] netdevsim netdevsim1 netdevsim0: renamed from eth0 [ 231.397478][ T3491] netdevsim netdevsim1 netdevsim1: renamed from eth1 [ 231.438457][ T3501] netdevsim netdevsim5 netdevsim3: renamed from eth3 [ 231.475797][ T3491] netdevsim netdevsim1 netdevsim2: renamed from eth2 [ 231.611189][ T3491] netdevsim netdevsim1 netdevsim3: renamed from eth3 [ 231.650605][ T3492] netdevsim netdevsim4 netdevsim0: renamed from eth0 [ 231.699657][ T3492] netdevsim netdevsim4 netdevsim1: renamed from eth1 [ 231.782260][ T3498] Bluetooth: hci5: command 0x0419 tx timeout [ 231.788480][ T3498] Bluetooth: hci4: command 0x0419 tx timeout [ 231.829654][ T3492] netdevsim netdevsim4 netdevsim2: renamed from eth2 [ 231.908591][ T3492] netdevsim netdevsim4 netdevsim3: renamed from eth3 [ 231.928705][ T3508] Bluetooth: hci3: command 0x0419 tx timeout [ 231.942295][ T3505] netdevsim netdevsim3 netdevsim0: renamed from eth0 [ 232.047055][ T3505] netdevsim netdevsim3 netdevsim1: renamed from eth1 [ 232.148047][ T3505] netdevsim netdevsim3 netdevsim2: renamed from eth2 [ 232.193380][ T3503] netdevsim netdevsim0 netdevsim0: renamed from eth0 [ 232.243526][ T3505] netdevsim netdevsim3 netdevsim3: renamed from eth3 [ 232.273023][ T3503] netdevsim netdevsim0 netdevsim1: renamed from eth1 [ 232.330050][ T3503] netdevsim netdevsim0 netdevsim2: renamed from eth2 [ 232.407511][ T3503] netdevsim netdevsim0 netdevsim3: renamed from eth3 [ 232.626845][ T3504] netdevsim netdevsim2 netdevsim0: renamed from eth0 [ 232.713317][ T3504] netdevsim netdevsim2 netdevsim1: renamed from eth1 [ 232.824427][ T3504] netdevsim netdevsim2 netdevsim2: renamed from eth2 [ 232.918639][ T3504] netdevsim netdevsim2 netdevsim3: renamed from eth3 [ 233.096177][ T3501] 8021q: adding VLAN 0 to HW filter on device bond0 [ 233.306228][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): veth1: link becomes ready [ 233.316507][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): veth0: link becomes ready [ 233.391193][ T3501] 8021q: adding VLAN 0 to HW filter on device team0 [ 233.480282][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bridge: link becomes ready [ 233.491246][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_0: link becomes ready [ 233.501762][ T28] bridge0: port 1(bridge_slave_0) entered blocking state [ 233.509278][ T28] bridge0: port 1(bridge_slave_0) entered forwarding state [ 233.575819][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): bridge0: link becomes ready [ 233.586651][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bridge: link becomes ready [ 233.597379][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_1: link becomes ready [ 233.607514][ T28] bridge0: port 2(bridge_slave_1) entered blocking state [ 233.615133][ T28] bridge0: port 2(bridge_slave_1) entered forwarding state [ 233.711079][ T3491] 8021q: adding VLAN 0 to HW filter on device bond0 [ 233.766501][ T3492] 8021q: adding VLAN 0 to HW filter on device bond0 [ 233.776911][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bond: link becomes ready [ 233.789393][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bond: link becomes ready [ 233.801532][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_team: link becomes ready [ 233.813408][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_0: link becomes ready [ 233.835650][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): team0: link becomes ready [ 233.899252][ T3505] 8021q: adding VLAN 0 to HW filter on device bond0 [ 233.918057][ T3544] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_team: link becomes ready [ 233.932208][ T3544] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_1: link becomes ready [ 234.026608][ T3491] 8021q: adding VLAN 0 to HW filter on device team0 [ 234.049076][ T3549] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_hsr: link becomes ready [ 234.059627][ T3549] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_0: link becomes ready [ 234.070369][ T3549] IPv6: ADDRCONF(NETDEV_CHANGE): veth1: link becomes ready [ 234.080292][ T3549] IPv6: ADDRCONF(NETDEV_CHANGE): veth0: link becomes ready [ 234.151935][ T3492] 8021q: adding VLAN 0 to HW filter on device team0 [ 234.195205][ T3501] hsr0: Slave B (hsr_slave_1) is not up; please bring it up to get a fully working HSR network [ 234.209371][ T3501] IPv6: ADDRCONF(NETDEV_CHANGE): hsr0: link becomes ready [ 234.276836][ T3505] 8021q: adding VLAN 0 to HW filter on device team0 [ 234.306446][ T3503] 8021q: adding VLAN 0 to HW filter on device bond0 [ 234.337555][ T3549] IPv6: ADDRCONF(NETDEV_CHANGE): veth1: link becomes ready [ 234.348041][ T3549] IPv6: ADDRCONF(NETDEV_CHANGE): veth0: link becomes ready [ 234.357906][ T3549] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_hsr: link becomes ready [ 234.368598][ T3549] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_1: link becomes ready [ 234.379225][ T3549] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bridge: link becomes ready [ 234.390562][ T3549] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_0: link becomes ready [ 234.400726][ T3549] bridge0: port 1(bridge_slave_0) entered blocking state [ 234.408283][ T3549] bridge0: port 1(bridge_slave_0) entered forwarding state [ 234.417841][ T3549] IPv6: ADDRCONF(NETDEV_CHANGE): veth1: link becomes ready [ 234.427681][ T3549] IPv6: ADDRCONF(NETDEV_CHANGE): veth0: link becomes ready [ 234.437599][ T3549] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bridge: link becomes ready [ 234.448056][ T3549] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_1: link becomes ready [ 234.457952][ T3549] bridge0: port 2(bridge_slave_1) entered blocking state [ 234.465478][ T3549] bridge0: port 2(bridge_slave_1) entered forwarding state [ 234.474796][ T3549] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bridge: link becomes ready [ 234.485584][ T3549] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_0: link becomes ready [ 234.498236][ T3549] bridge0: port 1(bridge_slave_0) entered blocking state [ 234.505665][ T3549] bridge0: port 1(bridge_slave_0) entered forwarding state [ 234.515271][ T3549] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bond: link becomes ready [ 234.527397][ T3549] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bridge: link becomes ready [ 234.538131][ T3549] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_1: link becomes ready [ 234.548307][ T3549] bridge0: port 2(bridge_slave_1) entered blocking state [ 234.555878][ T3549] bridge0: port 2(bridge_slave_1) entered forwarding state [ 234.575960][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): bridge0: link becomes ready [ 234.585980][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): bridge0: link becomes ready [ 234.636226][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bridge: link becomes ready [ 234.647288][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_0: link becomes ready [ 234.657692][ T3554] bridge0: port 1(bridge_slave_0) entered blocking state [ 234.665298][ T3554] bridge0: port 1(bridge_slave_0) entered forwarding state [ 234.743587][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): bridge0: link becomes ready [ 234.754493][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bond: link becomes ready [ 234.767193][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bond: link becomes ready [ 234.779305][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): veth1: link becomes ready [ 234.789267][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): veth0: link becomes ready [ 234.799251][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bridge: link becomes ready [ 234.810231][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_1: link becomes ready [ 234.820483][ T3545] bridge0: port 2(bridge_slave_1) entered blocking state [ 234.828107][ T3545] bridge0: port 2(bridge_slave_1) entered forwarding state [ 234.857370][ T114] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bond: link becomes ready [ 234.908501][ T3503] 8021q: adding VLAN 0 to HW filter on device team0 [ 234.952199][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_team: link becomes ready [ 234.963992][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_0: link becomes ready [ 234.976109][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_team: link becomes ready [ 234.987892][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_0: link becomes ready [ 235.083589][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): team0: link becomes ready [ 235.095033][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): team0: link becomes ready [ 235.105618][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_team: link becomes ready [ 235.117382][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_1: link becomes ready [ 235.128644][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bond: link becomes ready [ 235.140940][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_team: link becomes ready [ 235.152938][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_1: link becomes ready [ 235.164340][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bridge: link becomes ready [ 235.175225][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_0: link becomes ready [ 235.185512][ T3153] bridge0: port 1(bridge_slave_0) entered blocking state [ 235.193102][ T3153] bridge0: port 1(bridge_slave_0) entered forwarding state [ 235.202791][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_hsr: link becomes ready [ 235.213478][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_0: link becomes ready [ 235.224423][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_hsr: link becomes ready [ 235.235082][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_1: link becomes ready [ 235.245607][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_hsr: link becomes ready [ 235.256335][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_0: link becomes ready [ 235.285796][ T3544] IPv6: ADDRCONF(NETDEV_CHANGE): bridge0: link becomes ready [ 235.325766][ T3491] IPv6: ADDRCONF(NETDEV_CHANGE): hsr0: link becomes ready [ 235.346284][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bond: link becomes ready [ 235.358427][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bridge: link becomes ready [ 235.369326][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_1: link becomes ready [ 235.379669][ T3554] bridge0: port 2(bridge_slave_1) entered blocking state [ 235.387332][ T3554] bridge0: port 2(bridge_slave_1) entered forwarding state [ 235.462845][ T3492] hsr0: Slave B (hsr_slave_1) is not up; please bring it up to get a fully working HSR network [ 235.520720][ T3504] 8021q: adding VLAN 0 to HW filter on device bond0 [ 235.746594][ T3505] hsr0: Slave A (hsr_slave_0) is not up; please bring it up to get a fully working HSR network [ 235.757815][ T3505] hsr0: Slave B (hsr_slave_1) is not up; please bring it up to get a fully working HSR network [ 235.781452][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_hsr: link becomes ready [ 235.792844][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_1: link becomes ready [ 235.803494][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bond: link becomes ready [ 235.818099][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_team: link becomes ready [ 235.829977][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_0: link becomes ready [ 235.841773][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bond: link becomes ready [ 235.854026][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_team: link becomes ready [ 235.865797][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_1: link becomes ready [ 235.877129][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_team: link becomes ready [ 235.888927][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_0: link becomes ready [ 235.900236][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_hsr: link becomes ready [ 235.910999][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_0: link becomes ready [ 235.923011][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_hsr: link becomes ready [ 235.933705][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_1: link becomes ready [ 235.944282][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_team: link becomes ready [ 235.956065][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_1: link becomes ready [ 235.967481][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_hsr: link becomes ready [ 235.978271][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_0: link becomes ready [ 235.988981][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth1: link becomes ready [ 235.998921][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth0: link becomes ready [ 236.044819][ T3504] 8021q: adding VLAN 0 to HW filter on device team0 [ 236.098251][ T3503] hsr0: Slave B (hsr_slave_1) is not up; please bring it up to get a fully working HSR network [ 236.114164][ T3503] IPv6: ADDRCONF(NETDEV_CHANGE): hsr0: link becomes ready [ 236.458078][ T3504] hsr0: Slave A (hsr_slave_0) is not up; please bring it up to get a fully working HSR network [ 236.468808][ T3504] hsr0: Slave B (hsr_slave_1) is not up; please bring it up to get a fully working HSR network [ 236.852619][ T3501] 8021q: adding VLAN 0 to HW filter on device batadv0 [ 236.874047][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): team0: link becomes ready [ 236.885205][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): team0: link becomes ready [ 236.895945][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): hsr0: link becomes ready [ 236.907274][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_hsr: link becomes ready [ 236.918143][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_1: link becomes ready [ 236.928848][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bridge: link becomes ready [ 236.940704][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_0: link becomes ready [ 236.951036][ T125] bridge0: port 1(bridge_slave_0) entered blocking state [ 236.958669][ T125] bridge0: port 1(bridge_slave_0) entered forwarding state [ 236.968447][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bridge: link becomes ready [ 236.979398][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_1: link becomes ready [ 236.989651][ T125] bridge0: port 2(bridge_slave_1) entered blocking state [ 236.997374][ T125] bridge0: port 2(bridge_slave_1) entered forwarding state [ 237.007072][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bond: link becomes ready [ 237.019285][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bond: link becomes ready [ 237.031583][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_team: link becomes ready [ 237.044697][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_0: link becomes ready [ 237.056217][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_team: link becomes ready [ 237.068334][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_1: link becomes ready [ 237.079767][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_hsr: link becomes ready [ 237.090587][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_0: link becomes ready [ 237.102568][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_hsr: link becomes ready [ 237.113782][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_1: link becomes ready [ 237.125301][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan1: link becomes ready [ 237.133486][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan0: link becomes ready [ 237.916504][ T3492] 8021q: adding VLAN 0 to HW filter on device batadv0 [ 237.981177][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): bridge0: link becomes ready [ 237.991904][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): team0: link becomes ready [ 238.002692][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): hsr0: link becomes ready [ 238.012860][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan1: link becomes ready [ 238.020955][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan0: link becomes ready [ 238.029157][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan1: link becomes ready [ 238.037355][ T125] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan0: link becomes ready [ 238.069743][ T3505] 8021q: adding VLAN 0 to HW filter on device batadv0 [ 238.208127][ T3491] 8021q: adding VLAN 0 to HW filter on device batadv0 [ 238.341265][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan1: link becomes ready [ 238.349635][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan0: link becomes ready [ 238.359462][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_virt_wifi: link becomes ready [ 238.370547][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_virt_wifi: link becomes ready [ 238.474329][ T3505] device veth0_vlan entered promiscuous mode [ 238.537558][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_vlan: link becomes ready [ 238.549381][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_vlan: link becomes ready [ 238.561027][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_virt_wifi: link becomes ready [ 238.572404][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_virt_wifi: link becomes ready [ 238.638226][ T3505] device veth1_vlan entered promiscuous mode [ 238.734801][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): vlan0: link becomes ready [ 238.745341][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): vlan1: link becomes ready [ 238.755441][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): macvlan0: link becomes ready [ 238.766175][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): macvlan1: link becomes ready [ 238.904608][ T3491] device veth0_vlan entered promiscuous mode [ 238.913794][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_vlan: link becomes ready [ 238.925022][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_vlan: link becomes ready [ 238.936946][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_macvtap: link becomes ready [ 238.948047][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_macvtap: link becomes ready [ 238.959127][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan1: link becomes ready [ 238.967881][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan0: link becomes ready [ 238.976022][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan1: link becomes ready [ 238.984216][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan0: link becomes ready [ 239.016281][ T3505] device veth0_macvtap entered promiscuous mode [ 239.075650][ T3503] 8021q: adding VLAN 0 to HW filter on device batadv0 [ 239.085217][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): vlan0: link becomes ready [ 239.095661][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): vlan1: link becomes ready [ 239.106053][ T3545] IPv6: ADDRCONF(NETDEV_CHANGE): macvtap0: link becomes ready [ 239.131136][ T3504] 8021q: adding VLAN 0 to HW filter on device batadv0 [ 239.146356][ T3491] device veth1_vlan entered promiscuous mode [ 239.176399][ T3505] device veth1_macvtap entered promiscuous mode [ 239.356478][ T3505] batman_adv: batadv0: Interface activated: batadv_slave_0 [ 239.386714][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_0: link becomes ready [ 239.398540][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_batadv: link becomes ready [ 239.466439][ T3505] batman_adv: batadv0: Interface activated: batadv_slave_1 [ 239.494023][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_virt_wifi: link becomes ready [ 239.505208][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_virt_wifi: link becomes ready [ 239.516572][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_1: link becomes ready [ 239.527719][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_batadv: link becomes ready [ 239.636560][ T3505] netdevsim netdevsim3 netdevsim0: set [1, 0] type 2 family 0 port 6081 - 0 [ 239.646883][ T3505] netdevsim netdevsim3 netdevsim1: set [1, 0] type 2 family 0 port 6081 - 0 [ 239.656029][ T3505] netdevsim netdevsim3 netdevsim2: set [1, 0] type 2 family 0 port 6081 - 0 [ 239.665128][ T3505] netdevsim netdevsim3 netdevsim3: set [1, 0] type 2 family 0 port 6081 - 0 [ 239.706608][ T3491] device veth0_macvtap entered promiscuous mode [ 239.747016][ T3491] device veth1_macvtap entered promiscuous mode [ 239.762674][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_virt_wifi: link becomes ready [ 239.774151][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_virt_wifi: link becomes ready [ 239.786254][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_macvtap: link becomes ready [ 239.797625][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_macvtap: link becomes ready [ 239.808915][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): macsec0: link becomes ready [ 239.819759][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_vlan: link becomes ready [ 239.830705][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_vlan: link becomes ready [ 239.842551][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_vlan: link becomes ready [ 239.853366][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_vlan: link becomes ready [ 239.905566][ T3504] device veth0_vlan entered promiscuous mode [ 239.916210][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): macvtap0: link becomes ready [ 239.928720][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): vlan0: link becomes ready [ 239.939001][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): vlan1: link becomes ready [ 239.949375][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): vlan0: link becomes ready [ 239.959275][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): vlan1: link becomes ready [ 239.997448][ T3503] device veth0_vlan entered promiscuous mode [ 240.049216][ T3491] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 240.060075][ T3491] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 240.075828][ T3491] batman_adv: batadv0: Interface activated: batadv_slave_0 [ 240.105413][ T3504] device veth1_vlan entered promiscuous mode [ 240.166269][ T3503] device veth1_vlan entered promiscuous mode [ 240.185017][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): macvlan0: link becomes ready [ 240.196794][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): macvlan0: link becomes ready [ 240.207461][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_0: link becomes ready [ 240.218782][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_batadv: link becomes ready [ 240.265182][ T3491] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 240.276172][ T3491] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 240.291544][ T3491] batman_adv: batadv0: Interface activated: batadv_slave_1 [ 240.379296][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_1: link becomes ready [ 240.390836][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_batadv: link becomes ready [ 240.418489][ T3491] netdevsim netdevsim1 netdevsim0: set [1, 0] type 2 family 0 port 6081 - 0 [ 240.427601][ T3491] netdevsim netdevsim1 netdevsim1: set [1, 0] type 2 family 0 port 6081 - 0 [ 240.436728][ T3491] netdevsim netdevsim1 netdevsim2: set [1, 0] type 2 family 0 port 6081 - 0 [ 240.445896][ T3491] netdevsim netdevsim1 netdevsim3: set [1, 0] type 2 family 0 port 6081 - 0 [ 240.560176][ T7] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_virt_wifi: link becomes ready [ 240.573057][ T7] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_virt_wifi: link becomes ready [ 240.697368][ T3504] device veth0_macvtap entered promiscuous mode [ 240.729472][ T7] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_macvtap: link becomes ready [ 240.741183][ T7] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_macvtap: link becomes ready [ 240.752380][ T7] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_macvtap: link becomes ready [ 240.763612][ T7] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_macvtap: link becomes ready [ 240.792333][ T3503] device veth0_macvtap entered promiscuous mode [ 240.834530][ T3504] device veth1_macvtap entered promiscuous mode [ 240.906102][ T3501] device veth0_vlan entered promiscuous mode [ 240.929202][ T7] IPv6: ADDRCONF(NETDEV_CHANGE): macvtap0: link becomes ready [ 240.939977][ T7] IPv6: ADDRCONF(NETDEV_CHANGE): macsec0: link becomes ready [ 240.950506][ T7] IPv6: ADDRCONF(NETDEV_CHANGE): macvtap0: link becomes ready [ 240.961272][ T7] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_vlan: link becomes ready [ 240.977426][ T7] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_vlan: link becomes ready [ 240.995244][ T3503] device veth1_macvtap entered promiscuous mode [ 241.062756][ T3504] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 241.073459][ T3504] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 241.084404][ T3504] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 241.095069][ T3504] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 241.110071][ T3504] batman_adv: batadv0: Interface activated: batadv_slave_0 [ 241.136744][ T3501] device veth1_vlan entered promiscuous mode [ 241.258170][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): macsec0: link becomes ready [ 241.270280][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): vlan0: link becomes ready [ 241.280418][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): vlan1: link becomes ready [ 241.291844][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): macvlan0: link becomes ready [ 241.302545][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): macvlan1: link becomes ready [ 241.313280][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_0: link becomes ready [ 241.324383][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_batadv: link becomes ready [ 241.339996][ T3503] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 241.350774][ T3503] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 241.360967][ T3503] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 241.371807][ T3503] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 241.383248][ T3503] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 241.394034][ T3503] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 241.409699][ T3503] batman_adv: batadv0: Interface activated: batadv_slave_0 [ 241.482407][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_0: link becomes ready [ 241.493673][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_batadv: link becomes ready [ 241.504986][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_virt_wifi: link becomes ready [ 241.516337][ T28] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_virt_wifi: link becomes ready [ 241.546822][ T3504] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 241.559960][ T3504] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 241.570085][ T3504] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 241.580767][ T3504] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 241.595957][ T3504] batman_adv: batadv0: Interface activated: batadv_slave_1 [ 241.651616][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_1: link becomes ready [ 241.663336][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_batadv: link becomes ready [ 241.674724][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_macvtap: link becomes ready [ 241.689214][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_macvtap: link becomes ready [ 241.716778][ T3501] device veth0_macvtap entered promiscuous mode [ 241.733883][ T3503] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 241.744682][ T3503] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 241.754848][ T3503] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 241.765570][ T3503] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 241.775793][ T3503] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 241.786489][ T3503] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 241.802072][ T3503] batman_adv: batadv0: Interface activated: batadv_slave_1 [ 241.820988][ T3501] device veth1_macvtap entered promiscuous mode [ 241.842396][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): macvtap0: link becomes ready [ 241.853167][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): macsec0: link becomes ready [ 241.863913][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_1: link becomes ready [ 241.875019][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_batadv: link becomes ready [ 241.886129][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_vlan: link becomes ready [ 241.897206][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_vlan: link becomes ready [ 241.925486][ T3504] netdevsim netdevsim2 netdevsim0: set [1, 0] type 2 family 0 port 6081 - 0 [ 241.936041][ T3504] netdevsim netdevsim2 netdevsim1: set [1, 0] type 2 family 0 port 6081 - 0 [ 241.945159][ T3504] netdevsim netdevsim2 netdevsim2: set [1, 0] type 2 family 0 port 6081 - 0 [ 241.954190][ T3504] netdevsim netdevsim2 netdevsim3: set [1, 0] type 2 family 0 port 6081 - 0 [ 241.997263][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): vlan0: link becomes ready [ 242.008976][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): vlan1: link becomes ready [ 242.030082][ T3503] netdevsim netdevsim0 netdevsim0: set [1, 0] type 2 family 0 port 6081 - 0 [ 242.039267][ T3503] netdevsim netdevsim0 netdevsim1: set [1, 0] type 2 family 0 port 6081 - 0 [ 242.048486][ T3503] netdevsim netdevsim0 netdevsim2: set [1, 0] type 2 family 0 port 6081 - 0 [ 242.057613][ T3503] netdevsim netdevsim0 netdevsim3: set [1, 0] type 2 family 0 port 6081 - 0 [ 242.085619][ T3492] device veth0_vlan entered promiscuous mode [ 242.135795][ T3501] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 242.146557][ T3501] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 242.156710][ T3501] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 242.167480][ T3501] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 242.177657][ T3501] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 242.188478][ T3501] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 242.198584][ T3501] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 242.209277][ T3501] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 242.224982][ T3501] batman_adv: batadv0: Interface activated: batadv_slave_0 [ 242.278360][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_0: link becomes ready [ 242.289743][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_batadv: link becomes ready [ 242.341275][ T3492] device veth1_vlan entered promiscuous mode [ 242.684775][ T25] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_macvtap: link becomes ready [ 242.696217][ T25] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_macvtap: link becomes ready [ 242.733056][ T3492] device veth0_macvtap entered promiscuous mode [ 242.773224][ T3501] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 242.783938][ T3501] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 242.794028][ T3501] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 242.804705][ T3501] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 242.814739][ T3501] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 242.825402][ T3501] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 242.835452][ T3501] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 242.846128][ T3501] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 242.861523][ T3501] batman_adv: batadv0: Interface activated: batadv_slave_1 [ 242.892631][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): macvtap0: link becomes ready [ 242.903516][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_1: link becomes ready [ 242.915411][ T3153] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_batadv: link becomes ready [ 242.956445][ T3492] device veth1_macvtap entered promiscuous mode [ 243.260182][ T3492] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 243.272145][ T3492] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 243.282271][ T3492] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 243.292958][ T3492] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 243.303012][ T3492] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 243.313778][ T3492] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 243.323842][ T3492] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 243.334589][ T3492] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 243.344668][ T3492] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_0 [ 243.355416][ T3492] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 243.371322][ T3492] batman_adv: batadv0: Interface activated: batadv_slave_0 [ 243.385394][ T25] IPv6: ADDRCONF(NETDEV_CHANGE): macsec0: link becomes ready [ 243.396436][ T25] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_0: link becomes ready [ 243.409650][ T25] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_batadv: link becomes ready [ 243.456141][ T3501] netdevsim netdevsim5 netdevsim0: set [1, 0] type 2 family 0 port 6081 - 0 [ 243.465352][ T3501] netdevsim netdevsim5 netdevsim1: set [1, 0] type 2 family 0 port 6081 - 0 [ 243.474471][ T3501] netdevsim netdevsim5 netdevsim2: set [1, 0] type 2 family 0 port 6081 - 0 [ 243.483570][ T3501] netdevsim netdevsim5 netdevsim3: set [1, 0] type 2 family 0 port 6081 - 0 [ 243.986533][ T3492] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 243.997576][ T3492] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 244.007891][ T3492] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 244.018695][ T3492] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 244.029140][ T3492] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 244.039892][ T3492] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 244.049986][ T3492] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 244.060747][ T3492] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 244.071004][ T3492] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3f) already exists on: batadv_slave_1 [ 244.083684][ T3492] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 244.099288][ T3492] batman_adv: batadv0: Interface activated: batadv_slave_1 [ 244.138765][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_1: link becomes ready [ 244.150239][ T3554] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_batadv: link becomes ready [ 244.683398][ T3492] netdevsim netdevsim4 netdevsim0: set [1, 0] type 2 family 0 port 6081 - 0 [ 244.694590][ T3492] netdevsim netdevsim4 netdevsim1: set [1, 0] type 2 family 0 port 6081 - 0 [ 244.703713][ T3492] netdevsim netdevsim4 netdevsim2: set [1, 0] type 2 family 0 port 6081 - 0 [ 244.712841][ T3492] netdevsim netdevsim4 netdevsim3: set [1, 0] type 2 family 0 port 6081 - 0 [ 249.377329][ T3572] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 249.385784][ T3572] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 249.408178][ T114] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready [ 249.573234][ T3531] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 249.581267][ T3531] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 249.592388][ T114] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready [ 250.043409][ T1011] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 250.051441][ T1011] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 250.064191][ T114] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready [ 250.245488][ T399] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 250.253673][ T399] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 250.262781][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready 12:48:30 executing program 3: migrate_pages(0x0, 0x8001, 0x0, &(0x7f0000000000)=0x1) 12:48:30 executing program 3: r0 = openat$ptmx(0xffffffffffffff9c, &(0x7f0000000140), 0x0, 0x0) ioctl$TIOCSISO7816(r0, 0x540d, 0x0) 12:48:31 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) sendmsg$NL80211_CMD_UPDATE_FT_IES(r0, &(0x7f0000002500)={0x0, 0x0, &(0x7f00000024c0)={&(0x7f00000023c0)={0x20, 0x0, 0x326, 0x0, 0x0, {{}, {@void, @val={0xc}}}}, 0x20}}, 0x0) syz_genetlink_get_family_id$nl80211(&(0x7f00000025c0), r0) 12:48:31 executing program 3: r0 = socket$nl_generic(0x10, 0x3, 0x10) sendmmsg$inet(r0, &(0x7f0000000e40)=[{{&(0x7f0000000000)={0x2, 0x0, @multicast2}, 0x10, &(0x7f0000000500)=[{&(0x7f0000000040)="6c29336b24430d089ef398b23723ea6934a4ebd852de959667b55de44c8026a34f84f2eb258eec50071bce5e2c32781a9943d6297089b0415840e61e3d0ce078a3063c301f30d3c904e6c756b23607bc6c0c47940329c499e0aedbdb9745533f81d35e2e2a5253acf6a362a7558a63513fc1944f17429a19b968c936ee758d25425370ae77b683bac0ec99c757", 0x8d}, {&(0x7f0000000100)="77ce8f31fe7521da5f7cb7c2903e495e83c099e0b2890d8695e512800e771e5307aea2a634cd12634cfe2a23632989314ea4aca4a7b204dc6069492d6f5cf13126dd5a776cd60124a21d06860a0d984208e8955824340d58d44cdea9bac59be1d5bbae7670c21bc78b779805f37313481523d95ef0901d0f43dfd14487d6a234eb3dacd521d33db77675c87e988383d607d0dd4a9d2c866c22451b83e4fa572cd7ad480fc42e92cd9a1a572db365f74e969cd7c41cd8b1cfd52115f78234fc1681e9a07767c5781554b6e2063708631dbecdedf7c591714ab97a61f50389d6eb3075cea0973a5214100513208cefacbbc80e063256011e162111", 0xfa}, {&(0x7f0000000200)="421f32f7f32fde3dc81d1d45e3d69c09bccf5a5e1301c636653349ed1f3b59eaa7babc676708f64997e7de4d12a9375a8e153042845e286af321ad34a162ca2f", 0x40}, {&(0x7f0000000240)="4f8acdfe5952e87b178c97819195eb17a8764135a294798e3e36538eacb402aac86d95e77834a39177461eba4f343904c7bc2aa548b9e6997e7159ecf626ccbaceb85450b76656dcd5f7046686eeda02c620593637c25daf7e52799fc2a21e3392f9afc137a2d827eb193efdbe80f3e3a5a8e40619baad05e479cdb4077edd708a42a086c56a7e5d4a992c024fe0c2683fb455c5ba74cd1708ed681a29227198c1a9a640d55a28d8ff165eae762a2d266f2361f42e838b5930df643f52050a45fe9f3877bc06437657082f2fd220bac23833244e0e119222030d3989d210783b67a5a805effaff13f8c9fad39e3e", 0xee}, {&(0x7f0000000340)="c59633489d44de81afbaffc2bee055f2d7d3756a040dda16fdd7513fbc341641c03945b4dc0da868b054efa1cc8f63e537a840abc36fd90af5f8e3cdf613856b624d487085ba387689c62c316d8740b36a635f725b1c3297a994bc1680581b5f7b5dfc03bb42b6451e2573c3782000df2097c50c39e600cc84d983ad5ae4261c2752d3f645faac74cac2f8c35a62c8", 0x8f}, {&(0x7f0000000400)="55c83582397c7d7fc1281ac7f39363a09016e279c23d1bdeb3d9f114ada6ec", 0xffffffa4}, {&(0x7f0000000440)="f82c448cd16b009eadf879699ff3446c5cc74a1e1b9a43a9cd5604d3b8332522c1512574870c18a54a53a1e2d66354506dd619986cffb164cf373bacd9ab8b148726e6d8e6137091362d3609559c6d976144b88d59befe5c752917b15e652d6d9bb154e495d047ee6e79a963f9092977891b2eeb08c317935eef2c0a719f770dd2f9528c3f4c5eab7126ed77e6fedea5de0d5cf8454ee38a87", 0x99}], 0x7, &(0x7f0000000580)=[@ip_tos_u8={{0x11}}, @ip_tos_int={{0x14}}, @ip_pktinfo={{0x1c, 0x0, 0x8, {0x0, @dev, @dev}}}, @ip_ttl={{0x14}}, @ip_tos_u8={{0x11}}, @ip_pktinfo={{0x1c, 0x0, 0x8, {0x0, @local, @empty}}}], 0xa0}}, {{&(0x7f0000000640)={0x2, 0x0, @loopback}, 0x10, &(0x7f0000000d40)=[{&(0x7f0000000680)="65e9b6638bbf32a44a9267e10e96bef46c8a2cf550a01fb4c3462e9443f6c2cd8e3577b0c18ff5f04652e48a023882d9104feb194a331c365064b3191e95eb5904f32236f6db08dfc9874c4abdf61afc3580a2dd853db22f06d7bd30726de55ccc16ee51effeda4752436c", 0x6b}, {&(0x7f0000000700)="f34eb47b392810bc18451c39beaba554f7fd287e7d18abd3af90ff26875c4f855fc29ce67acf9f71bbb7d4df5a0ee3b1c95e238c3a6579a1554289622d6c67191afeffe3c486f23a610e32c8ac6450ac9907a7d08d9cfc71f1b98661c5755ea8fb2e32978d3be1b6df20f6880ee6a876659b603719a848ebd8acd1104b4c305834fe2b6f07a48c0baefe0f9c82238c42b8179ab02199a92389dc6feaca61add0845f6c155296d5bb518e39ea9d00ae51195705e18a4c57519ee81495615e972472", 0xc1}, {&(0x7f0000000800)="4659aa41dfb8c2934d9e49b9b8371722e3d442b6f64718b778f2ad9e8c2b693a042b1aaeee4103cdf52dff03d3ddb1097b09a2b439d3277b072eba90f66d3fcac9b33d466eba394ac1930b557419b304cb0536973e7c4f5fedddae0a83557477bf012b1edde822086218d497c605977a49f647d7cfe8ddfee09a50839c55da5c1b0d597b70e54be2c1979b191c998b703433bf678f642d9b32eccbca1a39a13e1f388dbea5f78d1e40fc42fa0d6ab9009798f6b6eb8fa7c36b811d03cb02f5161b4934cb3bf60a5170303ea4bb7f88a00fae55a14894772a180b709a35105c9b3bcc5aa7aa776bc911dc248de2f48b79375aed56bcdd3d5acaf4f62604c2de", 0xff}, {&(0x7f0000000900)="4b5e30aa0e6daccb71258ca0d624e4b0d285199583e0000a77c4b7d7b71844098bbfb7c1c3ce182df60c09f215209d90ea4c5338d46baf04c3bef74067ddffcba9c269fd19560f4a247d5d84a2b77b8a423337b50c1ed4c4cc8d2f85699f1d153853ce8ee002790b739963884896adb6dc33fea7706f52200fef94fb863a57cf34fa06d6c09b2f", 0x87}, {&(0x7f00000009c0)}, {&(0x7f0000000a00)="c9ad0b0b33c2c228b8983a598f68d014c59845f0d8adab9deb47d840bdc843b71f56cb0c3c4fa41d147b8f81a2bdaa5133cf370ab26dbf6e02d24f32e761ef8e2f7b94eb890dc45838bed74a59a3bb624c2701e8b6af7a5d238f68b70aa1dde1e938373e4c6131d8303e6f", 0x6b}, {&(0x7f0000000a80)="158c18b51811cd77b3664b41726a5cc165faf8368573230b02ba5ab9dc23a524b810ddc970bb8083283106048c4c30db5287d8b2ee2d159b26ab8d2fc5fe7b6b760767b6734c3cdb8c22716b5e55dd16fd22f22a561d48aa7e927bb4a76e968c6084e91026cc22ebfa485abc1e7d85c546a74d7360cc2e9ae645f0f526a900c5ccc24dc2c765ca750275659c5223e2cc45050143fa846ecd2d51c8caeae3fea21f34357ceb527aedfb0cea4ca390cda81cd5e0cacfdd2e54fc0b8bc9", 0xbc}, {&(0x7f0000000b40)="123cba772081e9ea2571ac7f2d502748a754259b2a170fda9b4bbe4e9c22d2cf3c3b4baa3dd50f5b6e58a71acf59f167f22e2b9780e669781bdc168f105533d243431b0022c5f6e343ee4f192d816a938a751624b1abcefd5c1e76d2cd5e76365eb77b15416b51ae1a16542a8bf781df24ac59208b1e120415fa5249117526824138edc2a50d96d78d06efbc6a5453e06ff7ef3fc2a4c9081a19e7ec6662845a9ff58b607a76b54ef2b9eb3f4626ccfb3762856999e2abe5b76eda486534e3345c7c5f", 0xc3}, {&(0x7f0000000c40)="1f83f05eb55d1deb32b3f2daec60dead14b8024a6d256a60101a23342304cdedbcee6be7846112ef67418345d6afc0be1e892ffa1ae0ae1831bf5212bbf3f50322abbc35fe84963d8f454c5bd2682dfdb5e89195e1ff9f3c40bb432e8f7c6b5e1215b05ce7eea1a458b238a804f8b54908d21d9711405428e95a3807f4c4dc70be1624b9c85d675012fb15fb6272cb2e0145876103cba8ae23283ddca9e55bbb5e3cbdca2048220046d725ede7f7aa2cf55e73724e1202d550249b87f9802174d5c17e721ee2b2c97fc85f222125fae359f70865372e2cef740ff5fc10a457d37140bc8165285fd1be46bf9aedc2e6ed99c050ad14a41c6accf0b447404eda", 0xff}], 0x9, &(0x7f0000000e00)=[@ip_tos_int={{0x14}}], 0x18}}], 0x2, 0x0) [ 251.597210][ T40] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 251.605590][ T40] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 251.614389][ T114] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready 12:48:31 executing program 1: r0 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) sendmsg$NLBL_MGMT_C_LISTALL(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={0x0}, 0x1, 0x0, 0x700}, 0x0) [ 251.834080][ T40] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 251.842893][ T40] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 251.855296][ T114] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready 12:48:32 executing program 3: openat$qat_adf_ctl(0xffffffffffffff9c, &(0x7f0000000000), 0xc0, 0x0) [ 252.463699][ T1011] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 252.471870][ T1011] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 252.479882][ T114] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready 12:48:32 executing program 0: getrandom(&(0x7f0000000000)=""/60, 0x3c, 0x0) 12:48:32 executing program 1: openat$ptmx(0xffffffffffffff9c, &(0x7f0000000080), 0x183202, 0x0) [ 252.795218][ T3572] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 252.806893][ T3572] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 252.817074][ T114] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready [ 253.577875][ T40] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 253.586126][ T40] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 253.599796][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready [ 253.718092][ T955] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 253.727520][ T955] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 253.744811][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready [ 254.020192][ T955] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 254.028383][ T955] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 254.066006][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready [ 254.139135][ T40] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 254.147523][ T40] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 254.171972][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready 12:48:34 executing program 4: r0 = openat$ptmx(0xffffffffffffff9c, &(0x7f0000000140), 0x0, 0x0) ioctl$TIOCSISO7816(r0, 0x80045439, 0x0) 12:48:34 executing program 3: r0 = socket$inet_udp(0x2, 0x2, 0x0) sendmmsg$inet(r0, &(0x7f00000015c0)=[{{&(0x7f00000005c0)={0x2, 0x4e20, @loopback}, 0x10, 0x0, 0x0, &(0x7f0000000040)=[@ip_pktinfo={{0x1c, 0x0, 0x8, {0x0, @local}}}], 0x20}}], 0x1, 0x0) 12:48:34 executing program 1: r0 = socket$inet_udp(0x2, 0x2, 0x0) sendmmsg$inet(r0, &(0x7f00000015c0)=[{{&(0x7f00000005c0)={0x2, 0x4e22, @empty}, 0x10, 0x0, 0x0, &(0x7f0000000000)=[@ip_retopts={{0x14, 0x0, 0x7, {[@ra={0x94, 0x4}]}}}], 0x18}}], 0x1, 0x0) 12:48:34 executing program 0: select(0x0, 0x0, 0x0, &(0x7f0000000080), 0x0) 12:48:34 executing program 2: r0 = openat$ptmx(0xffffffffffffff9c, &(0x7f0000000140), 0x0, 0x0) ioctl$TIOCSISO7816(r0, 0x5407, 0x0) 12:48:34 executing program 5: r0 = openat$ptmx(0xffffffffffffff9c, &(0x7f0000000140), 0x0, 0x0) ioctl$TIOCSISO7816(r0, 0x5460, 0x0) 12:48:34 executing program 0: openat$loop_ctrl(0xffffffffffffff9c, &(0x7f0000000000), 0x8e001, 0x0) 12:48:34 executing program 2: r0 = socket$packet(0x11, 0x3, 0x300) getsockname$packet(r0, &(0x7f00000000c0)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000080)=0xfffffffffffffde3) 12:48:34 executing program 3: r0 = openat$ptmx(0xffffffffffffff9c, &(0x7f0000000140), 0x0, 0x0) ioctl$TCSBRKP(r0, 0x5425, 0x2) 12:48:34 executing program 1: r0 = openat$hwrng(0xffffffffffffff9c, &(0x7f00000004c0), 0x0, 0x0) mmap$binder(&(0x7f0000ff9000/0x4000)=nil, 0x4000, 0x1, 0x11, r0, 0x0) 12:48:34 executing program 5: r0 = socket$inet_udp(0x2, 0x2, 0x0) getsockopt$IP_VS_SO_GET_INFO(r0, 0x11, 0x64, 0x0, &(0x7f0000000040)) 12:48:35 executing program 0: r0 = openat$qat_adf_ctl(0xffffffffffffff9c, &(0x7f0000000080), 0x0, 0x0) ioctl$IOCTL_STOP_ACCEL_DEV(r0, 0x40096101, &(0x7f00000004c0)) 12:48:35 executing program 4: openat$hwrng(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) waitid(0x0, 0x0, &(0x7f0000000080), 0x0, 0x0) 12:48:35 executing program 2: r0 = socket$inet_udp(0x2, 0x2, 0x0) getsockopt$IP_VS_SO_GET_INFO(r0, 0x88, 0x481, 0x0, &(0x7f0000000040)) 12:48:35 executing program 5: r0 = openat$sysfs(0xffffffffffffff9c, &(0x7f0000000100)='/sys/block/loop0', 0x0, 0x0) syz_genetlink_get_family_id$gtp(&(0x7f00000002c0), r0) 12:48:35 executing program 1: r0 = gettid() migrate_pages(r0, 0x3, 0x0, &(0x7f0000000280)=0xe65) 12:48:35 executing program 3: r0 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) sendmsg$NLBL_MGMT_C_LISTALL(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={0x0}}, 0x8001) [ 255.753887][ T3761] QAT: Device 0 not found 12:48:35 executing program 4: r0 = socket$inet_udp(0x2, 0x2, 0x0) sendmmsg$inet(r0, &(0x7f00000015c0)=[{{&(0x7f00000005c0)={0x2, 0x4e20, @empty}, 0x10, 0x0, 0x0, &(0x7f0000000740)=[@ip_tos_int={{0x14, 0x0, 0x3}}], 0x18}}], 0x1, 0x0) 12:48:35 executing program 0: r0 = openat$ptmx(0xffffffffffffff9c, &(0x7f0000000140), 0x0, 0x0) ioctl$TIOCSISO7816(r0, 0x5428, 0x0) 12:48:36 executing program 2: pipe2$9p(&(0x7f0000000000)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) write$P9_RLCREATE(r0, &(0x7f0000000040)={0x18}, 0x18) 12:48:36 executing program 1: rt_tgsigqueueinfo(0xffffffffffffffff, 0xffffffffffffffff, 0x0, &(0x7f0000000000)) waitid$P_PIDFD(0x3, 0xffffffffffffffff, 0x0, 0x0, 0x0) openat$full(0xffffffffffffff9c, &(0x7f0000000140), 0x0, 0x0) ioctl$ifreq_SIOCGIFINDEX_wireguard(0xffffffffffffffff, 0x8933, &(0x7f00000006c0)={'wg1\x00'}) 12:48:36 executing program 3: r0 = socket$inet_udp(0x2, 0x2, 0x0) getsockopt$IP_VS_SO_GET_INFO(r0, 0x0, 0x7, 0x0, &(0x7f0000000040)) 12:48:36 executing program 5: r0 = socket$inet_udp(0x2, 0x2, 0x0) getsockopt$IP_VS_SO_GET_INFO(r0, 0x0, 0xb, 0x0, &(0x7f0000000040)) 12:48:36 executing program 4: r0 = socket$inet_udp(0x2, 0x2, 0x0) ioctl$sock_inet_udp_SIOCOUTQ(r0, 0x5411, 0x0) syz_genetlink_get_family_id$batadv(&(0x7f0000000340), 0xffffffffffffffff) 12:48:36 executing program 2: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_DELETE(r0, &(0x7f0000000040)={0x0, 0x0, &(0x7f0000000300)={&(0x7f00000002c0)=ANY=[@ANYBLOB="14000000000601"], 0x14}}, 0x0) 12:48:36 executing program 0: r0 = socket$inet_udp(0x2, 0x2, 0x0) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r0, 0x8933, &(0x7f0000000a80)={'batadv_slave_1\x00'}) 12:48:36 executing program 5: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_NEW(r0, &(0x7f0000000240)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000100)={0x18, 0x0, 0x1, 0x201, 0x0, 0x0, {}, [@CTA_TUPLE_REPLY={0x4}]}, 0x18}}, 0x0) 12:48:36 executing program 1: r0 = syz_open_dev$loop(&(0x7f0000000000), 0x0, 0x0) ioctl$LOOP_CLR_FD(r0, 0xc09812e7) 12:48:36 executing program 3: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_EXP_DELETE(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000040)={0x14, 0x2, 0x2, 0x5}, 0x14}}, 0x0) 12:48:37 executing program 4: r0 = socket$nl_route(0x10, 0x3, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(r0, 0xd000943d, 0x0) 12:48:37 executing program 2: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPSET_CMD_ADD(r0, &(0x7f0000000580)={0x0, 0x0, &(0x7f0000000540)={&(0x7f0000000380)={0x2c, 0x9, 0x6, 0x401, 0x0, 0x0, {}, [@IPSET_ATTR_ADT={0x4}, @IPSET_ATTR_SETNAME={0x9, 0x2, 'syz0\x00'}, @IPSET_ATTR_PROTOCOL={0x5}]}, 0x2c}}, 0x0) 12:48:37 executing program 0: r0 = syz_open_dev$loop(&(0x7f0000000000), 0x0, 0x0) ioctl$LOOP_CLR_FD(r0, 0xc08012e9) 12:48:37 executing program 5: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_GET_CTRZERO(r0, &(0x7f0000001700)={0x0, 0x0, &(0x7f00000016c0)={&(0x7f0000001600)={0x14, 0x5, 0x1, 0x301}, 0x14}}, 0x0) 12:48:37 executing program 3: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_NEW(r0, &(0x7f0000000240)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000100)={0x40, 0x0, 0x1, 0x201, 0x0, 0x0, {0x2}, [@CTA_TUPLE_REPLY={0x2c, 0x2, 0x0, 0x1, [@CTA_TUPLE_ZONE={0x6}, @CTA_TUPLE_IP={0x14, 0x1, 0x0, 0x1, @ipv4={{0x8, 0x1, @local}, {0x8, 0x2, @loopback}}}, @CTA_TUPLE_PROTO={0xc, 0x2, 0x0, 0x1, {0x5}}]}]}, 0x40}}, 0x0) 12:48:37 executing program 1: bpf$MAP_CREATE(0x0, &(0x7f0000000000)=@base={0x1, 0x3, 0x2, 0x8, 0x0, 0xffffffffffffffff, 0x0, '\x00', 0x0, 0xffffffffffffffff, 0x0, 0x5}, 0x48) 12:48:37 executing program 0: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_DELETE(r0, &(0x7f0000000340)={0x0, 0x0, &(0x7f0000000300)={0x0}, 0x10}, 0x0) 12:48:37 executing program 4: r0 = openat$full(0xffffff9c, &(0x7f00000002c0), 0x0, 0x0) syz_genetlink_get_family_id$SEG6(&(0x7f0000000780), r0) 12:48:37 executing program 2: r0 = socket$inet_udp(0x2, 0x2, 0x0) ioctl$sock_inet_udp_SIOCOUTQ(r0, 0x5411, &(0x7f0000000000)) syz_genetlink_get_family_id$fou(0x0, 0xffffffffffffffff) openat$sysfs(0xffffff9c, &(0x7f00000012c0)='/sys/bus/nd', 0x8001, 0x0) 12:48:37 executing program 3: r0 = openat$full(0xffffff9c, &(0x7f00000002c0), 0x0, 0x0) ioctl$BLKRRPART(r0, 0x125f, 0x0) 12:48:37 executing program 1: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFQNL_MSG_CONFIG(r0, &(0x7f00000000c0)={0x0, 0x0, &(0x7f0000000080)={&(0x7f0000000040)={0x1c, 0x2, 0x3, 0x201, 0x0, 0x0, {}, [@NFQA_CFG_CMD={0x8, 0x1, {0x1}}]}, 0x1c}}, 0x0) 12:48:37 executing program 5: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_EXP_DELETE(r0, &(0x7f0000000880)={0x0, 0x0, &(0x7f0000000840)={&(0x7f0000000640)=ANY=[@ANYBLOB="bc0000000202ddea0000000000000000030000020800054000000401900002"], 0xbc}}, 0x0) 12:48:38 executing program 4: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$NFNL_MSG_COMPAT_GET(r0, &(0x7f00000000c0)={&(0x7f0000000100), 0xfcdd, &(0x7f0000000080)={&(0x7f0000000040)=ANY=[@ANYBLOB="1c000000000b010200000000000000000a00"/28], 0x1c}, 0x1, 0x0, 0x0, 0x880}, 0x24) 12:48:38 executing program 0: sendmsg$IPVS_CMD_ZERO(0xffffffffffffffff, 0x0, 0x0) syz_open_procfs$namespace(0xffffffffffffffff, 0x0) syz_clone(0x14000100, 0x0, 0x0, 0x0, 0x0, 0x0) [ 258.367590][ T3817] netlink: 16 bytes leftover after parsing attributes in process `syz-executor.5'. [ 258.377273][ T3817] netlink: 140 bytes leftover after parsing attributes in process `syz-executor.5'. 12:48:38 executing program 1: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_DELETE(r0, &(0x7f0000000000)={0x0, 0x0, &(0x7f0000000300)={&(0x7f0000000100)=ANY=[@ANYBLOB="b80000000d0601040000000000000000000000012c00108008000240000008ef0800014000002d150800024000000009080002400000000308000140000080010c000f800800024000001000380001"], 0xb8}}, 0x0) 12:48:38 executing program 2: syz_open_dev$loop(&(0x7f0000000b80), 0x0, 0x9e16ba68371899c2) 12:48:38 executing program 3: syz_open_dev$loop(&(0x7f0000000000), 0x0, 0x84201) 12:48:38 executing program 5: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPSET_CMD_ADD(r0, &(0x7f0000000580)={0x0, 0x0, &(0x7f0000000540)={&(0x7f0000000380)={0x28, 0x9, 0x6, 0x401, 0x0, 0x0, {}, [@IPSET_ATTR_SETNAME={0x9, 0x2, 'syz0\x00'}, @IPSET_ATTR_PROTOCOL={0x5}]}, 0x28}}, 0x0) [ 258.724967][ T3819] netlink: 8 bytes leftover after parsing attributes in process `syz-executor.4'. 12:48:38 executing program 4: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_DELETE(r0, &(0x7f0000000340)={0x0, 0x0, &(0x7f0000000300)={&(0x7f0000000000)={0x2c, 0x2, 0x1, 0x401, 0x0, 0x0, {}, [@CTA_LABELS_MASK={0x18, 0x17, [0x0, 0x0, 0x0, 0x0, 0x0]}]}, 0x2c}}, 0x0) [ 258.875774][ T3823] netlink: 'syz-executor.1': attribute type 1 has an invalid length. [ 258.884448][ T3823] netlink: 52 bytes leftover after parsing attributes in process `syz-executor.1'. 12:48:39 executing program 2: openat$sysfs(0xffffff9c, &(0x7f00000012c0)='/sys/bus/nd', 0x0, 0x0) 12:48:39 executing program 0: r0 = socket$inet_udp(0x2, 0x2, 0x0) ioctl$sock_inet_udp_SIOCOUTQ(r0, 0x5411, &(0x7f0000000000)) syz_genetlink_get_family_id$batadv(0x0, 0xffffffffffffffff) ioctl$sock_ipv4_tunnel_SIOCDELTUNNEL(0xffffffffffffffff, 0x89f2, &(0x7f0000000440)={'syztnl1\x00', 0x0}) sendmsg$BATADV_CMD_GET_TRANSTABLE_LOCAL(0xffffffffffffffff, 0x0, 0x0) ioctl$sock_ipv4_tunnel_SIOCGETTUNNEL(0xffffffffffffffff, 0x89f0, &(0x7f0000000980)={'gretap0\x00', 0x0}) ioctl$ifreq_SIOCGIFINDEX_team(0xffffffffffffffff, 0x8933, 0x0) ioctl$ifreq_SIOCGIFINDEX_team(0xffffffffffffffff, 0x8933, 0x0) 12:48:39 executing program 1: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_DELETE(r0, &(0x7f0000000340)={0x0, 0x0, &(0x7f0000000300)={&(0x7f00000002c0)={0x14}, 0x200002d4}}, 0x0) 12:48:39 executing program 5: r0 = syz_open_dev$loop(&(0x7f0000000000), 0x0, 0x0) ioctl$BTRFS_IOC_SET_RECEIVED_SUBVOL(r0, 0xc0c09425, 0x0) 12:48:39 executing program 3: r0 = socket$inet_udp(0x2, 0x2, 0x0) ioctl$sock_ipv4_tunnel_SIOCGETTUNNEL(r0, 0x89f0, &(0x7f0000000980)={'gretap0\x00', 0x0}) 12:48:39 executing program 4: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_DELETE(r0, &(0x7f0000000000)={0x0, 0x0, &(0x7f0000000300)={&(0x7f0000000100)=ANY=[@ANYBLOB="b80000000f0601040000000000000000000000012c00108008000240000008ef0800014000002d150800024000000009080002400000000308000140000080010c000f800800024000001000380001"], 0xb8}}, 0x0) 12:48:39 executing program 2: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_NEW(r0, &(0x7f0000000240)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000100)={0x44, 0x0, 0x1, 0x201, 0x0, 0x0, {0x2}, [@CTA_TUPLE_REPLY={0x30, 0x2, 0x0, 0x1, [@CTA_TUPLE_IP={0x2c, 0x1, 0x0, 0x1, @ipv6={{0x14, 0x3, @loopback}, {0x14, 0x4, @rand_addr=' \x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x02'}}}]}]}, 0x44}}, 0x0) 12:48:39 executing program 5: r0 = syz_open_dev$loop(&(0x7f0000000b00), 0x0, 0x0) ioctl$BLKFRASET(r0, 0x1264, 0x0) 12:48:39 executing program 3: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_DELETE(r0, &(0x7f0000000000)={0x0, 0x0, &(0x7f0000000300)={&(0x7f0000000100)=ANY=[@ANYBLOB="b800000010"], 0xb8}}, 0x0) 12:48:39 executing program 1: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_DELETE(r0, &(0x7f0000000340)={0x0, 0x0, &(0x7f0000000300)={&(0x7f00000002c0)={0x14, 0x2, 0xd, 0x401}, 0x14}}, 0x0) 12:48:39 executing program 0: r0 = syz_open_dev$loop(&(0x7f0000000000), 0x0, 0x0) ioctl$LOOP_SET_STATUS64(r0, 0x4c04, &(0x7f0000000140)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, "69b29e99f5c6923e2a378bcc576e7047a7c9783bbee1e361174c6f690e63e56e51e8e549bc78910cdcefd65237081225e08f3fc823bb6bcd6fc4095b05855706", "620ecde499fca8665c49039fc7c709f4c315aa76355006e82b291f22a972d09aeaf9ddeffbeecbcc6f85bd8761b94e5a34c0508bc5a6c08e64811cc0b9f1cc74", "c55e81a5e711e89c0cc5848ed0c3f8edc64aa4e27c500f529006c7140f7205f3"}) [ 259.857765][ T3844] netlink: 'syz-executor.4': attribute type 1 has an invalid length. [ 259.867176][ T3844] netlink: 52 bytes leftover after parsing attributes in process `syz-executor.4'. 12:48:40 executing program 4: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_DELETE(r0, &(0x7f0000000340)={0x0, 0x0, &(0x7f0000000300)={0x0}, 0x1, 0x0, 0x2}, 0x0) 12:48:40 executing program 2: r0 = socket$inet_udp(0x2, 0x2, 0x0) setsockopt$sock_timeval(r0, 0x1, 0x0, &(0x7f0000000880)={0x0, 0xea60}, 0x8) [ 260.151371][ T3848] netlink: 164 bytes leftover after parsing attributes in process `syz-executor.3'. 12:48:40 executing program 5: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_GET_CTRZERO(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f0000000040)={0x18, 0x2, 0x1, 0x201, 0x0, 0x0, {}, [@CTA_FILTER={0x4}]}, 0x18}}, 0x0) 12:48:40 executing program 0: add_key$user(&(0x7f0000000000), &(0x7f0000000040)={'syz', 0x1}, &(0x7f0000000100)="f8", 0x1, 0xfffffffffffffffd) 12:48:40 executing program 4: openat$sysfs(0xffffff9c, 0x0, 0x0, 0x0) 12:48:40 executing program 2: r0 = syz_open_dev$loop(&(0x7f0000000000), 0x0, 0x0) ioctl$LOOP_CLR_FD(r0, 0x4c06) 12:48:40 executing program 3: r0 = socket$nl_route(0x10, 0x3, 0x0) sendmsg$nl_route_sched(r0, &(0x7f0000000100)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000140)=@deltaction={0xc4, 0x31, 0x1, 0x0, 0x0, {}, [@TCA_ACT_TAB={0x4}, @TCA_ACT_TAB={0x4}, @TCA_ACT_TAB={0x62, 0x1, [{0x10, 0x0, 0x0, 0x0, @TCA_ACT_KIND={0x9, 0x1, 'gact\x00'}}, {0x10, 0x0, 0x0, 0x0, @TCA_ACT_KIND={0x9, 0x1, 'gact\x00'}}, {0xc, 0x0, 0x0, 0x0, @TCA_ACT_INDEX={0x8}}, {0xc, 0x0, 0x0, 0x0, @TCA_ACT_INDEX={0x8}}]}, @TCA_ACT_TAB={0x34, 0x1, [{0xc, 0x0, 0x0, 0x0, @TCA_ACT_INDEX={0x8}}, {0x14, 0x0, 0x0, 0x0, @TCA_ACT_KIND={0xf, 0x1, 'tunnel_key\x00'}}, {0x10, 0x0, 0x0, 0x0, @TCA_ACT_KIND={0xb, 0x1, 'mirred\x00'}}]}, @TCA_ACT_TAB={0x38, 0x1, [{0xc, 0x0, 0x0, 0x0, @TCA_ACT_KIND={0x0, 0x1, 'connmark\x00'}}, {0xc, 0x0, 0x0, 0x0, @TCA_ACT_KIND={0x7, 0x1, 'xt\x00'}}, {0xc, 0x0, 0x0, 0x0, @TCA_ACT_INDEX={0x8}}, {0x10, 0x0, 0x0, 0x0, @TCA_ACT_KIND={0xb, 0x1, 'mirred\x00'}}]}]}, 0xc4}}, 0x0) 12:48:41 executing program 0: r0 = socket$inet_udp(0x2, 0x2, 0x0) ioctl$sock_inet_udp_SIOCOUTQ(r0, 0x5411, &(0x7f0000000000)) setsockopt$sock_timeval(r0, 0x1, 0x0, &(0x7f0000000880)={0x0, 0xea60}, 0x8) 12:48:41 executing program 5: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_DELETE(r0, &(0x7f0000000340)={0x0, 0x0, &(0x7f0000000300)={&(0x7f00000002c0)={0x14, 0xd, 0x6, 0x401}, 0x14}}, 0x0) 12:48:41 executing program 1: add_key(&(0x7f00000001c0)='logon\x00', &(0x7f0000000200)={'syz', 0x1}, &(0x7f0000000340)='\b', 0x1, 0xfffffffffffffffb) 12:48:41 executing program 4: r0 = socket$inet_udp(0x2, 0x2, 0x0) ioctl$sock_inet_udp_SIOCOUTQ(r0, 0x5411, &(0x7f0000000000)) socketpair(0x0, 0x0, 0x0, &(0x7f0000000080)) [ 261.345540][ T3872] netlink: 40 bytes leftover after parsing attributes in process `syz-executor.3'. 12:48:41 executing program 3: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_DELETE(r0, &(0x7f0000000340)={0x0, 0x0, &(0x7f0000000300)={&(0x7f00000002c0)={0x14}, 0x14}, 0xc}, 0x0) 12:48:41 executing program 2: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_NEW(r0, &(0x7f0000000300)={0x0, 0x0, &(0x7f00000002c0)={&(0x7f0000000280)={0x14, 0x7, 0x1, 0x801}, 0x14}}, 0x0) 12:48:41 executing program 0: pipe2$9p(&(0x7f0000000240)={0xffffffffffffffff, 0xffffffffffffffff}, 0x84000) write$P9_RXATTRWALK(r0, &(0x7f00000003c0)={0xf}, 0xf) 12:48:41 executing program 5: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_DELETE(r0, &(0x7f0000000340)={0x0, 0x0, &(0x7f0000000300)={&(0x7f00000002c0)={0x14, 0xf, 0x6, 0x401}, 0x14}}, 0x0) 12:48:41 executing program 1: r0 = getpgid(0x0) sched_getattr(r0, &(0x7f0000000140)={0x38}, 0x38, 0x0) 12:48:42 executing program 3: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_GET_CTRZERO(r0, &(0x7f0000001700)={0x0, 0x0, &(0x7f00000016c0)={&(0x7f0000001600)={0x1c, 0x3, 0x1, 0x301, 0x0, 0x0, {}, [@CTA_MARK={0x3}]}, 0x1c}}, 0x0) 12:48:42 executing program 2: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_GET_CTRZERO(r0, &(0x7f0000001700)={0x0, 0x0, &(0x7f00000016c0)={&(0x7f0000001600)={0x1c, 0x2, 0x1, 0x301, 0x0, 0x0, {}, [@CTA_MARK={0x8, 0x12}]}, 0x1c}}, 0x0) 12:48:42 executing program 0: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_GET_CTRZERO(r0, &(0x7f0000001700)={0x0, 0x0, &(0x7f00000016c0)={&(0x7f0000000000)={0x24, 0x2, 0x1, 0x301, 0x0, 0x0, {}, [@CTA_MARK={0x8}, @CTA_MARK_MASK={0x8}]}, 0x24}}, 0x0) 12:48:42 executing program 5: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPSET_CMD_SWAP(r0, &(0x7f0000000100)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000600)={0x34, 0x6, 0x6, 0x101, 0x0, 0x0, {}, [@IPSET_ATTR_SETNAME={0x9, 0x2, 'syz0\x00'}, @IPSET_ATTR_SETNAME2={0x9, 0x3, 'syz0\x00'}, @IPSET_ATTR_PROTOCOL={0x5}]}, 0x34}}, 0x0) 12:48:42 executing program 1: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_DELETE(r0, &(0x7f0000000340)={0x0, 0x0, 0x0, 0x1400}, 0x0) 12:48:42 executing program 4: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_EXP_DELETE(r0, &(0x7f00000005c0)={0x0, 0x0, &(0x7f0000000580)={&(0x7f0000000700)={0x18, 0x2, 0x2, 0x5, 0x0, 0x0, {}, [@CTA_EXPECT_TUPLE={0x4}]}, 0x18}}, 0x0) [ 262.637108][ T3893] netlink: 8 bytes leftover after parsing attributes in process `syz-executor.3'. 12:48:43 executing program 1: request_key(&(0x7f0000000180)='asymmetric\x00', &(0x7f00000001c0)={'syz', 0x2}, 0x0, 0x0) 12:48:43 executing program 4: r0 = socket$nl_netfilter(0x10, 0x3, 0xc) sendmsg$IPCTNL_MSG_CT_NEW(r0, &(0x7f0000000300)={0x0, 0x0, &(0x7f00000002c0)={&(0x7f0000000280)={0x14, 0x0, 0x2, 0x801}, 0x14}}, 0x0) 12:48:43 executing program 5: lstat(&(0x7f0000002180)='./file0\x00', 0x0) [ 263.129789][ T3902] netlink: 'syz-executor.2': attribute type 18 has an invalid length. 12:48:43 executing program 0: r0 = openat$yama_ptrace_scope(0xffffffffffffff9c, &(0x7f0000000a00), 0x2, 0x0) dup2(0xffffffffffffffff, r0) 12:48:43 executing program 1: openat$tcp_mem(0xffffffffffffff9c, 0x0, 0x1, 0x0) mknod(&(0x7f0000000000)='./file0\x00', 0x0, 0x0) mount(0x0, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000100)='autofs\x00', 0x12, 0x0) 12:48:43 executing program 5: openat$binder_debug(0xffffffffffffff9c, &(0x7f0000000180)='/sys/kernel/debug/binder/transaction_log\x00', 0x0, 0x0) 12:48:43 executing program 3: syz_read_part_table(0x0, 0x0, 0x0) syz_read_part_table(0x0, 0x1, &(0x7f00000002c0)=[{&(0x7f0000000200)="80", 0xfffffdef}]) 12:48:43 executing program 2: pselect6(0x0, 0x0, 0x0, &(0x7f0000000080), &(0x7f0000000100), &(0x7f0000000180)={&(0x7f0000000140), 0x8}) 12:48:43 executing program 4: mknodat$loop(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x6000, 0x1) r0 = creat(&(0x7f0000000040)='./file0\x00', 0x0) ioctl$AUTOFS_IOC_EXPIRE(r0, 0x40101286, 0x0) 12:48:43 executing program 0: openat$apparmor_thread_exec(0xffffffffffffff9c, &(0x7f0000003bc0), 0x2, 0x0) [ 263.923333][ T3913] autofs4:pid:3913:autofs_fill_super: called with bogus options 12:48:44 executing program 1: mknod(&(0x7f0000000000)='./file0\x00', 0x0, 0x0) mount(&(0x7f0000000080)=@loop={'/dev/loop', 0x0}, &(0x7f0000000100)='./file0\x00', &(0x7f0000000140)='sysv\x00', 0x0, 0x0) 12:48:44 executing program 4: r0 = creat(&(0x7f0000000000)='./file0\x00', 0x0) fcntl$setpipe(r0, 0x407, 0x0) 12:48:44 executing program 5: syz_read_part_table(0x0, 0x2, &(0x7f0000002100)=[{&(0x7f0000000540)="283aeaec66f20bc0b24855a9262306bc2e39db9c4b29c38e11ed5d5676a1a3d9f9a8fa67b5a7557c33852d065b042c58ba0e31b5a3f7cc002d8e86b1c2fc01b6e6fdfa43ec93cbd8a18581e79107801ce739bc65b90f419147881c72d81496760bcec48c972a0d34ba68ab933c295d1434e452d1efe39496869bd0f715da2b0ba163dab7b4f91f361c2c063f39bddcd2b8671094214ad7993375e185588fc3358e0bced5700ccbbf17f87a8aaaa5d96262a05940f1ab07e9abfb33bb5b978fb9f5ad8c5222cc8afc22e64c817667e40543dec6783475ca35ab8ebc90e2d4b49e0954b2a81e95d0dd70c93fced313a72d355c8dfa2eca9ccfb6b087792a7d14d12bd2b276bba2265cf3fdff70d9d1f713fee28764f43157d8b2615fc133733cb1bda16d4f04a6edbb4f4e5c216ab0e8b09e85ed3a9f8c62111f971560dbd48710d5f24c7238ea7fbd92ccd410bfa9ea40f1b29f9a3abf67c6378466d70c3a59ca97de55ac3e638f7b5699ac0fb2852e2f9b5cf71dd80f564394a59dc93b6239f376615398ebe84667e8a1d793756fd59ec7a639062b719ff5cdcc769159e0130f5c0219715b6fc29b2181b290e5fb49d260f74f6b9d0b506f26ecd5471f3e7f47f90f0a4fe88d600437bd3fd2683be3178c799831ec414660fde0710b81a1473a03cf3a175bc6863698fd37aa97855fefed7dc1a9f695558acd969ff0dbb4c947", 0x200}, {&(0x7f0000001040)="60f2e8608dc2704eb32e0028696831536064d2158ffa195370726b798c7f949f9531fcd104e7e3d35e05574f29f5240a422463a80e5d2f95021d8872f778dd51fa6452a103e352ecd5fcba178d25d3b4355ede40a459885b989492955cf7d966d6f404f6d55ad6e8744cffa319b85aac0de8d855e9cd055267ad923652c5e3062b39f10bb509b43a4b915bb2e85bf8f4c79a958cb38b948b8d24b164f2774f1febac8ddc65bd0c04a08a8cfcae379d79965aefb5721dcfa4c13d183c8854462dc033ecc88b0d158821b58ecc6fb8eed813117665ddbfb330524be292bb24816a7ed4fba0232c304a13aa55f74d1691fa7cedae33311b7e63a5df61f984adaa7e6cacc73ddf6b4d41ac9854954d38745220fc41b5719df077cfc487718bab4c6cc86ce1cb905caa53501b71fa9cc33cc78dc2496240f6fc0325eaf27b4dcf392b2ea9d1490f7b54b724238d1107652e07517b6c6c6fedd29b4cc31cf05ba873ca87c861d6f35295656ad85cece03daae6803aeddcf5b97098f3b0277b4fc951f4c84e031b8a622fb0f33d1fe8e69951b5c87539244c55db869a66fa3c4a3392e01e44e6d3b815a6664b9e8d588e52bf353b9f2603a08d840bf61643a67091d70d9888f479ea2874f8f7db41206c4e6b76941901", 0x1d3}]) 12:48:44 executing program 2: r0 = openat$autofs(0xffffffffffffff9c, &(0x7f00000009c0), 0x0, 0x0) ioctl$EXT4_IOC_GROUP_ADD(r0, 0x40286608, 0x0) 12:48:44 executing program 0: mknodat$loop(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x6000, 0x1) r0 = creat(&(0x7f0000000040)='./file0\x00', 0x0) ioctl$AUTOFS_IOC_EXPIRE(r0, 0x4c07, 0x0) [ 264.458706][ T3925] I/O error, dev loop1, sector 2 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 264.468479][ T3925] I/O error, dev loop1, sector 0 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 264.485074][ T3925] I/O error, dev loop1, sector 0 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 264.495533][ T3925] I/O error, dev loop1, sector 18 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 264.505353][ T3925] I/O error, dev loop1, sector 30 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 264.515239][ T3925] I/O error, dev loop1, sector 36 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 264.524799][ T3925] VFS: unable to find oldfs superblock on device loop1 12:48:44 executing program 4: r0 = openat$cuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) read$FUSE(r0, &(0x7f0000000680)={0x2020}, 0x2020) [ 264.672618][ T3930] loop5: detected capacity change from 0 to 1 [ 264.715056][ T3930] Dev loop5: unable to read RDB block 1 [ 264.721166][ T3930] loop5: unable to read partition table 12:48:44 executing program 1: mknod(&(0x7f0000000000)='./file0\x00', 0x0, 0x0) mount(&(0x7f0000000080)=@loop={'/dev/loop', 0x0}, &(0x7f0000000100)='./file0\x00', &(0x7f0000000140)='sysv\x00', 0x0, 0x0) 12:48:44 executing program 2: syz_io_uring_setup(0x0, &(0x7f00000031c0)={0x0, 0x0, 0x6d4f0cd87d9305b2}, &(0x7f0000ffc000/0x2000)=nil, &(0x7f0000ffd000/0x3000)=nil, &(0x7f0000003240), &(0x7f0000003280)) [ 264.790772][ T3930] loop5: partition table beyond EOD, truncated [ 264.797744][ T3930] loop_reread_partitions: partition scan of loop5 () failed (rc=-5) [ 264.816317][ T2852] Dev loop5: unable to read RDB block 1 [ 264.822277][ T2852] loop5: unable to read partition table [ 264.852802][ T2852] loop5: partition table beyond EOD, truncated [ 265.326143][ T3940] I/O error, dev loop1, sector 2 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 265.335838][ T3940] I/O error, dev loop1, sector 0 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 265.345647][ T3940] I/O error, dev loop1, sector 0 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 265.355433][ T3940] I/O error, dev loop1, sector 18 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 265.376287][ T3940] VFS: unable to find oldfs superblock on device loop1 12:48:45 executing program 3: r0 = io_uring_setup(0x6a77, &(0x7f0000000100)={0x0, 0xf1b1}) fcntl$getown(r0, 0x9) 12:48:45 executing program 0: syz_read_part_table(0x0, 0x1, &(0x7f0000000380)=[{&(0x7f0000000040)="283aeaec66f20bc2b24855a9262306bc2e39db9cc80b404b29c38e11ed5d5676a1a3d9f9a8fa67b5a7557c33852d065b042c58ba0e31b5a3f7cc002d8e86b1c2fc01b6e6fdfa43ec93cbd8a18581e79107801ce739bc65b90f419147881c72d81496760bcec48c972a0d34ba68ab933c295d1434e452d1efe39496869bd0f715da2b0ba1dcd2b8671094214ad7993375e185588fc3358c0bced5700ccbbf17f87a8aaaa5d96262a05940f1ab07e994fb33bb5b978fb9f5ad8c5222cc8afc22e64c817667e40543dec6783475ca35ab8ebc90f2d4b49e0954b2a81e95d0dd70ce3fced313a72d355c8dfa2eca9ccfb6b087792a7d14d12bd2b276bba2265cf3fdff70d9d1f713fee28764f43157d8b2615fc133733cb1bda16d4f04a6edbb4f4e5c216ab0e8b09e85ed3a9f8c62111f971560dbd48710d5f24c7238fadccab5127fbd92ccd410bfa9ea40f1b29f9a3abf67c6378466d70c3a59ca97de55ac3e638f7b5699ac0fb2852e0f564394a59dc93b6239f376615398ebe84667e8a1d793826fd59ec7a639062b719ff5cdcc769159f5130f5c0219715b6fb40c01288cc29b2181b290e5fb49d260f74f6b9d0b506f26ecd5471f3e7f47f90f0a4fe88d600437bd3fd2683be3178c799831ec414660fde0710981a1473a03cf3a175bc6863698fd37aa97855fefed7dc1a9f695558acd969ff0dbb4c947f9c7ddde7822", 0x1ff, 0x1}]) 12:48:45 executing program 5: r0 = openat$yama_ptrace_scope(0xffffffffffffff9c, &(0x7f0000000100), 0x2, 0x0) writev(r0, 0x0, 0x0) 12:48:45 executing program 4: syz_read_part_table(0x0, 0x1, &(0x7f0000000440)=[{&(0x7f0000002140)="c3c14db56da2af17b9d7ccc55e4e8a7e5231feebd46d0d3e3f2f454ea9cfc76d554322fec3290870761ba1cf6ea51660c87cf2cc0cccbc479e03a3229b9c005b2591190dcd64603e251aa526d1fd704977d8005d88d906731f6133f92718fdc50656c34ccbc75280bf87b30e6a9ad032633eb0ef006e2d61dfbd63b3b4eaf491abe71732568932a83972414abafd341bb5e9c6fede959fc6b418ea50d4a1f3d1edff9aa7a352f9537af4a6dd65933bfcea50a47a40ed9afef86f50c324965052c0bc65e419b53373efd972e9eb63485447b2fe7497474f9ebe6c85651d67e96b3119871be40d6d4d8d430be7cdaa972f36c184fb8da0ff7520c52ec809b759757178b92b398aa3ec11334406f881da5d49a102da128819ee4703aeff13c71e6e7b474a1c0ee7cd34eef085f7417215644e6177418dcafc58356082c2b64d4db52c1a1b5e49bea08fd08bb881ea13c9c0958994a0f25c085980dd7a56cc172a3e370ff904391d3a2f037dd6628b0c89f3541054907db4a9d2b8c00f6703bd05b14c8e147fb862da82365ea46dbefbf91ea1946447a6b6f68850592cc68563f4fed0514b28915875e49a3d1c3af9e1dec0c521becab2b41e06d585d8e30f2b48639ff51d010ec74b5fe719b4d8f7781eb35a2c30fdd26d05f905a6b3b615736963c556a2e4182f88aef2160d899c59fff5f7e632b750695715f927ce4976825282", 0x200}]) 12:48:45 executing program 2: mknodat$loop(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x6000, 0x1) r0 = creat(&(0x7f0000000040)='./file0\x00', 0x0) ioctl$AUTOFS_IOC_EXPIRE(r0, 0x1277, 0x0) 12:48:45 executing program 1: syz_read_part_table(0x0, 0x1, &(0x7f0000002100)=[{&(0x7f0000000500)="283aeaec66f20bc2b24855a9262306bcc38e11ed5d5676a1a3d9f9a8fa67b5a7557c33852d065b042c58ba0e31b5a3f7cc002d8e86b1c2fc01b6e6fdfa43ec93cbd8a18581e79107801ce739bc65b90f419147881c72d81496760bcec48c972a0d34ba68ab933c295d1434e452d1efe39496869bd0f715da2b0ba1dcd2b8671094214ad7993375e185588fc3358c0bced5700ccbbf17f87a8aaaa56262a05940f1ab07e994fb33bb5b978fb9f5ad8c5222cc8afc22e64c817667e40543dec6783475ca35ab8ebc90f2d4b49e0954b2a81e95d0dd70ce3fced313a72d355c8dfa2eca9ccfb6b087792a7d14d12bd2b276bba2265cf3fde370d9d1f713fee28764f43157d8b2615fc133733cb1bda16d4f04a6edbb4f4e5c216ab0e8b09e85ed3a9f8c62111f971560dbd48710d5f24c7238fadccab5127fbd92ccd410bfa9ea40f1b29f9a3abf67c6378466d70c3a59ca97de55ac3e638f7b5699ac0fb2852e0f564394a59dc93b6239f376615398ebe84667e8a1d793826fd59ec7a639062b719ff5cdcc769159f5130f5c0219715b6fb40c01288cc29b2181b290e5fb49d260f74f6b9d0b506f26ecd5471f3e7f47f90f0a4fe88d600437bd3fd2683be3178c799831ec414660fde0710981a1473a03cf3a175bc6863698fd37aa97855fefed7dc1a9f695558acd969ff0dbb4c947f9c7ddde782268b55e5fbc5b747e245f02", 0x200}]) [ 265.629412][ T3945] loop0: detected capacity change from 0 to 1 [ 265.636002][ T3946] loop4: detected capacity change from 0 to 1 12:48:45 executing program 5: mknodat$loop(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x6000, 0x1) r0 = creat(&(0x7f0000000040)='./file0\x00', 0x0) ioctl$AUTOFS_IOC_EXPIRE(r0, 0x401070cd, 0x0) [ 265.696694][ T3946] Dev loop4: unable to read RDB block 1 [ 265.702739][ T3946] loop4: unable to read partition table [ 265.738304][ T3945] Dev loop0: unable to read RDB block 1 [ 265.744432][ T3945] loop0: unable to read partition table [ 265.773172][ T3945] loop0: partition table beyond EOD, truncated [ 265.777838][ T3946] loop4: partition table beyond EOD, [ 265.779620][ T3945] loop_reread_partitions: partition scan of loop0 () failed (rc=-5) [ 265.794327][ T3946] truncated [ 265.797581][ T3946] loop_reread_partitions: partition scan of loop4 () failed (rc=-5) [ 265.925244][ T2852] Dev loop4: unable to read RDB block 1 [ 265.931253][ T2852] loop4: unable to read partition table 12:48:46 executing program 0: io_uring_setup(0x6a77, &(0x7f0000000100)={0x0, 0xf1b1, 0x8}) [ 265.966566][ T3951] loop1: detected capacity change from 0 to 1 [ 265.976425][ T2852] loop4: partition table beyond EOD, truncated 12:48:46 executing program 2: unlink(&(0x7f0000000040)='./file0/file0\x00') 12:48:46 executing program 4: r0 = openat$rfkill(0xffffffffffffff9c, &(0x7f0000000700), 0x0, 0x0) read$rfkill(r0, 0x0, 0x0) [ 266.093941][ T3951] Dev loop1: unable to read RDB block 1 [ 266.099937][ T3951] loop1: unable to read partition table 12:48:46 executing program 3: syz_read_part_table(0x0, 0x1, &(0x7f0000001680)=[{&(0x7f00000001c0)="948fa869383622f08a8907f0905470916e5e2ac0be97a368d9bb566eae18eca9dec894a46ada17dd1a0924b9f8420b5da1a05634a750b9efe2539b3fc56ec18511d212144b11db3a904f81447e6a08f268432f5e80badcfab8e17c26e879322f6873bc4bc1c2c484bf1b0f0f35e3c8e93aad46cb71f500bbaf1b8efdf830b3d04f1bd8dffb3c8abd1395da351a5b1140fa38ae494eb2c88ca1c4fa708b5d81f6e623b803db1ad1735ced451798fc73ac2560fc454c1ba0fa185165963b266c3b83e48c5e36fd45822e4c1d73f4cafa3fe73830518cad49adc732df67f756cd0de5ec1983f8fdd63abbc7d7aeba65edd23a731549c321a978c45e173a3c5672d26f203eaf75ce088e574eebda2d767e154526bf64212ba41bba1982e6992b173d949fc09e47b4c7a47d3b864fb60c9b6580cdf8ef5dcf2840b67f5f739994cfe3def4a2f320bb461889f6752b770407584817424cccc62046c9fe0efaf7a924a4376bf486cad22113a3871500293c9115a476652eeabe3bcb8a50009b50a1cbfca656bb6c5562907ba47ddb968d63efcfe21e3afcad886710579fa1d1b40520ea0c1d73870caddeb8e50d94f9aa2e50df2709f064bd1ed180d5bf0f2e65841923e88d62d200649a3e91c338044c616450efebfd5ec25c3b8d524b36602646efef05fbaf91a46c7e578392e119df99a4c662205d20e30196aa23ec7093654f4788", 0x200}]) [ 266.152805][ T3951] loop1: partition table beyond EOD, truncated [ 266.159354][ T3951] loop_reread_partitions: partition scan of loop1 () failed (rc=-5) 12:48:46 executing program 5: r0 = openat$autofs(0xffffffffffffff9c, &(0x7f00000009c0), 0x0, 0x0) ioctl$AUTOFS_DEV_IOCTL_REQUESTER(r0, 0xc018937b, &(0x7f0000000200)={{0x1, 0x1, 0x18}, './file0\x00'}) 12:48:46 executing program 1: creat(&(0x7f0000000000)='./file1\x00', 0x0) mount$cgroup(0x0, &(0x7f0000000040)='./file1\x00', &(0x7f0000000080), 0x0, &(0x7f0000001140)={[{@none}]}) 12:48:46 executing program 2: r0 = openat$tcp_congestion(0xffffffffffffff9c, &(0x7f0000002680), 0x1, 0x0) write$binfmt_elf64(r0, &(0x7f0000002900)={{0x7f, 0x45, 0x4c, 0x46, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x40}, [{}]}, 0x78) [ 266.583736][ T3962] loop3: detected capacity change from 0 to 1 [ 266.648373][ T3962] Dev loop3: unable to read RDB block 1 [ 266.654489][ T3962] loop3: unable to read partition table 12:48:46 executing program 0: epoll_pwait2(0xffffffffffffffff, &(0x7f0000000140)=[{}], 0x1555555555555564, 0x0, 0x0, 0x0) 12:48:46 executing program 4: openat$binderfs(0xffffffffffffff9c, &(0x7f00000000c0)='./binderfs/binder1\x00', 0x802, 0x0) [ 266.693022][ T3962] loop3: partition table beyond EOD, truncated [ 266.699656][ T3962] loop_reread_partitions: partition scan of loop3 () failed (rc=-5) 12:48:46 executing program 5: creat(&(0x7f0000000040)='./file0\x00', 0x0) r0 = openat$dir(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x147900, 0x0) readv(r0, &(0x7f00000000c0)=[{&(0x7f0000001180)=""/4096, 0x1000}], 0x1) [ 266.814616][ T3966] cgroup: Need name or subsystem set 12:48:47 executing program 3: chroot(&(0x7f0000000000)='./file0\x00') creat(&(0x7f0000001600)='./file0\x00', 0x0) 12:48:47 executing program 1: r0 = openat$apparmor_thread_exec(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) readv(r0, 0x0, 0x0) 12:48:47 executing program 4: mknodat$loop(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x6000, 0x1) r0 = creat(&(0x7f0000000040)='./file0\x00', 0x0) ioctl$AUTOFS_IOC_EXPIRE(r0, 0x4c03, 0x0) 12:48:47 executing program 0: r0 = openat$nullb(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) ioctl$BTRFS_IOC_INO_PATHS(r0, 0xc0389423, 0x0) [ 267.308923][ T24] audit: type=1804 audit(1668689327.361:2): pid=3976 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=open_writers comm="syz-executor.5" name="/root/syzkaller-testdir3784268943/syzkaller.ZeoYMK/21/file0" dev="sda1" ino=1155 res=1 errno=0 [ 267.335214][ T24] audit: type=1800 audit(1668689327.361:3): pid=3976 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.5" name="file0" dev="sda1" ino=1155 res=0 errno=0 12:48:47 executing program 5: mount$cgroup(0x1020, 0x0, 0x0, 0x0, 0x0) 12:48:47 executing program 3: openat$autofs(0xffffffffffffff9c, &(0x7f0000000000), 0x110040, 0x0) 12:48:47 executing program 1: mknodat$loop(0xffffffffffffff9c, &(0x7f0000000100)='./file0\x00', 0x2000, 0x1) 12:48:47 executing program 2: r0 = syz_io_uring_setup(0x1540, &(0x7f00000026c0)={0x0, 0x0, 0x40}, &(0x7f0000ffc000/0x2000)=nil, &(0x7f0000ffd000/0x2000)=nil, &(0x7f0000002740), &(0x7f0000002780)) io_uring_register$IORING_REGISTER_RESTRICTIONS(r0, 0xb, &(0x7f0000000100)=[@ioring_restriction_sqe_op={0x300}], 0x1) 12:48:48 executing program 0: openat$ocfs2_control(0xffffffffffffff9c, &(0x7f0000000440), 0x0, 0x0) 12:48:48 executing program 5: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x100f00, 0x3b, &(0x7f0000000200)=[{&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x6c}, {&(0x7f0000010000)="02000000000034340a010000f498e1688773ba85000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000100c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000010000000100000001000000000000000000000000000000060000000000000001000000000000000400000004000000020000000000000000000000000000000300000000000000010000000000000004000000000000000200000000000000050000000000000003000000000000000400000000000000010000000000000001000000010000000600000000000000000000000000000005000000000000000100000000000000010000000100000007000000000000000000000000000000030000000000000001000000000000000300000003000000000000000000000001000000000000000200000000000000", 0x140, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2080}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000010800)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f00000007c0)="d102c1e890010000781f1f630000000003000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000700"/352, 0x160, 0x3c00}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16aae7c8b63c7c0811faaf1e400000000200000000000000781f1f630000000030000000000000001000000006000000880100000000000002000000000000001000000000000000020000000000000001000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000060000000600000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200"/416, 0x1a0, 0x8000}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000011f60)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9800}, {&(0x7f0000011fa0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9880}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0xa000}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f0000000980)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000012a40)="0300"/32, 0x20, 0xaf00}, {&(0x7f0000012a60)="0100"/32, 0x20, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000012d80)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000000dc0)="5a83286cef02cd2211faaf1e400006000300000000b4b42800000000000095b8a61b000000781f1f63000000004000000000000000050000000100000078000000000004000000000000000000030000000000000000400000000000000300000002000000c200000000000000e2000000000000000000000000000000000000000000000062ac6929fe026c2142fd1e73a5d90725eebe20bb6ac624f0780c2f85bcc65dccd5e0e3c1f971c9019e6da4d88be08ea116c6d6338a00c7a4ce56a0e5b605b31fc171782cf29780ff0aff583658a1e677b4350f6ac9d48dcc2fa3de", 0xe0, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcbfd}, {&(0x7f0000000040)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000013100)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f00000131c0), 0x1) sync() sync() splice(0xffffffffffffffff, 0x0, 0xffffffffffffffff, 0x0, 0x0, 0x0) r0 = open(&(0x7f0000000180)='./bus\x00', 0x14d27e, 0x0) write$binfmt_script(r0, &(0x7f00000009c0)=ANY=[], 0xffd1) 12:48:48 executing program 4: mknodat$loop(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x6000, 0x1) r0 = creat(&(0x7f0000000040)='./file0\x00', 0x0) ioctl$AUTOFS_IOC_EXPIRE(r0, 0x125e, 0x0) 12:48:48 executing program 1: r0 = syz_io_uring_setup(0x1540, &(0x7f00000026c0)={0x0, 0x0, 0x40}, &(0x7f0000ffc000/0x2000)=nil, &(0x7f0000ffd000/0x2000)=nil, &(0x7f0000002740), &(0x7f0000002780)) io_uring_register$IORING_REGISTER_RESTRICTIONS(r0, 0xb, &(0x7f0000000100)=[@ioring_restriction_sqe_flags_required={0x2}], 0x1) 12:48:48 executing program 3: openat$damon_schemes(0xffffffffffffff9c, &(0x7f0000000000), 0x21c0, 0x0) 12:48:48 executing program 0: ioctl$BTRFS_IOC_DEV_INFO(0xffffffffffffffff, 0xd000941e, 0x0) openat$rfkill(0xffffffffffffff9c, &(0x7f0000001000), 0x0, 0x0) openat$binderfs_ctrl(0xffffffffffffff9c, 0x0, 0x800, 0x0) ioctl$BTRFS_IOC_SNAP_DESTROY(0xffffffffffffffff, 0x5000940f, 0x0) ioctl$AUTOFS_DEV_IOCTL_PROTOVER(0xffffffffffffffff, 0xc0189372, &(0x7f0000002540)={{0x1, 0x1, 0x18}, './file0\x00'}) ioctl$AUTOFS_DEV_IOCTL_CLOSEMOUNT(0xffffffffffffffff, 0xc0189375, 0x0) openat$binder_debug(0xffffffffffffff9c, &(0x7f0000002600)='/sys/kernel/debug/binder/failed_transaction_log\x00', 0x0, 0x0) openat$cuse(0xffffffffffffff9c, &(0x7f0000002680), 0x2, 0x0) openat$nci(0xffffffffffffff9c, 0x0, 0x2, 0x0) write$FUSE_ATTR(0xffffffffffffffff, 0x0, 0x0) r0 = openat$binder_debug(0xffffffffffffff9c, &(0x7f0000004c80)='/sys/kernel/debug/binder/transactions\x00', 0x0, 0x0) ioctl$AUTOFS_DEV_IOCTL_FAIL(0xffffffffffffffff, 0xc0189377, 0x0) ioctl$AUTOFS_DEV_IOCTL_SETPIPEFD(r0, 0xc0189378, 0x0) [ 268.427536][ T3996] loop5: detected capacity change from 0 to 2055 12:48:48 executing program 4: fsopen(&(0x7f0000001140)='nfsd\x00', 0x0) [ 268.531366][ T3996] NILFS (loop5): invalid segment: Sequence number mismatch [ 268.539548][ T3996] NILFS (loop5): trying rollback from an earlier position 12:48:48 executing program 2: r0 = openat$misdntimer(0xffffffffffffff9c, &(0x7f00000000c0), 0x0, 0x0) ioctl$BTRFS_IOC_INO_LOOKUP_USER(r0, 0x541b, 0x0) 12:48:48 executing program 1: mknod(&(0x7f0000000000)='./file0\x00', 0x0, 0x0) mount(&(0x7f0000000040)=@nbd={'/dev/nbd', 0x0}, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000180)='ext3\x00', 0x0, &(0x7f00000001c0)='\x00') [ 268.758837][ T24] audit: type=1800 audit(1668689328.811:4): pid=4004 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.5" name="bus" dev="sda1" ino=1160 res=0 errno=0 [ 268.796287][ T3996] NILFS (loop5): recovery complete 12:48:48 executing program 3: mknod(&(0x7f0000000000)='./file0\x00', 0x0, 0x0) mount(0x0, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000040)='ceph\x00', 0x0, 0x0) [ 268.849858][ T4006] NILFS (loop5): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 12:48:49 executing program 5: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x100f00, 0x3b, &(0x7f0000000200)=[{&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x6c}, {&(0x7f0000010000)="02000000000034340a010000f498e1688773ba85000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000100c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000010000000100000001000000000000000000000000000000060000000000000001000000000000000400000004000000020000000000000000000000000000000300000000000000010000000000000004000000000000000200000000000000050000000000000003000000000000000400000000000000010000000000000001000000010000000600000000000000000000000000000005000000000000000100000000000000010000000100000007000000000000000000000000000000030000000000000001000000000000000300000003000000000000000000000001000000000000000200000000000000", 0x140, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2080}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000010800)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f00000007c0)="d102c1e890010000781f1f630000000003000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000700"/352, 0x160, 0x3c00}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16aae7c8b63c7c0811faaf1e400000000200000000000000781f1f630000000030000000000000001000000006000000880100000000000002000000000000001000000000000000020000000000000001000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000060000000600000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200"/416, 0x1a0, 0x8000}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000011f60)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9800}, {&(0x7f0000011fa0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9880}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0xa000}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f0000000980)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000012a40)="0300"/32, 0x20, 0xaf00}, {&(0x7f0000012a60)="0100"/32, 0x20, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000012d80)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000000dc0)="5a83286cef02cd2211faaf1e400006000300000000b4b42800000000000095b8a61b000000781f1f63000000004000000000000000050000000100000078000000000004000000000000000000030000000000000000400000000000000300000002000000c200000000000000e2000000000000000000000000000000000000000000000062ac6929fe026c2142fd1e73a5d90725eebe20bb6ac624f0780c2f85bcc65dccd5e0e3c1f971c9019e6da4d88be08ea116c6d6338a00c7a4ce56a0e5b605b31fc171782cf29780ff0aff583658a1e677b4350f6ac9d48dcc2fa3de", 0xe0, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcbfd}, {&(0x7f0000000040)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000013100)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f00000131c0), 0x1) sync() sync() splice(0xffffffffffffffff, 0x0, 0xffffffffffffffff, 0x0, 0x0, 0x0) r0 = open(&(0x7f0000000180)='./bus\x00', 0x14d27e, 0x0) write$binfmt_script(r0, &(0x7f00000009c0)=ANY=[], 0xffd1) 12:48:49 executing program 2: mknod(&(0x7f0000000000)='./file0\x00', 0x0, 0x0) mount$bpf(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f0000000100), 0x0, 0x0) 12:48:49 executing program 0: r0 = openat$autofs(0xffffffffffffff9c, &(0x7f0000001000), 0x0, 0x0) ioctl$AUTOFS_DEV_IOCTL_FAIL(r0, 0xc0189377, &(0x7f0000001080)={{0x1, 0x1, 0x18}, './file0\x00'}) [ 269.259222][ T49] block nbd1: Attempted send on invalid socket [ 269.271218][ T4015] EXT4-fs (nbd1): unable to read superblock 12:48:49 executing program 1: mknod(&(0x7f0000000080)='./file0\x00', 0x1000, 0x0) 12:48:49 executing program 4: r0 = syz_io_uring_setup(0x1540, &(0x7f00000026c0)={0x0, 0x0, 0x40}, &(0x7f0000ffc000/0x2000)=nil, &(0x7f0000ffd000/0x2000)=nil, &(0x7f0000002740), &(0x7f0000002780)) io_uring_register$IORING_REGISTER_RESTRICTIONS(r0, 0xb, &(0x7f00000027c0), 0x4f) [ 269.314711][ T4016] ceph: No source 12:48:49 executing program 3: mknod(&(0x7f0000000000)='./file0\x00', 0x0, 0x0) stat(&(0x7f0000000200)='./file0\x00', &(0x7f0000000480)) [ 269.849894][ T4028] loop5: detected capacity change from 0 to 2055 12:48:49 executing program 1: mknodat$loop(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x0, 0x1) faccessat(0xffffffffffffff9c, &(0x7f0000000200)='./file0\x00', 0x2) 12:48:50 executing program 0: r0 = syz_io_uring_setup(0x1540, &(0x7f00000026c0)={0x0, 0x0, 0x40}, &(0x7f0000ffc000/0x2000)=nil, &(0x7f0000ffe000/0x1000)=nil, &(0x7f0000002740), &(0x7f0000001b40)) io_uring_register$IORING_REGISTER_RESTRICTIONS(r0, 0xb, &(0x7f0000000000)=[@ioring_restriction_sqe_flags_required, @ioring_restriction_sqe_op, @ioring_restriction_sqe_flags_allowed, @ioring_restriction_sqe_flags_allowed], 0x4) 12:48:50 executing program 4: r0 = syz_io_uring_setup(0x1540, &(0x7f00000026c0), &(0x7f0000ffc000/0x2000)=nil, &(0x7f0000ffd000/0x2000)=nil, &(0x7f0000002740), &(0x7f0000002780)) io_uring_register$IORING_REGISTER_RESTRICTIONS(r0, 0x4, &(0x7f0000000100)=[@ioring_restriction_sqe_flags_required={0x2}], 0x1) [ 269.950877][ T4028] NILFS (loop5): invalid segment: Sequence number mismatch [ 269.959297][ T4028] NILFS (loop5): trying rollback from an earlier position 12:48:50 executing program 3: creat(&(0x7f0000000000)='./file1\x00', 0x0) mount$cgroup(0x0, &(0x7f0000000040)='./file1\x00', &(0x7f0000000080), 0x0, &(0x7f00000000c0)={[{@subsystem='blkio'}]}) [ 270.146381][ T24] audit: type=1800 audit(1668689330.201:5): pid=4032 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.5" name="bus" dev="sda1" ino=1162 res=0 errno=0 [ 270.182785][ T4028] NILFS (loop5): recovery complete 12:48:50 executing program 2: mknod(&(0x7f00000001c0)='./file1\x00', 0x1c3a, 0x0) [ 270.277436][ T4033] NILFS (loop5): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 12:48:50 executing program 0: r0 = openat$binderfs(0xffffffffffffff9c, &(0x7f00000000c0)='./binderfs/binder1\x00', 0x802, 0x0) writev(r0, 0x0, 0x0) 12:48:50 executing program 5: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x100f00, 0x3b, &(0x7f0000000200)=[{&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x6c}, {&(0x7f0000010000)="02000000000034340a010000f498e1688773ba85000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000100c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000010000000100000001000000000000000000000000000000060000000000000001000000000000000400000004000000020000000000000000000000000000000300000000000000010000000000000004000000000000000200000000000000050000000000000003000000000000000400000000000000010000000000000001000000010000000600000000000000000000000000000005000000000000000100000000000000010000000100000007000000000000000000000000000000030000000000000001000000000000000300000003000000000000000000000001000000000000000200000000000000", 0x140, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2080}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000010800)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f00000007c0)="d102c1e890010000781f1f630000000003000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000700"/352, 0x160, 0x3c00}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16aae7c8b63c7c0811faaf1e400000000200000000000000781f1f630000000030000000000000001000000006000000880100000000000002000000000000001000000000000000020000000000000001000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000060000000600000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200"/416, 0x1a0, 0x8000}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000011f60)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9800}, {&(0x7f0000011fa0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9880}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0xa000}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f0000000980)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000012a40)="0300"/32, 0x20, 0xaf00}, {&(0x7f0000012a60)="0100"/32, 0x20, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000012d80)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000000dc0)="5a83286cef02cd2211faaf1e400006000300000000b4b42800000000000095b8a61b000000781f1f63000000004000000000000000050000000100000078000000000004000000000000000000030000000000000000400000000000000300000002000000c200000000000000e2000000000000000000000000000000000000000000000062ac6929fe026c2142fd1e73a5d90725eebe20bb6ac624f0780c2f85bcc65dccd5e0e3c1f971c9019e6da4d88be08ea116c6d6338a00c7a4ce56a0e5b605b31fc171782cf29780ff0aff583658a1e677b4350f6ac9d48dcc2fa3de", 0xe0, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcbfd}, {&(0x7f0000000040)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000013100)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f00000131c0), 0x1) sync() sync() splice(0xffffffffffffffff, 0x0, 0xffffffffffffffff, 0x0, 0x0, 0x0) r0 = open(&(0x7f0000000180)='./bus\x00', 0x14d27e, 0x0) write$binfmt_script(r0, &(0x7f00000009c0)=ANY=[], 0xffd1) 12:48:50 executing program 1: mknodat$loop(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x6000, 0x1) creat(&(0x7f0000000040)='./file0\x00', 0x0) 12:48:50 executing program 3: r0 = openat$binderfs_ctrl(0xffffffffffffff9c, &(0x7f0000000080)='./binderfs/binder-control\x00', 0x0, 0x0) ioctl$F2FS_IOC_RESIZE_FS(r0, 0x4008f510, 0x0) 12:48:50 executing program 4: r0 = openat$ppp(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) readv(r0, &(0x7f00000013c0)=[{&(0x7f0000000040)=""/208, 0xd0}], 0x1) 12:48:50 executing program 2: mknodat$loop(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x6000, 0x1) r0 = creat(&(0x7f0000000040)='./file0\x00', 0x0) ioctl$AUTOFS_IOC_EXPIRE(r0, 0x4c04, 0x0) 12:48:51 executing program 0: openat$damon_init_regions(0xffffffffffffff9c, &(0x7f0000000000), 0x20201, 0x0) 12:48:51 executing program 1: r0 = openat$ipvs(0xffffffffffffff9c, &(0x7f0000003d00)='/proc/sys/net/ipv4/vs/drop_packet\x00', 0x2, 0x0) write$apparmor_exec(r0, 0x0, 0x0) [ 271.222173][ T4051] loop5: detected capacity change from 0 to 2055 [ 271.323094][ T4051] NILFS (loop5): invalid segment: Sequence number mismatch [ 271.330567][ T4051] NILFS (loop5): trying rollback from an earlier position 12:48:51 executing program 3: r0 = openat$rdma_cm(0xffffffffffffff9c, &(0x7f0000000200), 0x2, 0x0) write$RDMA_USER_CM_CMD_CREATE_ID(r0, &(0x7f0000000400)={0x0, 0x18, 0xfa00, {0x0, &(0x7f00000003c0)={0xffffffffffffffff}, 0x2}}, 0x20) write$RDMA_USER_CM_CMD_REJECT(r0, &(0x7f0000000440)={0x9, 0x108, 0xfa00, {r1, 0x0, "00b500", "706075666d3451a10567bdee2811609b3d59cf75a40e2c622339196be92ae6eabcd703881117a6be783fb168c79c8c3a4dc68e9d31eab065e7e153e3e2a659056a9cb20f3a36777209d64c3a7856435b426d3d154281480d6a3c5e121dd07199cc36dd670e63a9e0eac016c13baff7b3acbfcafe00d9e0fcc6192f0e5f3250fc42b5d77b86dd6d6ece5d8a38a9172640d0c8adc5622ea8ca9f33cc2cff851fe33cfc5b8ed4ea9c20ae3767cb417c6d9e9ed34a4fc83ed34370c1a1a395621c26c6edd173f6ff53889da80c257fdc7a5cab89c699de55d881a82dfc168a84db548d3ad29161e4ad94606a2c1cb82dad09cf64d38909dfdc05a1da63aaacd077c4"}}, 0x110) 12:48:51 executing program 4: r0 = io_uring_setup(0x40d7, &(0x7f0000000fc0)={0x0, 0x0, 0x3e00}) io_uring_register$IORING_REGISTER_PERSONALITY(r0, 0x9, 0x0, 0x0) 12:48:51 executing program 2: mknod(&(0x7f0000000000)='./file0\x00', 0x0, 0x0) move_mount(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0xffffffffffffff9c, 0x0, 0x0) [ 271.455099][ T24] audit: type=1800 audit(1668689331.511:6): pid=4060 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.5" name="bus" dev="sda1" ino=1156 res=0 errno=0 [ 271.547242][ T4051] NILFS (loop5): recovery complete 12:48:51 executing program 0: syz_read_part_table(0x0, 0x2, &(0x7f0000002100)=[{&(0x7f0000000040)="283aeaec66f20bc2b24855a9262306bc2e39db9cc80b404b29c38e11ed5d5676a1a3d9f9a8fa67b5a7557c33852d065b042c58ba0e31b5a3f7cc002d8e86b1c2fc01b6e6fdfa43ec93cbd8a18581e79107801ce739bc65b90f419147881c72d81496760bcec48c972a0d34ba68ab933c295d1434e452d1efe39496869bd0f715da2b0ba1dcd2b8671094214ad7993375e185588fc3358c0bced5700ccbbf17f87a8aaaa5d96262a05940f1ab07e994fb33bb5b978fb9f5ad8c5222cc8afc22e64c817667e40543dec6783475ca35ab8ebc90f2d4b49e0954b2a81e95d0dd70ce3fced313a72d355c8dfa2eca9ccfb6b087792a7d14d12bd2b276bba2265cf3fdff70d9d1f713fee28764f43157d8b2615fc133733cb1bda16d4f04a6edbb4f4e5c216ab0e8b09e85ed3a9f8c62111f971560dbd48710d5f24c7238fadccab5127fbd92ccd410bfa9ea40f1b29f9a3abf67c6378466d70c3a59ca97de55ac3e638f7b5699ac0fb2852e0f564394a59dc93b6239f376615398ebe84667e8a1d793826fd59ec7a639062b719ff5cdcc769159f5130f5c0219715b6fb40c01288cc29b2181b290e5fb49d260f74f6b9d0b506f26ecd5471f3e7f47f90f0a4fe88d600437bd3fd2683be3178c799831ec414660fde0710981a1473a03cf3a175bc6863698fd37aa97855fefed7dc1a9f695558acd969ff0dbb4c947f9c7ddde782268", 0x200}, {&(0x7f0000001040)="60f2e8608dc2704eb32e0028696831536064d2158ffa195370726b798c7f949f9531fcd104e7e3d35e05574f29f5240a422463a80e5d2f95021d8872f778dd51fa6452a103e352ecd5fcba178d25d3b4355ede40a459885b989492955cf7d966d6f404f6d55ad6e8744cffa319b85aac0de8d855e9cd055267ad923652c5e3062b39f10bb509b43a4b915bb2e85bf8f4c79a958cb38b948b8d24b164f2774f1febac8ddc65bd0c04a08a8cfcae379d79965aefb5721dcfa4c13d183c8854462dc033ecc88b0d158821b58ecc6fb8eed813117665ddbfb330524be292bb24816a7ed4fba0232c304a13aa55f74d1691fa7cedae33311b7e63a5df61f984adaa7e6cacc73ddf6b4d41ac9854954d38745220fc41b5719df077cfc487718bab4c6cc86ce1cb905caa53501b71fa9cc33cc78dc2496240f6fc0325eaf27b4dcf392b2ea9d1490f7b54b724238d1107652e07517b6c6c6fedd29b4cc31cf05ba873ca87c861d6f35295656ad85cece03daae6803aeddcf5b97098f3b0277b4fc951f4c84e031b8a622fb0f33d1fe8e69951b5c87539244c55db869a66fa3c4a3392e01e44e6d3b815a6664b9e8d588e52bf353b9f2603a08d840bf61643a67091d70d9888f479ea2874f8f7db41", 0x1cb, 0x22}]) [ 271.596075][ T4063] NILFS (loop5): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 12:48:51 executing program 1: mknod(&(0x7f0000000000)='./file0\x00', 0x0, 0x0) creat(&(0x7f0000000040)='./file0\x00', 0x0) 12:48:52 executing program 5: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x100f00, 0x3b, &(0x7f0000000200)=[{&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x6c}, {&(0x7f0000010000)="02000000000034340a010000f498e1688773ba85000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000100c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000010000000100000001000000000000000000000000000000060000000000000001000000000000000400000004000000020000000000000000000000000000000300000000000000010000000000000004000000000000000200000000000000050000000000000003000000000000000400000000000000010000000000000001000000010000000600000000000000000000000000000005000000000000000100000000000000010000000100000007000000000000000000000000000000030000000000000001000000000000000300000003000000000000000000000001000000000000000200000000000000", 0x140, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2080}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000010800)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f00000007c0)="d102c1e890010000781f1f630000000003000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000700"/352, 0x160, 0x3c00}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16aae7c8b63c7c0811faaf1e400000000200000000000000781f1f630000000030000000000000001000000006000000880100000000000002000000000000001000000000000000020000000000000001000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000060000000600000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200"/416, 0x1a0, 0x8000}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000011f60)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9800}, {&(0x7f0000011fa0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9880}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0xa000}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f0000000980)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000012a40)="0300"/32, 0x20, 0xaf00}, {&(0x7f0000012a60)="0100"/32, 0x20, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000012d80)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000000dc0)="5a83286cef02cd2211faaf1e400006000300000000b4b42800000000000095b8a61b000000781f1f63000000004000000000000000050000000100000078000000000004000000000000000000030000000000000000400000000000000300000002000000c200000000000000e2000000000000000000000000000000000000000000000062ac6929fe026c2142fd1e73a5d90725eebe20bb6ac624f0780c2f85bcc65dccd5e0e3c1f971c9019e6da4d88be08ea116c6d6338a00c7a4ce56a0e5b605b31fc171782cf29780ff0aff583658a1e677b4350f6ac9d48dcc2fa3de", 0xe0, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcbfd}, {&(0x7f0000000040)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000013100)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f00000131c0), 0x1) sync() sync() splice(0xffffffffffffffff, 0x0, 0xffffffffffffffff, 0x0, 0x0, 0x0) r0 = open(&(0x7f0000000180)='./bus\x00', 0x14d27e, 0x0) write$binfmt_script(r0, &(0x7f00000009c0)=ANY=[], 0xffd1) 12:48:52 executing program 2: openat$binder_debug(0xffffffffffffff9c, &(0x7f0000003900)='/sys/kernel/debug/binder/transactions\x00', 0x0, 0x0) [ 272.081356][ T4074] loop0: detected capacity change from 0 to 1 12:48:52 executing program 4: mount$9p_xen(0x0, &(0x7f0000000100)='\x00', 0x0, 0x0, 0x0) [ 272.155100][ T4074] Dev loop0: unable to read RDB block 1 [ 272.164725][ T4074] loop0: unable to read partition table 12:48:52 executing program 3: mknod(&(0x7f0000000000)='./file0\x00', 0x0, 0x0) mount(0x0, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000040)='ecryptfs\x00', 0x0, 0x0) unlink(&(0x7f00000001c0)='./file0\x00') [ 272.224899][ T4074] loop0: partition table beyond EOD, truncated [ 272.231487][ T4074] loop_reread_partitions: partition scan of loop0 () failed (rc=-5) [ 272.252573][ T2852] Dev loop0: unable to read RDB block 1 [ 272.262175][ T2852] loop0: unable to read partition table 12:48:52 executing program 1: mknodat$loop(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x6000, 0x1) r0 = creat(&(0x7f0000000040)='./file0\x00', 0x0) ioctl$AUTOFS_IOC_EXPIRE(r0, 0xc0481273, 0x0) [ 272.292788][ T2852] loop0: partition table beyond EOD, truncated 12:48:52 executing program 0: mknodat$loop(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x6000, 0x1) faccessat(0xffffffffffffff9c, &(0x7f0000000200)='./file0\x00', 0x1) 12:48:52 executing program 2: creat(&(0x7f0000000000)='./file1\x00', 0x0) mount$cgroup(0x20100000, &(0x7f0000000040)='./file1\x00', 0x0, 0x11d4, 0x0) [ 272.674286][ T4083] Device name cannot be null; rc = [-22] 12:48:52 executing program 4: mknod(&(0x7f0000000000)='./file0\x00', 0x0, 0x0) mount$fuseblk(&(0x7f0000000080), &(0x7f0000000100)='./file0\x00', &(0x7f0000000140), 0x1002, 0x0) [ 272.783649][ T4086] loop5: detected capacity change from 0 to 2055 12:48:52 executing program 3: r0 = openat$binder_debug(0xffffffffffffff9c, &(0x7f0000001640)='/sys/kernel/debug/binder/transaction_log\x00', 0x0, 0x0) openat$cgroup_freezer_state(r0, &(0x7f00000027c0), 0x2, 0x0) 12:48:52 executing program 1: mknodat$loop(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x6000, 0x1) r0 = creat(&(0x7f0000000040)='./file0\x00', 0x0) ioctl$AUTOFS_IOC_EXPIRE(r0, 0x4c05, 0x0) [ 272.882492][ T4086] NILFS (loop5): invalid segment: Sequence number mismatch [ 272.890068][ T4086] NILFS (loop5): trying rollback from an earlier position [ 273.111269][ T4094] ======================================================= [ 273.111269][ T4094] WARNING: The mand mount option has been deprecated and [ 273.111269][ T4094] and is ignored by this kernel. Remove the mand [ 273.111269][ T4094] option from the mount to silence this warning. [ 273.111269][ T4094] ======================================================= 12:48:53 executing program 4: openat$autofs(0xffffffffffffff9c, &(0x7f00000009c0), 0x434403, 0x0) [ 273.150678][ T24] audit: type=1800 audit(1668689333.211:7): pid=4091 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.5" name="bus" dev="sda1" ino=1177 res=0 errno=0 [ 273.166601][ T4086] NILFS (loop5): recovery complete 12:48:53 executing program 0: r0 = openat$rdma_cm(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) write$RDMA_USER_CM_CMD_BIND_IP(r0, &(0x7f0000000080)={0x2, 0x28, 0xfa00, {0x0, {0xa, 0x0, 0x0, @mcast1}}}, 0x30) [ 273.228210][ T4097] NILFS (loop5): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 12:48:53 executing program 2: mount$cgroup(0x0, 0x0, 0x0, 0x0, 0x0) 12:48:53 executing program 5: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x100f00, 0x3b, &(0x7f0000000200)=[{&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x6c}, {&(0x7f0000010000)="02000000000034340a010000f498e1688773ba85000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000100c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000010000000100000001000000000000000000000000000000060000000000000001000000000000000400000004000000020000000000000000000000000000000300000000000000010000000000000004000000000000000200000000000000050000000000000003000000000000000400000000000000010000000000000001000000010000000600000000000000000000000000000005000000000000000100000000000000010000000100000007000000000000000000000000000000030000000000000001000000000000000300000003000000000000000000000001000000000000000200000000000000", 0x140, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2080}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000010800)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f00000007c0)="d102c1e890010000781f1f630000000003000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000700"/352, 0x160, 0x3c00}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16aae7c8b63c7c0811faaf1e400000000200000000000000781f1f630000000030000000000000001000000006000000880100000000000002000000000000001000000000000000020000000000000001000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000060000000600000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200"/416, 0x1a0, 0x8000}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000011f60)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9800}, {&(0x7f0000011fa0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9880}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0xa000}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f0000000980)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000012a40)="0300"/32, 0x20, 0xaf00}, {&(0x7f0000012a60)="0100"/32, 0x20, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000012d80)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000000dc0)="5a83286cef02cd2211faaf1e400006000300000000b4b42800000000000095b8a61b000000781f1f63000000004000000000000000050000000100000078000000000004000000000000000000030000000000000000400000000000000300000002000000c200000000000000e2000000000000000000000000000000000000000000000062ac6929fe026c2142fd1e73a5d90725eebe20bb6ac624f0780c2f85bcc65dccd5e0e3c1f971c9019e6da4d88be08ea116c6d6338a00c7a4ce56a0e5b605b31fc171782cf29780ff0aff583658a1e677b4350f6ac9d48dcc2fa3de", 0xe0, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcbfd}, {&(0x7f0000000040)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000013100)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f00000131c0), 0x1) sync() sync() splice(0xffffffffffffffff, 0x0, 0xffffffffffffffff, 0x0, 0x0, 0x0) open(&(0x7f0000000180)='./bus\x00', 0x14d27e, 0x0) 12:48:53 executing program 1: r0 = openat$binderfs(0xffffffffffffff9c, &(0x7f00000000c0)='./binderfs/binder1\x00', 0x0, 0x0) fchown(r0, 0x0, 0xee01) 12:48:53 executing program 3: r0 = openat$cuse(0xffffffffffffff9c, &(0x7f00000022c0), 0x2, 0x0) write$FUSE_ATTR(r0, &(0x7f0000004340)={0x78}, 0x78) 12:48:53 executing program 4: r0 = openat$dlm_control(0xffffffffffffff9c, &(0x7f0000000080), 0x0, 0x0) read$snapshot(r0, 0x0, 0x0) 12:48:53 executing program 2: r0 = openat$fb0(0xffffffffffffff9c, &(0x7f0000000080), 0x0, 0x0) read$fb(r0, &(0x7f0000000040)=""/29, 0x1d) 12:48:53 executing program 0: ioctl$EXT4_IOC_MIGRATE(0xffffffffffffffff, 0x6609) r0 = openat$rfkill(0xffffffffffffff9c, &(0x7f0000001000), 0x2800, 0x0) ioctl$BTRFS_IOC_BALANCE_PROGRESS(r0, 0x84009422, &(0x7f0000001040)={0x0, 0x0, {0x0, @usage, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @struct}, {0x0, @struct, 0x0}, {0x0, @struct, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @struct}}) r2 = openat$cgroup_pressure(0xffffffffffffffff, &(0x7f0000001440)='memory.pressure\x00', 0x2, 0x0) ioctl$BTRFS_IOC_BALANCE(r2, 0x5000940c, 0x0) openat$binderfs_ctrl(0xffffffffffffff9c, &(0x7f0000001480)='./binderfs2/binder-control\x00', 0x800, 0x0) ioctl$BTRFS_IOC_SNAP_DESTROY(0xffffffffffffffff, 0x5000940f, &(0x7f00000014c0)={{}, "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"}) ioctl$AUTOFS_DEV_IOCTL_PROTOSUBVER(0xffffffffffffffff, 0xc0189373, &(0x7f00000024c0)={{0x1, 0x1, 0x18, 0xffffffffffffffff}, './file0\x00'}) r4 = openat$ttynull(0xffffffffffffff9c, 0x0, 0x70000, 0x0) ioctl$AUTOFS_DEV_IOCTL_PROTOVER(r3, 0xc0189372, &(0x7f0000002540)={{0x1, 0x1, 0x18, r4, {0x20}}, './file0\x00'}) ioctl$AUTOFS_DEV_IOCTL_OPENMOUNT(r5, 0xc0189374, &(0x7f0000002580)={{0x1, 0x1, 0x18, r4, {0xfff}}, './file0\x00'}) ioctl$AUTOFS_DEV_IOCTL_CLOSEMOUNT(r6, 0xc0189375, 0x0) r7 = openat$binder_debug(0xffffffffffffff9c, 0x0, 0x0, 0x0) io_uring_register$IORING_REGISTER_FILES(r6, 0x2, &(0x7f0000002640), 0x0) openat$nci(0xffffffffffffff9c, &(0x7f00000026c0), 0x2, 0x0) io_uring_register$IORING_REGISTER_FILES_UPDATE(0xffffffffffffffff, 0x6, 0x0, 0x0) write$FUSE_ATTR(r7, &(0x7f0000002780)={0x78, 0x0, 0x0, {0x3, 0xe6a, 0x0, {0x0, 0x5, 0x7, 0x5, 0x8000000000000000, 0x3, 0x10000, 0x0, 0x2, 0xc000, 0x400, 0x0, 0xee01, 0x0, 0x200}}}, 0x78) ioctl$BTRFS_IOC_FS_INFO(r0, 0x8400941f, &(0x7f0000002800)) ioctl$BTRFS_IOC_DEV_INFO(0xffffffffffffffff, 0xd000941e, &(0x7f0000002c80)={r1, "03d6395a680700c0e9f0a842248b6ec6"}) ioctl$BTRFS_IOC_SNAP_CREATE_V2(r4, 0x50009417, &(0x7f0000003c80)={{r7}, 0x0, 0xa, @inherit={0x70, &(0x7f0000002c00)={0x1, 0x5, 0x0, 0x5, {0x0, 0x8, 0xffffffff, 0x0, 0x3ec}, [0x0, 0x6cae3806, 0x81, 0x3, 0x7fffffff]}}, @devid=r8}) r9 = openat$binder_debug(0xffffffffffffff9c, &(0x7f0000004c80)='/sys/kernel/debug/binder/transactions\x00', 0x0, 0x0) ioctl$AUTOFS_DEV_IOCTL_FAIL(0xffffffffffffffff, 0xc0189377, &(0x7f0000004cc0)={{0x1, 0x1, 0x18, 0xffffffffffffffff, {0x3, 0xfc2}}, './file0\x00'}) ioctl$AUTOFS_DEV_IOCTL_SETPIPEFD(r9, 0xc0189378, 0x0) 12:48:54 executing program 1: mknod(&(0x7f0000000000)='./file0\x00', 0x0, 0x0) mount$9p_xen(0x0, 0x0, 0x0, 0x0, 0x0) mount(&(0x7f0000000080)=@loop={'/dev/loop', 0x0}, &(0x7f0000000100)='./file0\x00', &(0x7f0000000140)='sysv\x00', 0x1, 0x0) [ 274.219345][ T4115] loop5: detected capacity change from 0 to 2055 12:48:54 executing program 3: syz_clone3(&(0x7f0000004880)={0x0, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) [ 274.270362][ T4117] dlm: non-version read from control device 0 [ 274.419166][ T4115] NILFS (loop5): invalid segment: Sequence number mismatch [ 274.427418][ T4115] NILFS (loop5): trying rollback from an earlier position 12:48:54 executing program 2: openat$qat_adf_ctl(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) [ 274.465274][ T24] audit: type=1800 audit(1668689334.521:8): pid=4122 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.5" name="bus" dev="sda1" ino=1159 res=0 errno=0 12:48:54 executing program 4: r0 = socket$inet_udp(0x2, 0x2, 0x0) sendmmsg$inet(r0, &(0x7f0000002980)=[{{&(0x7f0000000000)={0x2, 0x0, @remote}, 0x10, 0x0}}], 0x1, 0x0) [ 274.666802][ T4115] NILFS (loop5): recovery complete [ 274.687984][ T4126] NILFS (loop5): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 12:48:54 executing program 0: syz_read_part_table(0x0, 0x0, 0x0) syz_read_part_table(0x776150, 0x0, &(0x7f00000002c0)) [ 274.938235][ T4133] blk_print_req_error: 3 callbacks suppressed [ 274.938295][ T4133] I/O error, dev loop1, sector 2 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 274.955326][ T4133] I/O error, dev loop1, sector 0 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 274.964946][ T4133] I/O error, dev loop1, sector 0 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 274.974772][ T4133] I/O error, dev loop1, sector 18 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 12:48:55 executing program 5: [ 274.984678][ T4133] I/O error, dev loop1, sector 30 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 274.994576][ T4133] I/O error, dev loop1, sector 36 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 275.004205][ T4133] VFS: unable to find oldfs superblock on device loop1 syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x100f00, 0x3b, &(0x7f0000000200)=[{&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x6c}, {&(0x7f0000010000)="02000000000034340a010000f498e1688773ba85000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000100c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000010000000100000001000000000000000000000000000000060000000000000001000000000000000400000004000000020000000000000000000000000000000300000000000000010000000000000004000000000000000200000000000000050000000000000003000000000000000400000000000000010000000000000001000000010000000600000000000000000000000000000005000000000000000100000000000000010000000100000007000000000000000000000000000000030000000000000001000000000000000300000003000000000000000000000001000000000000000200000000000000", 0x140, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2080}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000010800)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f00000007c0)="d102c1e890010000781f1f630000000003000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000700"/352, 0x160, 0x3c00}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16aae7c8b63c7c0811faaf1e400000000200000000000000781f1f630000000030000000000000001000000006000000880100000000000002000000000000001000000000000000020000000000000001000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000060000000600000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200"/416, 0x1a0, 0x8000}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000011f60)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9800}, {&(0x7f0000011fa0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9880}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0xa000}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f0000000980)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000012a40)="0300"/32, 0x20, 0xaf00}, {&(0x7f0000012a60)="0100"/32, 0x20, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000012d80)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000000dc0)="5a83286cef02cd2211faaf1e400006000300000000b4b42800000000000095b8a61b000000781f1f63000000004000000000000000050000000100000078000000000004000000000000000000030000000000000000400000000000000300000002000000c200000000000000e2000000000000000000000000000000000000000000000062ac6929fe026c2142fd1e73a5d90725eebe20bb6ac624f0780c2f85bcc65dccd5e0e3c1f971c9019e6da4d88be08ea116c6d6338a00c7a4ce56a0e5b605b31fc171782cf29780ff0aff583658a1e677b4350f6ac9d48dcc2fa3de", 0xe0, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcbfd}, {&(0x7f0000000040)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000013100)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f00000131c0), 0x1) sync() sync() splice(0xffffffffffffffff, 0x0, 0xffffffffffffffff, 0x0, 0x0, 0x0) open(&(0x7f0000000180)='./bus\x00', 0x14d27e, 0x0) 12:48:55 executing program 4: mknod(&(0x7f0000000040)='./file0\x00', 0x800, 0x0) creat(&(0x7f0000000000)='./file0\x00', 0x0) 12:48:55 executing program 2: syz_read_part_table(0x0, 0xaaaaaaaaaaaaa5e, &(0x7f0000001680)=[{&(0x7f0000000000)="3530f423fb80e87444ee443122073e3b530249e00bc04cf6d8f79230bcb7f36df8c98ff9dea89ac3cd0231627387f530011461caf9485d8278d7ee2f5bb9644e28d965564ee09c8cd88152019dec14f55e9a142190383e9997c2066f3ab77b0f6b8f6ebe78b32bba9c4403b0576c516636a9ce3484035418e3740df1719efd78070591e58b416ce833bd1b0d", 0x0, 0x1}, {&(0x7f00000000c0)="c0078f6bbe1c11cf1f24ce8c2b869a8b0cf67febc4d8cc223bd8c35f2bef586bd0ff3aa3f35d3023cdacb943b6af616321e84deb1caf3c6802d5ba177e9e96aae69eb6263ee3b36718d264253e48cf1de942b35125f4c614776153e0d10ed5cbae3977a24df9543a71065f0898d3e930a9bcb794e29a9e5b6f50b13b5cf3af71cab1315ba550dff2737155ed8d8be9e7dff4b7c9bee18db5e4638e2e5a3a7e66e633b46eb52f8dacbe61363a52bc9e4ea8ba3afd8d8112bb6e821d43936af33fbb6efe8e81dc0c8c6e90cc3a5504", 0x0, 0x6}, {&(0x7f00000001c0)="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", 0x0, 0x3}, {&(0x7f00000011c0)="23fe1047ed416f91e5276425f518723ad61ddb0934c1cf6e29dc7327cee8e2800c8153a48f3d125ac2a15f19c8057c45efb054ed79fcb5350b2128f5eaaef25e009d10608d1e9ac5b983b31a5ac53561bd0226fb007721b035ae2b4f9c014f6c5e8633db210bb0fbae25d62614832ca7a19f008c62525f6577eb5083b49ad3dcb5d38111f6f895c3d5f429f088dd9649db07946628a6c3ce8ba93ab41da3", 0x0, 0x8}, {&(0x7f0000001280)="5d19e8d24eafa2c561b45c1bb8faa5546bddd7396b65cbd6eb62118e226c4df45f5cffeaa518ddaaf815917d0df28bf774829ef08d38637917f0714099cb29f25f6047d6208446cf2270e6606291515a87a53b3b04ab4083", 0x0, 0xfffffffffffffee3}, {&(0x7f0000001300)="70a9dc9b11a72c3d978a82946bf8b9ad77c6119b802337781e35882785286db3e718b28f0b0cff038b5c0ff3c05090106848b58b03e527bde07afafa3021ba1bf785ef3f1a48cda442d362da06cd7c5565d59e42ee2220919d58b4ba2ceae11dde772f98e2d3216cf2f5cf8781e70a376a1206eb162d7417fa385fdcb3766a194d43465b6e65dd299569c408cfd96603fb1f9051b65fe7f3c15aa9a04d40859af0e5888b0109d5b848e8652ada20cc69f2f1c34cc6a303e8b5e9bb27ed8a11b4369ead9fc4808f00992d3759edf8230cb854da9cfe13f9793b12738d434944b6d2b1004e905c19cef207159fd2a624f41b45ca80", 0x0, 0x400}, {&(0x7f0000001400)="9e543cff6f7fdc5c7a9b0dd739c8d96b616c71efc78b6b28f46d790bcb760b431210dbff07e143ac68bf84dbef61b887b4137259fdee4cd2d7569b9d9f3b7a6018846f326b97b2d67c488e0ff88643d37655727941ff866aabe7f1a2531a501c755f627dc9fb935b605cf7ae7962e87cfc580af9519efd80c4542eee45995fc59441ccd8ca2bcff0937264c74f26d9d868ab753b75383109", 0x0, 0xa2}, {&(0x7f00000014c0)="9f2087af3aeb16ecc1a4f6e756a9176ef0bb481b35248d5076333e7cc392358a1c7a8ec817d8d0f34ba587b6761e794cef89a086216139975d44d41a3f2d5283bbf800e1456279945cd481cf789c330718cf5f0c661df2937f4ca7e0aaf6c2740b8962cae890e159593e", 0x0, 0x9}, {&(0x7f0000001540)="8da7643b55c7bf8c292f4486bd38c760561607a536643e85ea86fd576d7fc5b10068376b5a5a9cd9ff1da7195317e8fbe992de43bd6f43beb7fd2ef195010553c073deab1b2905266a1dfe6f4088266bc51c56dc112e96e97e7b25e88648d522d6288f657bb692d97b2d37a0e811e9af4023ace1aa0a", 0x0, 0x318}, {&(0x7f00000015c0)="aa158e562fb5185052dfc14957fb9283a2fa2ab55379d60406b0297fd01fa4bf04f525f1280d377e5efdaaa28393f98bce3277b317f7afbd68290e03456e40c2868d95b32e05450a46dde7932994e3653ccce6e18fc617c1f2ad98230e07b887b6189133fbc161881e2f2a70c5dcb9a208b5e7986726c84bc7340d56428fc95680e80617ae34e5410f2845ec9a96582aac2b5504ffd657bf2556679e74b55bd319aaf0a4a3", 0x0, 0x7fff}]) 12:48:55 executing program 3: syz_read_part_table(0x0, 0xaaaaaaaaaaaaa5e, &(0x7f0000001680)=[{&(0x7f0000000000)="3530f423fb80e87444ee443122073e3b530249e00bc04cf6d8f79230bcb7f36df8c98ff9dea89ac3cd0231627387f530011461caf9485d8278d7ee2f5bb9644e28d965564ee09c8cd88152019dec14f55e9a142190383e9997c2066f3ab77b0f6b8f6ebe78b32bba9c4403b0576c516636a9ce3484035418e3740df1719efd78070591e58b416ce833bd1b0d", 0x0, 0x1}, {&(0x7f00000000c0)="c0078f6bbe1c11cf1f24ce8c2b869a8b0cf67febc4d8cc223bd8c35f2bef586bd0ff3aa3f35d3023cdacb943b6af616321e84deb1caf3c6802d5ba177e9e96aae69eb6263ee3b36718d264253e48cf1de942b35125f4c614776153e0d10ed5cbae3977a24df9543a71065f0898d3e930a9bcb794e29a9e5b6f50b13b5cf3af71cab1315ba550dff2737155ed8d8be9e7dff4b7c9bee18db5e4638e2e5a3a7e66e633b46eb52f8dacbe61363a52bc9e4ea8ba3afd8d8112bb6e821d43936af33fbb6efe8e81dc0c8c6e90cc3a5504", 0x100000000000000, 0x6}, {&(0x7f00000001c0)="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", 0x0, 0x3}, {&(0x7f00000011c0)="23fe1047ed416f91e5276425f518723ad61ddb0934c1cf6e29dc7327cee8e2800c8153a48f3d125ac2a15f19c8057c45efb054ed79fcb5350b2128f5eaaef25e009d10608d1e9ac5b983b31a5ac53561bd0226fb007721b035ae2b4f9c014f6c5e8633db210bb0fbae25d62614832ca7a19f008c62525f6577eb5083b49ad3dcb5d38111f6f895c3d5f429f088dd9649db07946628a6c3ce8ba93ab41da3", 0x0, 0x8}, {&(0x7f0000001280)="5d19e8d24eafa2c561b45c1bb8faa5546bddd7396b65cbd6eb62118e226c4df45f5cffeaa518ddaaf815917d0df28bf774829ef08d38637917f0714099cb29f25f6047d6208446cf2270e6606291515a87a53b3b04ab4083", 0x0, 0xfffffffffffffee3}, {&(0x7f0000001300)="70a9dc9b11a72c3d978a82946bf8b9ad77c6119b802337781e35882785286db3e718b28f0b0cff038b5c0ff3c05090106848b58b03e527bde07afafa3021ba1bf785ef3f1a48cda442d362da06cd7c5565d59e42ee2220919d58b4ba2ceae11dde772f98e2d3216cf2f5cf8781e70a376a1206eb162d7417fa385fdcb3766a194d43465b6e65dd299569c408cfd96603fb1f9051b65fe7f3c15aa9a04d40859af0e5888b0109d5b848e8652ada20cc69f2f1c34cc6a303e8b5e9bb27ed8a11b4369ead9fc4808f00992d3759edf8230cb854da9cfe13f9793b12738d434944b6d2b1004e905c19cef207159fd2a624f41b45ca80", 0x0, 0x400}, {&(0x7f0000001400)="9e543cff6f7fdc5c7a9b0dd739c8d96b616c71efc78b6b28f46d790bcb760b431210dbff07e143ac68bf84dbef61b887b4137259fdee4cd2d7569b9d9f3b7a6018846f326b97b2d67c488e0ff88643d37655727941ff866aabe7f1a2531a501c755f627dc9fb935b605cf7ae7962e87cfc580af9519efd80c4542eee45995fc59441ccd8ca2bcff0937264c74f26d9d868ab753b75383109", 0x0, 0xa2}, {&(0x7f00000014c0)="9f2087af3aeb16ecc1a4f6e756a9176ef0bb481b35248d5076333e7cc392358a1c7a8ec817d8d0f34ba587b6761e794cef89a086216139975d44d41a3f2d5283bbf800e1456279945cd481cf789c330718cf5f0c661df2937f4ca7e0aaf6c2740b8962cae890e159593e", 0x0, 0x9}, {&(0x7f0000001540)="8da7643b55c7bf8c292f4486bd38c760561607a536643e85ea86fd576d7fc5b10068376b5a5a9cd9ff1da7195317e8fbe992de43bd6f43beb7fd2ef195010553c073deab1b2905266a1dfe6f4088266bc51c56dc112e96e97e7b25e88648d522d6288f657bb692d97b2d37a0e811e9af4023ace1aa0a", 0x0, 0x318}, {&(0x7f00000015c0)="aa158e562fb5185052dfc14957fb9283a2fa2ab55379d60406b0297fd01fa4bf04f525f1280d377e5efdaaa28393f98bce3277b317f7afbd68290e03456e40c2868d95b32e05450a46dde7932994e3653ccce6e18fc617c1f2ad98230e07b887b6189133fbc161881e2f2a70c5dcb9a208b5e7986726c84bc7340d56428fc95680e80617ae34e5410f2845ec9a96582aac2b5504ffd657bf2556679e74b55bd319aaf0a4a3", 0x0, 0x7fff}]) 12:48:55 executing program 1: io_uring_setup(0x53df, &(0x7f00000001c0)={0x0, 0x0, 0x2}) 12:48:55 executing program 0: r0 = syz_io_uring_setup(0x1540, &(0x7f00000026c0)={0x0, 0x0, 0x40}, &(0x7f0000ffc000/0x2000)=nil, &(0x7f0000ffd000/0x2000)=nil, &(0x7f0000002740), &(0x7f0000002780)) io_uring_register$IORING_REGISTER_RESTRICTIONS(r0, 0xb, &(0x7f00000027c0), 0x0) 12:48:55 executing program 4: r0 = creat(&(0x7f0000000040)='./file0\x00', 0x0) r1 = openat$dir(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0x147100, 0x0) write$FUSE_POLL(r0, &(0x7f0000000140)={0x18}, 0x18) readv(r1, &(0x7f00000000c0)=[{&(0x7f0000001180)=""/4096, 0x1000}], 0x1) write$FUSE_STATFS(r0, &(0x7f0000000180)={0x60}, 0x60) [ 275.732524][ T4147] loop5: detected capacity change from 0 to 2055 [ 275.792540][ T4147] NILFS (loop5): invalid segment: Sequence number mismatch [ 275.800246][ T4147] NILFS (loop5): trying rollback from an earlier position [ 275.964259][ T4147] NILFS (loop5): recovery complete [ 276.057391][ T24] audit: type=1800 audit(1668689336.111:9): pid=4152 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.5" name="bus" dev="sda1" ino=1171 res=0 errno=0 [ 276.095425][ T4153] NILFS (loop5): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds [ 276.231567][ T24] audit: type=1804 audit(1668689336.281:10): pid=4158 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=open_writers comm="syz-executor.4" name="/root/syzkaller-testdir1981016299/syzkaller.S7LUoC/34/file0" dev="sda1" ino=1183 res=1 errno=0 [ 276.265196][ T24] audit: type=1800 audit(1668689336.291:11): pid=4158 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=collect_data cause=failed(directio) comm="syz-executor.4" name="file0" dev="sda1" ino=1183 res=0 errno=0 12:48:56 executing program 0: r0 = openat$apparmor_thread_exec(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) write$apparmor_exec(r0, &(0x7f0000000080)={'stack ', './binderfs/binder0\x00'}, 0x19) 12:48:56 executing program 1: mknodat$loop(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x6000, 0x1) r0 = creat(&(0x7f0000000040)='./file0\x00', 0x0) ioctl$AUTOFS_IOC_EXPIRE(r0, 0x4c00, 0x0) 12:48:56 executing program 5: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x100f00, 0x3b, &(0x7f0000000200)=[{&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x6c}, {&(0x7f0000010000)="02000000000034340a010000f498e1688773ba85000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000100c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000010000000100000001000000000000000000000000000000060000000000000001000000000000000400000004000000020000000000000000000000000000000300000000000000010000000000000004000000000000000200000000000000050000000000000003000000000000000400000000000000010000000000000001000000010000000600000000000000000000000000000005000000000000000100000000000000010000000100000007000000000000000000000000000000030000000000000001000000000000000300000003000000000000000000000001000000000000000200000000000000", 0x140, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2080}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000010800)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f00000007c0)="d102c1e890010000781f1f630000000003000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000700"/352, 0x160, 0x3c00}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16aae7c8b63c7c0811faaf1e400000000200000000000000781f1f630000000030000000000000001000000006000000880100000000000002000000000000001000000000000000020000000000000001000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000060000000600000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200"/416, 0x1a0, 0x8000}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000011f60)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9800}, {&(0x7f0000011fa0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9880}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0xa000}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f0000000980)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000012a40)="0300"/32, 0x20, 0xaf00}, {&(0x7f0000012a60)="0100"/32, 0x20, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000012d80)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000000dc0)="5a83286cef02cd2211faaf1e400006000300000000b4b42800000000000095b8a61b000000781f1f63000000004000000000000000050000000100000078000000000004000000000000000000030000000000000000400000000000000300000002000000c200000000000000e2000000000000000000000000000000000000000000000062ac6929fe026c2142fd1e73a5d90725eebe20bb6ac624f0780c2f85bcc65dccd5e0e3c1f971c9019e6da4d88be08ea116c6d6338a00c7a4ce56a0e5b605b31fc171782cf29780ff0aff583658a1e677b4350f6ac9d48dcc2fa3de", 0xe0, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcbfd}, {&(0x7f0000000040)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000013100)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f00000131c0), 0x1) sync() sync() splice(0xffffffffffffffff, 0x0, 0xffffffffffffffff, 0x0, 0x0, 0x0) 12:48:56 executing program 4: openat$dlm_control(0xffffffffffffff9c, &(0x7f0000000080), 0x109043, 0x0) 12:48:56 executing program 3: mknod(&(0x7f0000000000)='./file0\x00', 0x0, 0x0) statx(0xffffffffffffff9c, &(0x7f0000000180)='./file0\x00', 0x0, 0x800, &(0x7f00000001c0)) 12:48:56 executing program 2: r0 = openat$binder_debug(0xffffffffffffff9c, &(0x7f0000000180)='/sys/kernel/debug/binder/transaction_log\x00', 0x0, 0x0) writev(r0, 0x0, 0x0) [ 276.746911][ T24] audit: type=1400 audit(1668689336.801:12): apparmor="DENIED" operation="stack_onexec" info="label not found" error=-2 profile="unconfined" name="./binderfs/binder0" pid=4159 comm="syz-executor.0" 12:48:56 executing program 0: mknodat$loop(0xffffffffffffff9c, &(0x7f0000000100)='./file0\x00', 0x2000, 0x1) creat(&(0x7f00000012c0)='./file0\x00', 0x0) 12:48:57 executing program 1: mknodat$loop(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x6000, 0x1) r0 = creat(&(0x7f0000000040)='./file0\x00', 0x0) ioctl$AUTOFS_IOC_EXPIRE(r0, 0xc0101282, 0x0) [ 277.045308][ T4166] loop5: detected capacity change from 0 to 2055 12:48:57 executing program 2: mkdir(&(0x7f0000000300)='./bus\x00', 0x0) syz_mount_image$squashfs(&(0x7f00000002c0), &(0x7f0000000100)='./file0\x00', 0x8100000, 0x1, &(0x7f0000000200)=[{&(0x7f0000001b80)="6873717307000000911d675f001000000000000001000c00d00002000400000026010000000000000602000000000000b501000000000000ee0100000000000099000000000000003e010000000000008501000000000000a30100000000000078da2baeacca4eccc9492d2aa61d030085492b1f78da2baeacca4eccc9492d2a1e658c324619a30c1803005565c4a273797a6b616c6c657273a30078da636278cbc8c0c0c83051363d1ec8604800e2ff4000a41852805804889990d43003f925486aa4581818a4813433c37fb81aa01003c82c3520d62fc92dd02faeacd2cdcc4d4c4f4d4fcd3331b434373733b634d24fcbcc493580908c48363031400088d604627620e644926705f2fba16ab81850012392cba0f28cc87ad9806233a1721acaa87a9990f4229b0131f700dc0c76a818282442807ee400d2008b6a3509450078da63648000662056016226061686b4cc9c54030f0646a0208463c80255c508a5991838c0127ac9f939296d40614698b6654006cc0cc3470cac708e1132c71800421f11fb1c0078da636080803628ad02a53da0f43228fd084aab3142680046df02a2850100000000000008805cf90100535f0100ab010000000000001d0078da63606063a8482c29293264636080b21860624670312300b5bc09ab108000000000000000000200000024000000bd010000000000000100000000000000dc01", 0x200}], 0x0, &(0x7f00000000c0)=ANY=[], 0x0) mkdir(&(0x7f0000000240)='./file1\x00', 0x0) mount$overlay(0x0, &(0x7f00000000c0)='./bus\x00', &(0x7f0000000080), 0x0, &(0x7f0000000480)={[{@workdir={'workdir', 0x3d, './bus'}}, {@lowerdir={'lowerdir', 0x3d, './file0'}}, {@upperdir={'upperdir', 0x3d, './file1'}}]}) rmdir(&(0x7f0000000040)='./file1\x00') chdir(&(0x7f00000001c0)='./bus\x00') creat(&(0x7f0000000000)='./file1\x00', 0x0) [ 277.154591][ T4166] NILFS (loop5): invalid segment: Sequence number mismatch [ 277.162171][ T4166] NILFS (loop5): trying rollback from an earlier position 12:48:57 executing program 4: r0 = openat$cuse(0xffffffffffffff9c, &(0x7f0000000000), 0x2, 0x0) writev(r0, &(0x7f00000012c0)=[{&(0x7f0000000100)="ef174432fee515ea71c8a9e747f98d", 0xf}, {&(0x7f00000001c0)="cc", 0x1}], 0x2) 12:48:57 executing program 3: openat$tun(0xffffffffffffff9c, &(0x7f0000000680), 0x0, 0x0) pselect6(0x40, &(0x7f0000000300), &(0x7f0000000340)={0x8}, 0x0, 0x0, 0x0) 12:48:57 executing program 0: r0 = creat(&(0x7f0000000040)='./file0\x00', 0x0) write$FUSE_INTERRUPT(r0, &(0x7f0000000080)={0xa}, 0x10) utime(&(0x7f00000000c0)='./file0\x00', &(0x7f0000000100)) write$FUSE_GETXATTR(r0, &(0x7f0000002100)={0x18}, 0x18) [ 277.407233][ T4166] NILFS (loop5): recovery complete [ 277.455007][ T4178] NILFS (loop5): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 12:48:57 executing program 1: mknodat$loop(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x6000, 0x1) r0 = creat(&(0x7f0000000040)='./file0\x00', 0x0) ioctl$AUTOFS_IOC_EXPIRE(r0, 0x541b, 0x0) [ 277.657682][ T4181] loop2: detected capacity change from 0 to 264192 12:48:57 executing program 5: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x100f00, 0x3b, &(0x7f0000000200)=[{&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x6c}, {&(0x7f0000010000)="02000000000034340a010000f498e1688773ba85000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000100c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000010000000100000001000000000000000000000000000000060000000000000001000000000000000400000004000000020000000000000000000000000000000300000000000000010000000000000004000000000000000200000000000000050000000000000003000000000000000400000000000000010000000000000001000000010000000600000000000000000000000000000005000000000000000100000000000000010000000100000007000000000000000000000000000000030000000000000001000000000000000300000003000000000000000000000001000000000000000200000000000000", 0x140, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2080}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000010800)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f00000007c0)="d102c1e890010000781f1f630000000003000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000700"/352, 0x160, 0x3c00}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16aae7c8b63c7c0811faaf1e400000000200000000000000781f1f630000000030000000000000001000000006000000880100000000000002000000000000001000000000000000020000000000000001000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000060000000600000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200"/416, 0x1a0, 0x8000}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000011f60)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9800}, {&(0x7f0000011fa0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9880}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0xa000}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f0000000980)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000012a40)="0300"/32, 0x20, 0xaf00}, {&(0x7f0000012a60)="0100"/32, 0x20, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000012d80)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000000dc0)="5a83286cef02cd2211faaf1e400006000300000000b4b42800000000000095b8a61b000000781f1f63000000004000000000000000050000000100000078000000000004000000000000000000030000000000000000400000000000000300000002000000c200000000000000e2000000000000000000000000000000000000000000000062ac6929fe026c2142fd1e73a5d90725eebe20bb6ac624f0780c2f85bcc65dccd5e0e3c1f971c9019e6da4d88be08ea116c6d6338a00c7a4ce56a0e5b605b31fc171782cf29780ff0aff583658a1e677b4350f6ac9d48dcc2fa3de", 0xe0, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcbfd}, {&(0x7f0000000040)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000013100)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f00000131c0), 0x1) sync() sync() 12:48:57 executing program 4: mknod(&(0x7f0000000000)='./file0\x00', 0x0, 0x0) statx(0xffffffffffffff9c, &(0x7f0000000180)='./file0\x00', 0x0, 0x0, 0x0) 12:48:57 executing program 3: syz_read_part_table(0x0, 0x2, &(0x7f0000000040)=[{&(0x7f0000000600)="283aeaec66f20bc2b24855a9262306bc2e39db9cc80b404b29c38e11ed5d5676a1a3d9f9a8fa67b5a7557c33852d065b042c58ba0e31b5a3f7cc002d8e86b1c2fc01b6e6fdfa43ec93cbd8a18581e79107801ce739bc65b90f419147881c72d81496760bcec48c972a0d246b204438d1e6031434e452d1efe39496869bd0f715da2b0ba1dceab8671094214ad7993375e185588fc3358c0bced5700ccbbf17f87a8aaaa5d96262a05940f1ab07e994fb33bb5b978fb9f5ad8c5222cc8afc22e64c817667e40543dec6783475ca35ab8ebc90f2d4b49e0968b2a81e95d0dd70ce3fced313a72d355c8dfa2eca9ccfb6b087792a7d14d12bd2b276bba2265cf3fdff70d9d1f713fee28764f43157d8b2615fc133733cb1bda16d4f04a6edbb4f4e5c216ab0e8b09e85ed3a9f8c62111f971560dbd48710d5f24c7238fadccab5127fbd92ccd410bfa9ea40f1b29f9a3abf67c6378466d70c3a59ca97de55ac3e638f7b5699ac0fb2852e0f564394a59dc93b6239f376615398ebe8466700000000826fd59ec7a639062b719ff5cdcc769159f5130f5c0219715b6fb40c01288cc29b2181b290e5fb49d260f74f6b9d0b506f26ecd5471f3e7f47f90f0a4fe88d600437bd3fd2683be3178d799831ec414660fde0710981a1473a03cf3a175bc6863698fd37aa97855fefed7dc1a9f695558acd969ff0dbb4c947f9c7ddde782268", 0x200}, {&(0x7f0000000280)="60f2e8608dc2704eb32e0028696831536064d2158ffa195370726b798c7f949f9531fcd104e7e3d35e05574f29f5240a422463a80e5d2f95021d8872f778dd51fa6452a103e352ecd5fcba178d25d3b4355ede40a459885b989492955cf7d966d6f404f6d55ad6e8744cffa319b85aac0de8d855e9cd055267ad923652c5e3062b39f10bb509b43a4b915bb2e85bf8f4c79a958cb38b948b8d24b164f2774f1feb1e26dc65bd0c04a08a8cfcae379d79965aefb5721dcfa4c13d1855522ee7802f270d697c1b3c8854462d0600000000000000210600cc6fb8eed813117665ddbfb330524be292bb24816a7ed4fba0232c304a13aa55f74d1691fa7cedae33311b7e63a5df61f984adaa7e6cacc73ddf6b4d41ac9854954d38745220fc41b5719df077cfc487718bab4c6cc86ce1cb905caa53503371fa9cc33cc78dc2496240f6fc0325eaf27b4dcf392b2ea9d1490f7b54b724238d1107652e4eb1000abed263c5bdde09fba84c470607517b6c6c6fedd29b4cc31cf05ba873ca87c861d6f35295656ad85cece03daae6803aeddcf5b97098f3b0277b4fc951f4c84e031b8a622fb0f33d1fe8e69951b5c87539244c55db869a66fa3c4a3392e01e44e6d3b815a6664b9e8d588e52bf353b9f2603a08d840bf61643a67091d70d", 0x1db, 0x4}]) 12:48:58 executing program 2: r0 = openat$rdma_cm(0xffffffffffffff9c, &(0x7f0000000040), 0x2, 0x0) write$RDMA_USER_CM_CMD_SET_OPTION(r0, &(0x7f0000000080)={0xe, 0x18, 0xfa00, @id_tos={0x0}}, 0x20) 12:48:58 executing program 0: r0 = creat(&(0x7f0000000040)='./file0\x00', 0x0) write$FUSE_INTERRUPT(r0, &(0x7f0000000080)={0xa}, 0x10) utime(&(0x7f00000000c0)='./file0\x00', &(0x7f0000000100)) write$FUSE_GETXATTR(r0, &(0x7f0000002100)={0x18}, 0x18) 12:48:58 executing program 1: r0 = openat$dlm_control(0xffffffffffffff9c, &(0x7f0000000080), 0x0, 0x0) readv(r0, &(0x7f0000001580)=[{&(0x7f0000000200)=""/234, 0xea}], 0x1) [ 278.276455][ T4193] loop3: detected capacity change from 0 to 1 12:48:58 executing program 4: r0 = syz_io_uring_setup(0x1540, &(0x7f00000026c0)={0x0, 0x0, 0x40}, &(0x7f0000ffc000/0x2000)=nil, &(0x7f0000ffd000/0x2000)=nil, &(0x7f0000002740), &(0x7f0000002780)) io_uring_register$IORING_REGISTER_RESTRICTIONS(r0, 0xb, 0x0, 0x0) [ 278.428171][ T4193] Dev loop3: unable to read RDB block 1 [ 278.434247][ T4193] loop3: unable to read partition table [ 278.464304][ T4197] loop5: detected capacity change from 0 to 2055 [ 278.482594][ T4193] loop3: partition table beyond EOD, truncated [ 278.489060][ T4193] loop_reread_partitions: partition scan of loop3 () failed (rc=-5) 12:48:58 executing program 0: mknod(&(0x7f0000000000)='./file0\x00', 0x0, 0x0) mount$fuseblk(&(0x7f0000000080), &(0x7f0000000100)='./file0\x00', 0x0, 0x1002, &(0x7f0000000200)={{}, 0x2c, {}, 0x2c, {}, 0x2c, {'group_id', 0x3d, 0xee00}}) [ 278.557750][ T4201] dlm: non-version read from control device 234 [ 278.568677][ T4197] NILFS (loop5): invalid segment: Sequence number mismatch [ 278.576506][ T4197] NILFS (loop5): trying rollback from an earlier position 12:48:58 executing program 1: openat$cuse(0xffffffffffffff9c, &(0x7f0000002680), 0x2, 0x0) 12:48:58 executing program 3: mknod(&(0x7f0000000000)='./file0\x00', 0x0, 0x0) mount$cgroup(0x0, &(0x7f0000000040)='./file0\x00', 0x0, 0x37f1d96fa53ddc79, &(0x7f0000000180)) [ 278.762599][ T4197] NILFS (loop5): recovery complete 12:48:58 executing program 2: setreuid(0xee01, 0xee01) socket$packet(0x11, 0x0, 0x300) [ 278.858923][ T4205] NILFS (loop5): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 12:48:59 executing program 5: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x100f00, 0x3b, &(0x7f0000000200)=[{&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x6c}, {&(0x7f0000010000)="02000000000034340a010000f498e1688773ba85000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000100c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000010000000100000001000000000000000000000000000000060000000000000001000000000000000400000004000000020000000000000000000000000000000300000000000000010000000000000004000000000000000200000000000000050000000000000003000000000000000400000000000000010000000000000001000000010000000600000000000000000000000000000005000000000000000100000000000000010000000100000007000000000000000000000000000000030000000000000001000000000000000300000003000000000000000000000001000000000000000200000000000000", 0x140, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2080}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000010800)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f00000007c0)="d102c1e890010000781f1f630000000003000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000700"/352, 0x160, 0x3c00}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16aae7c8b63c7c0811faaf1e400000000200000000000000781f1f630000000030000000000000001000000006000000880100000000000002000000000000001000000000000000020000000000000001000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000060000000600000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200"/416, 0x1a0, 0x8000}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000011f60)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9800}, {&(0x7f0000011fa0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9880}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0xa000}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f0000000980)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000012a40)="0300"/32, 0x20, 0xaf00}, {&(0x7f0000012a60)="0100"/32, 0x20, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000012d80)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000000dc0)="5a83286cef02cd2211faaf1e400006000300000000b4b42800000000000095b8a61b000000781f1f63000000004000000000000000050000000100000078000000000004000000000000000000030000000000000000400000000000000300000002000000c200000000000000e2000000000000000000000000000000000000000000000062ac6929fe026c2142fd1e73a5d90725eebe20bb6ac624f0780c2f85bcc65dccd5e0e3c1f971c9019e6da4d88be08ea116c6d6338a00c7a4ce56a0e5b605b31fc171782cf29780ff0aff583658a1e677b4350f6ac9d48dcc2fa3de", 0xe0, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcbfd}, {&(0x7f0000000040)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000013100)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f00000131c0), 0x1) sync() 12:48:59 executing program 0: open$dir(&(0x7f0000000180)='./file0\x00', 0x40, 0x2c) 12:48:59 executing program 1: r0 = getpgid(0x0) r1 = getpgid(0x0) rt_tgsigqueueinfo(r1, r0, 0x0, 0x0) 12:48:59 executing program 3: open$dir(&(0x7f0000000180)='./file0\x00', 0x553702, 0x0) 12:48:59 executing program 4: open$dir(&(0x7f0000000180)='./file0\x00', 0x40, 0x0) statx(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x0, 0x0, &(0x7f00000001c0)) 12:48:59 executing program 2: r0 = socket$inet_tcp(0x2, 0x1, 0x0) setsockopt$inet_tcp_TCP_REPAIR(r0, 0x6, 0x13, 0x0, 0x3a) [ 279.457760][ T1205] ieee802154 phy0 wpan0: encryption failed: -22 [ 279.464765][ T1205] ieee802154 phy1 wpan1: encryption failed: -22 12:48:59 executing program 0: r0 = getpgid(0x0) r1 = getpgid(0x0) rt_tgsigqueueinfo(r1, r0, 0x0, &(0x7f0000000000)={0x0, 0x0, 0xf7fffffb}) [ 279.678522][ T4224] loop5: detected capacity change from 0 to 2055 12:48:59 executing program 1: r0 = socket$inet6_tcp(0xa, 0x1, 0x0) connect$inet6(r0, &(0x7f0000000040)={0xa, 0x0, 0x0, @private0}, 0x1c) 12:48:59 executing program 3: open$dir(&(0x7f0000000180)='./file0\x00', 0x40, 0x0) open$dir(&(0x7f0000000000)='./file0\x00', 0x100, 0x0) [ 279.806363][ T4224] NILFS (loop5): invalid segment: Sequence number mismatch [ 279.814066][ T4224] NILFS (loop5): trying rollback from an earlier position 12:48:59 executing program 4: r0 = socket$inet_tcp(0x2, 0x1, 0x0) getsockopt$inet_tcp_int(r0, 0x6, 0x6, &(0x7f0000000180), &(0x7f00000001c0)=0x4) 12:48:59 executing program 2: r0 = socket$inet6_tcp(0xa, 0x1, 0x0) getsockopt$inet6_tcp_int(r0, 0x6, 0x3, &(0x7f0000000300), &(0x7f0000000340)=0x4) [ 279.963066][ T4224] NILFS (loop5): recovery complete [ 280.000319][ T4229] NILFS (loop5): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 12:49:00 executing program 5: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x100f00, 0x3b, &(0x7f0000000200)=[{&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x6c}, {&(0x7f0000010000)="02000000000034340a010000f498e1688773ba85000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000100c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000010000000100000001000000000000000000000000000000060000000000000001000000000000000400000004000000020000000000000000000000000000000300000000000000010000000000000004000000000000000200000000000000050000000000000003000000000000000400000000000000010000000000000001000000010000000600000000000000000000000000000005000000000000000100000000000000010000000100000007000000000000000000000000000000030000000000000001000000000000000300000003000000000000000000000001000000000000000200000000000000", 0x140, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2080}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000010800)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f00000007c0)="d102c1e890010000781f1f630000000003000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000700"/352, 0x160, 0x3c00}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16aae7c8b63c7c0811faaf1e400000000200000000000000781f1f630000000030000000000000001000000006000000880100000000000002000000000000001000000000000000020000000000000001000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000060000000600000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200"/416, 0x1a0, 0x8000}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000011f60)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9800}, {&(0x7f0000011fa0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9880}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0xa000}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f0000000980)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000012a40)="0300"/32, 0x20, 0xaf00}, {&(0x7f0000012a60)="0100"/32, 0x20, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000012d80)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000000dc0)="5a83286cef02cd2211faaf1e400006000300000000b4b42800000000000095b8a61b000000781f1f63000000004000000000000000050000000100000078000000000004000000000000000000030000000000000000400000000000000300000002000000c200000000000000e2000000000000000000000000000000000000000000000062ac6929fe026c2142fd1e73a5d90725eebe20bb6ac624f0780c2f85bcc65dccd5e0e3c1f971c9019e6da4d88be08ea116c6d6338a00c7a4ce56a0e5b605b31fc171782cf29780ff0aff583658a1e677b4350f6ac9d48dcc2fa3de", 0xe0, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcbfd}, {&(0x7f0000000040)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000013100)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f00000131c0), 0x1) sync() 12:49:00 executing program 0: open$dir(&(0x7f0000000180)='./file0\x00', 0x40, 0x0) open$dir(&(0x7f0000000040)='./file0\x00', 0x200000, 0xc0) 12:49:00 executing program 3: r0 = socket$inet6_tcp(0xa, 0x1, 0x0) getsockopt$inet6_int(r0, 0x29, 0x19, &(0x7f0000000040), &(0x7f0000000080)=0x4) 12:49:00 executing program 1: r0 = socket$inet_tcp(0x2, 0x1, 0x0) setsockopt$inet_tcp_int(r0, 0x6, 0x6, &(0x7f0000000000)=0x5, 0x4) 12:49:00 executing program 4: open$dir(&(0x7f0000000180)='./file0\x00', 0x40, 0x0) open$dir(&(0x7f0000000000)='./file0\x00', 0x482, 0x6) 12:49:00 executing program 2: setreuid(0xee01, 0xee01) mount$9p_fd(0x0, 0x0, 0x0, 0x0, 0x0) [ 280.664723][ T4241] loop5: detected capacity change from 0 to 2055 [ 280.736047][ T4241] NILFS (loop5): invalid segment: Sequence number mismatch [ 280.743992][ T4241] NILFS (loop5): trying rollback from an earlier position 12:49:00 executing program 3: mlock(&(0x7f0000ffc000/0x3000)=nil, 0x3000) mremap(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x4000, 0x0, &(0x7f0000ffa000/0x4000)=nil) [ 280.873969][ T24] audit: type=1804 audit(1668689340.931:13): pid=4251 uid=0 auid=4294967295 ses=4294967295 subj=unconfined op=invalid_pcr cause=ToMToU comm="syz-executor.4" name="/root/syzkaller-testdir1981016299/syzkaller.S7LUoC/41/file0" dev="sda1" ino=1182 res=1 errno=0 12:49:01 executing program 2: r0 = socket$inet_tcp(0x2, 0x1, 0x0) getsockopt$inet_tcp_int(r0, 0x6, 0xa, &(0x7f0000000000), &(0x7f00000000c0)=0x4) [ 280.990198][ T4241] NILFS (loop5): recovery complete 12:49:01 executing program 0: pipe(&(0x7f0000000540)={0xffffffffffffffff, 0xffffffffffffffff}) setsockopt$inet6_tcp_TCP_ULP(r0, 0x6, 0x1f, 0x0, 0x0) 12:49:01 executing program 4: open$dir(&(0x7f0000000180)='./file0\x00', 0x40, 0x0) open$dir(&(0x7f0000000000)='./file0\x00', 0x8000, 0x4) 12:49:01 executing program 1: r0 = socket$inet6_tcp(0xa, 0x1, 0x0) setsockopt$inet6_MCAST_MSFILTER(r0, 0x29, 0x30, 0x0, 0xfffffffffffffe3d) [ 281.034140][ T4253] NILFS (loop5): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 12:49:01 executing program 5: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x100f00, 0x3b, &(0x7f0000000200)=[{&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x6c}, {&(0x7f0000010000)="02000000000034340a010000f498e1688773ba85000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000100c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000010000000100000001000000000000000000000000000000060000000000000001000000000000000400000004000000020000000000000000000000000000000300000000000000010000000000000004000000000000000200000000000000050000000000000003000000000000000400000000000000010000000000000001000000010000000600000000000000000000000000000005000000000000000100000000000000010000000100000007000000000000000000000000000000030000000000000001000000000000000300000003000000000000000000000001000000000000000200000000000000", 0x140, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2080}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000010800)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f00000007c0)="d102c1e890010000781f1f630000000003000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000700"/352, 0x160, 0x3c00}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16aae7c8b63c7c0811faaf1e400000000200000000000000781f1f630000000030000000000000001000000006000000880100000000000002000000000000001000000000000000020000000000000001000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000060000000600000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200"/416, 0x1a0, 0x8000}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000011f60)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9800}, {&(0x7f0000011fa0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9880}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0xa000}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f0000000980)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000012a40)="0300"/32, 0x20, 0xaf00}, {&(0x7f0000012a60)="0100"/32, 0x20, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000012d80)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000000dc0)="5a83286cef02cd2211faaf1e400006000300000000b4b42800000000000095b8a61b000000781f1f63000000004000000000000000050000000100000078000000000004000000000000000000030000000000000000400000000000000300000002000000c200000000000000e2000000000000000000000000000000000000000000000062ac6929fe026c2142fd1e73a5d90725eebe20bb6ac624f0780c2f85bcc65dccd5e0e3c1f971c9019e6da4d88be08ea116c6d6338a00c7a4ce56a0e5b605b31fc171782cf29780ff0aff583658a1e677b4350f6ac9d48dcc2fa3de", 0xe0, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcbfd}, {&(0x7f0000000040)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000013100)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f00000131c0), 0x1) sync() 12:49:01 executing program 3: open$dir(&(0x7f0000000180)='./file0\x00', 0x40, 0x0) r0 = inotify_init1(0x0) inotify_add_watch(r0, &(0x7f0000000040)='./file0\x00', 0x880) 12:49:01 executing program 0: shmget$private(0x0, 0x1000, 0x78000000, &(0x7f0000ffd000/0x1000)=nil) 12:49:01 executing program 2: open$dir(&(0x7f0000000180)='./file0\x00', 0x40, 0x0) open$dir(&(0x7f0000000040)='./file0\x00', 0x622c3, 0x0) 12:49:01 executing program 1: open$dir(&(0x7f0000000180)='./file0\x00', 0x8040, 0x0) 12:49:01 executing program 4: mount$9p_fd(0x0, &(0x7f0000000600)='./file0\x00', 0x0, 0x40000, 0x0) [ 281.816143][ T4265] loop5: detected capacity change from 0 to 2055 12:49:02 executing program 3: mbind(&(0x7f0000ffd000/0x2000)=nil, 0x2000, 0x0, &(0x7f0000000000)=0x8, 0x0, 0x0) [ 281.912389][ T4265] NILFS (loop5): invalid segment: Sequence number mismatch [ 281.920020][ T4265] NILFS (loop5): trying rollback from an earlier position [ 282.111535][ T4265] NILFS (loop5): recovery complete [ 282.145307][ T4275] NILFS (loop5): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 12:49:02 executing program 0: r0 = socket$inet6_tcp(0xa, 0x1, 0x0) getsockopt$inet6_tcp_buf(r0, 0x6, 0xd, &(0x7f0000000040)=""/43, &(0x7f0000000080)=0x2b) 12:49:02 executing program 2: openat$ptmx(0xffffffffffffff9c, &(0x7f0000000000), 0x4140, 0x0) 12:49:02 executing program 1: r0 = socket$inet_tcp(0x2, 0x1, 0x0) getsockopt$inet_tcp_int(r0, 0x6, 0x7, &(0x7f0000000100), &(0x7f0000000040)=0x4) 12:49:02 executing program 5: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x100f00, 0x3b, &(0x7f0000000200)=[{&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x6c}, {&(0x7f0000010000)="02000000000034340a010000f498e1688773ba85000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000100c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000010000000100000001000000000000000000000000000000060000000000000001000000000000000400000004000000020000000000000000000000000000000300000000000000010000000000000004000000000000000200000000000000050000000000000003000000000000000400000000000000010000000000000001000000010000000600000000000000000000000000000005000000000000000100000000000000010000000100000007000000000000000000000000000000030000000000000001000000000000000300000003000000000000000000000001000000000000000200000000000000", 0x140, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2080}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000010800)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f00000007c0)="d102c1e890010000781f1f630000000003000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000700"/352, 0x160, 0x3c00}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16aae7c8b63c7c0811faaf1e400000000200000000000000781f1f630000000030000000000000001000000006000000880100000000000002000000000000001000000000000000020000000000000001000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000060000000600000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200"/416, 0x1a0, 0x8000}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000011f60)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9800}, {&(0x7f0000011fa0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9880}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0xa000}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f0000000980)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000012a40)="0300"/32, 0x20, 0xaf00}, {&(0x7f0000012a60)="0100"/32, 0x20, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000012d80)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000000dc0)="5a83286cef02cd2211faaf1e400006000300000000b4b42800000000000095b8a61b000000781f1f63000000004000000000000000050000000100000078000000000004000000000000000000030000000000000000400000000000000300000002000000c200000000000000e2000000000000000000000000000000000000000000000062ac6929fe026c2142fd1e73a5d90725eebe20bb6ac624f0780c2f85bcc65dccd5e0e3c1f971c9019e6da4d88be08ea116c6d6338a00c7a4ce56a0e5b605b31fc171782cf29780ff0aff583658a1e677b4350f6ac9d48dcc2fa3de", 0xe0, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcbfd}, {&(0x7f0000000040)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000013100)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f00000131c0), 0x1) 12:49:02 executing program 4: open$dir(&(0x7f0000000180)='./file0\x00', 0x40, 0x0) stat(&(0x7f0000000000)='./file0\x00', &(0x7f0000000040)) 12:49:02 executing program 3: open$dir(&(0x7f0000000180)='./file0\x00', 0x40, 0x11c) 12:49:02 executing program 0: open$dir(&(0x7f0000000180)='./file0\x00', 0x40, 0x0) open$dir(&(0x7f0000000080)='./file0\x00', 0x8400, 0xe1) 12:49:02 executing program 2: r0 = socket$inet6(0xa, 0x2, 0x0) setsockopt$inet6_IPV6_IPSEC_POLICY(r0, 0x29, 0x22, 0x0, 0x4e) 12:49:03 executing program 1: r0 = socket$inet6_tcp(0xa, 0x1, 0x0) setsockopt$inet_tcp_TLS_TX(r0, 0x6, 0x1, 0x0, 0x0) [ 282.926743][ T4291] loop5: detected capacity change from 0 to 2055 12:49:03 executing program 4: open$dir(&(0x7f0000000180)='./file0\x00', 0x40, 0x0) open$dir(&(0x7f0000000040)='./file0\x00', 0x200001, 0xc0) [ 283.036176][ T4291] NILFS (loop5): invalid segment: Sequence number mismatch [ 283.044029][ T4291] NILFS (loop5): trying rollback from an earlier position 12:49:03 executing program 3: open$dir(&(0x7f0000000000)='./file0\x00', 0x240, 0x2e) [ 283.168978][ T4291] NILFS (loop5): recovery complete 12:49:03 executing program 0: openat$ptmx(0xffffffffffffff9c, &(0x7f0000000000), 0xa880, 0x0) [ 283.225770][ T4296] NILFS (loop5): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 12:49:03 executing program 5: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x100f00, 0x3b, &(0x7f0000000200)=[{&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x6c}, {&(0x7f0000010000)="02000000000034340a010000f498e1688773ba85000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000100c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000010000000100000001000000000000000000000000000000060000000000000001000000000000000400000004000000020000000000000000000000000000000300000000000000010000000000000004000000000000000200000000000000050000000000000003000000000000000400000000000000010000000000000001000000010000000600000000000000000000000000000005000000000000000100000000000000010000000100000007000000000000000000000000000000030000000000000001000000000000000300000003000000000000000000000001000000000000000200000000000000", 0x140, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2080}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000010800)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f00000007c0)="d102c1e890010000781f1f630000000003000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000700"/352, 0x160, 0x3c00}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16aae7c8b63c7c0811faaf1e400000000200000000000000781f1f630000000030000000000000001000000006000000880100000000000002000000000000001000000000000000020000000000000001000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000060000000600000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200"/416, 0x1a0, 0x8000}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000011f60)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9800}, {&(0x7f0000011fa0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9880}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0xa000}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f0000000980)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000012a40)="0300"/32, 0x20, 0xaf00}, {&(0x7f0000012a60)="0100"/32, 0x20, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000012d80)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000000dc0)="5a83286cef02cd2211faaf1e400006000300000000b4b42800000000000095b8a61b000000781f1f63000000004000000000000000050000000100000078000000000004000000000000000000030000000000000000400000000000000300000002000000c200000000000000e2000000000000000000000000000000000000000000000062ac6929fe026c2142fd1e73a5d90725eebe20bb6ac624f0780c2f85bcc65dccd5e0e3c1f971c9019e6da4d88be08ea116c6d6338a00c7a4ce56a0e5b605b31fc171782cf29780ff0aff583658a1e677b4350f6ac9d48dcc2fa3de", 0xe0, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcbfd}, {&(0x7f0000000040)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000013100)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f00000131c0), 0x1) 12:49:03 executing program 2: open$dir(&(0x7f0000000180)='./file0\x00', 0x103242, 0x0) 12:49:03 executing program 1: r0 = inotify_init() inotify_add_watch(r0, &(0x7f0000000000)='./file0\x00', 0x10002db) 12:49:03 executing program 4: open$dir(&(0x7f0000000180)='./file0\x00', 0x40, 0x0) r0 = inotify_init() inotify_add_watch(r0, &(0x7f0000000040)='./file0\x00', 0x4000e00) 12:49:03 executing program 3: pipe(&(0x7f0000000540)={0xffffffffffffffff}) ioctl$sock_inet6_tcp_SIOCOUTQNSD(r0, 0x894b, 0x0) 12:49:03 executing program 0: setreuid(0xee01, 0x0) getresuid(&(0x7f0000000000)=0x0, &(0x7f0000000040), &(0x7f0000000080)) setreuid(0xee00, r0) setreuid(0x0, 0x0) [ 283.887671][ T4311] loop5: detected capacity change from 0 to 2055 12:49:04 executing program 2: r0 = openat$null(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) bind$netlink(r0, 0x0, 0x0) [ 283.983208][ T4311] NILFS (loop5): invalid segment: Sequence number mismatch [ 283.990697][ T4311] NILFS (loop5): trying rollback from an earlier position 12:49:04 executing program 1: openat$full(0xffffffffffffff9c, &(0x7f0000000000), 0x10800, 0x0) [ 284.129307][ T4311] NILFS (loop5): recovery complete 12:49:04 executing program 3: openat$ptmx(0xffffffffffffff9c, &(0x7f00000001c0), 0x0, 0x0) syz_clone(0x0, &(0x7f0000000040), 0x0, 0x0, 0x0, 0x0) 12:49:04 executing program 4: r0 = openat$null(0xffffffffffffff9c, &(0x7f0000000100), 0x0, 0x0) mmap$IORING_OFF_SQ_RING(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x0, 0x12, r0, 0x0) [ 284.208793][ T4318] NILFS (loop5): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 12:49:04 executing program 0: r0 = openat$ptmx(0xffffffffffffff9c, &(0x7f00000001c0), 0x0, 0x0) ioctl$TIOCSWINSZ(r0, 0x5414, &(0x7f0000000180)) 12:49:04 executing program 5: syz_mount_image$nilfs2(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x100f00, 0x3b, &(0x7f0000000200)=[{&(0x7f0000011fe0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x6c}, {&(0x7f0000010000)="02000000000034340a010000f498e1688773ba85000000003f00000000000000000010000000000004000000000000001000000005000000010000000000000004000000000000000000000000000000e003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320000000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0x400}, {&(0x7f00000100c0)="42540e730fd3089711faaf1e400007000000000000000000781f1f630000000010000000000000000c000000050000004001000000000000010000000000000002000000000000000100000000000000010000000100000001000000000000000000000000000000060000000000000001000000000000000400000004000000020000000000000000000000000000000300000000000000010000000000000004000000000000000200000000000000050000000000000003000000000000000400000000000000010000000000000001000000010000000600000000000000000000000000000005000000000000000100000000000000010000000100000007000000000000000000000000000000030000000000000001000000000000000300000003000000000000000000000001000000000000000200000000000000", 0x140, 0x1000}, {&(0x7f0000010200)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000e00306012e6e696c667300"/64, 0x40, 0x1400}, {&(0x7f0000010240)="f41f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff0f00"/1056, 0x420, 0x1800}, {&(0x7f0000010660)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2080}, {&(0x7f00000106a0)="01000000000000000004000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000ed4102000000000000000000000000000100"/96, 0x60, 0x2100}, {&(0x7f0000010700)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2380}, {&(0x7f0000010740)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2400}, {&(0x7f0000010780)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2480}, {&(0x7f00000107c0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x2500}, {&(0x7f0000010800)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x2580}, {&(0x7f0000010840)="0100"/32, 0x20, 0x2800}, {&(0x7f0000010860)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000200000000000000", 0xe0, 0x28c0}, {&(0x7f0000010940)="0200000000000000000000000000000000000000000000000300000000000000", 0x20, 0x2a40}, {&(0x7f0000010960)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0x2b00}, {&(0x7f0000010980)="3d0000000000000002000000000000003e000000000000000000000000000000781f1f63000000000c0000000300000000000000000000000000000003000000", 0x40, 0x2c00}, {&(0x7f00000109c0)="f81f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ff00"/1056, 0x420, 0x3000}, {&(0x7f0000010de0)="05000000000000000100000000000000ffffffffffffffff000000000000000006000000000000000100000000000000ffffffffffffffff000000000000000007000000000000000100000000000000ffffffffffffffff000000000000000008000000000000000100000000000000ffffffffffffffff000000000000000009000000000000000100000000000000ffffffffffffffff00000000000000000a000000000000000100000000000000ffffffffffffffff00000000000000000b000000000000000100000000000000ffffffffffffffff0000000000000000", 0xe0, 0x3820}, {&(0x7f00000007c0)="d102c1e890010000781f1f630000000003000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000c000000000000000d000000000000000e000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000000700"/352, 0x160, 0x3c00}, {&(0x7f0000011020)="652d8fe95cab1d6a11faaf1e400001000100000000000000781f1f630000000020000000000000001000000006000000c00100000000000002000000000000000c000000000000000200000000000000010000000100000000100000000000000000000000000000020000000000000002000000000000000100000001000000120000000000000000000000000000000d000000000000000200000000000000020000000200000000140000000000000000000000000000011400000000000001000000000000000e0000000000000002000000000000000100000001000000001800000000000000000000000000000f0000000000000002000000000000000100000001000000001c000000000000000000000000000010000000000000000200000000000000090000000900000008000000000000000000000000000000090000000000000001000000000000000a0000000000000002000000000000000b0000000000000003000000000000000c0000000000000004000000000000000d0000000000000005000000000000000e0000000000000006000000000000001000000000000000070000000000000011000000000000000800000000000000", 0x1c0, 0x4000}, {&(0x7f00000111e0)="0c00000000000000100001022e0000000200000000000000100002022e2e00000d000000000000001800050166696c6530000000000000000e00000000000000c803050766696c653100"/96, 0x60, 0x4400}, {&(0x7f0000011240)="0200000000000000100001022e0000000200000000000000100002022e2e00000b00000000000000180006012e6e696c66730000000000000c000000000000001800050266696c6530000000000000000f000000000000001800050166696c65310000000000000010000000000000001800050166696c65320000000000000010000000000000001800050166696c65330000000000000011000000000000006803090166696c652e636f6c6400"/192, 0xc0, 0x4800}, {&(0x7f0000011300)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x4c00}, {&(0x7f0000011720)='/tmp/syz-imagegen3787079791/file0/file0\x00'/64, 0x40, 0x5400}, {&(0x7f0000011760)='syzkallers\x00'/32, 0x20, 0x5800}, {&(0x7f0000011780)="16aae7c8b63c7c0811faaf1e400000000200000000000000781f1f630000000030000000000000001000000006000000880100000000000002000000000000001000000000000000020000000000000001000000000000000f00000000000000110000000000000002000000000000000100000001000000000400000000000000000000000000000600000000000000020000000000000005000000050000001300000000000000000000000000000014000000000000000100000000000000150000000000000002000000000000001600000000000000030000000000000001180000000000000400000000000000040000000000000000000000000000000100000001000000170000000000000000000000000000000500000000000000000000000000000001000000010000001800000000000000000000000000000003000000000000000000000000000000060000000600000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200"/416, 0x1a0, 0x8000}, {&(0x7f0000011920)="00010900000000000000000000000000000000000000000001000000000000000200000000000000030000000000000004000000000000000500000000000000060000000000000007000000000000000800"/96, 0x60, 0x8400}, {&(0x7f0000011980)="0000000000000000080000000000000009000000000000000a000000000000000b000000000000000c000000000000000d000000000000000e0000000000000010000000000000001100"/96, 0x60, 0x8600}, {&(0x7f00000119e0)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8800}, {&(0x7f0000011a60)="ee1f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffff0300"/1056, 0x420, 0x8c00}, {&(0x7f0000011e80)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9480}, {&(0x7f0000011ec0)="01000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed4103000000000000000000000000001200"/96, 0x60, 0x9500}, {&(0x7f0000011f20)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9780}, {&(0x7f0000011f60)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9800}, {&(0x7f0000011fa0)="00000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100"/64, 0x40, 0x9880}, {&(0x7f0000012020)="00000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000a4810100"/64, 0x40, 0x9980}, {&(0x7f0000012060)="02000000000000000004000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed410200000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080bd9f690000000004000000000000001a04000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001400000000000001140000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000081bd9f690000000002000000000000002700000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000eda10100000000000000000000000000001800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000082bd9f690000000002000000000000000a00000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000001c00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000083bd9f690000000014000000000000002823000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810200000000000102010000000000000000000000000009000000000000000a000000000000000f000000000000000c000000000000000d00000000000000000000000000000084bd9f690000000002000000000000006400000000000000781f1f6300000000781f1f630000000092ddcb2992ddcb290000000000000000ed810100000000000000000000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000085bd9f6900000000", 0x300, 0x9a00}, {&(0x7f0000012360)="0200"/32, 0x20, 0xa000}, {&(0x7f0000012380)="0000000000000000000000000000000000000000000000000100000000000000781f1f63000000000c000000000000000200000000000000050000000000000004000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000020000000000000003000000000000000400000000000000050000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000781f1f630000000035000000000000000800000000000000160000000000000006000000000000000000000000000000781f1f6300000000781f1f63000000000000000000000000000000000000000000800100000000000000000000000000130000000000000014000000000000001500000000000000160000000000000001180000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000300000000000000", 0x1a0, 0xa0c0}, {&(0x7f0000012520)="0200000000000000000000000000000000000000000000000400000000000000", 0x20, 0xa300}, {&(0x7f0000012540)="3a00000000000000050000000000000004000000000000000000000000000000781f1f63000000000c00000003000000781f1f63000000001000000003000000781f1f63000000001000000002000000781f1f630000000005000000020000000000000000000000000000000200"/128, 0x80, 0xa400}, {&(0x7f0000000980)="e01f0000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000ffffff0100"/1056, 0x420, 0xa800}, {&(0x7f00000129e0)="0100"/32, 0x20, 0xac80}, {&(0x7f0000012a00)="0100"/32, 0x20, 0xae00}, {&(0x7f0000012a20)="0300"/32, 0x20, 0xae80}, {&(0x7f0000012a40)="0300"/32, 0x20, 0xaf00}, {&(0x7f0000012a60)="0100"/32, 0x20, 0xaf80}, {&(0x7f0000012a80)="050000000000000001000000000000000200000000000000000000000000000006000000000000000100000000000000020000000000000000000000000000000700000000000000010000000000000002000000000000000000000000000000080000000000000001000000000000000200000000000000000000000000000009000000000000000100000000000000020000000000000000000000000000000a000000000000000100000000000000010000000000000000000000000000000b0000000000000001000000000000000100000000000000000000000000000017000000000000000200000000000000ffffffffffffffff000000000000000018000000000000000200000000000000ffffffffffffffff000000000000000019000000000000000200000000000000ffffffffffffffff00000000000000001a000000000000000200000000000000ffffffffffffffff00000000000000001b000000000000000200000000000000ffffffffffffffff00000000000000001c000000000000000200000000000000ffffffffffffffff00000000000000001d000000000000000200000000000000ffffffffffffffff000000000000000021000000000000000200000000000000ffffffffffffffff00000000000000001e000000000000000200000000000000ffffffffffffffff00000000000000001f000000000000000200000000000000ffffffffffffffff000000000000000012000000000000000200000000000000ffffffffffffffff000000000000000023000000000000000200000000000000ffffffffffffffff000000000000000024000000000000000200000000000000ffffffffffffffff000000000000000025000000000000000200000000000000ffffffffffffffff000000000000000026000000000000000200000000000000ffffffffffffffff000000000000000028000000000000000200000000000000ffffffffffffffff000000000000000029000000000000000200000000000000ffffffffffffffff0000000000000000", 0x300, 0xb020}, {&(0x7f0000012d80)="22000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb400}, {&(0x7f0000012da0)="11000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xb800}, {&(0x7f0000012dc0)="13000000000000000200000000000000ffffffffffffffff000000000000000014000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xbc00}, {&(0x7f0000000dc0)="5a83286cef02cd2211faaf1e400006000300000000b4b42800000000000095b8a61b000000781f1f63000000004000000000000000050000000100000078000000000004000000000000000000030000000000000000400000000000000300000002000000c200000000000000e2000000000000000000000000000000000000000000000062ac6929fe026c2142fd1e73a5d90725eebe20bb6ac624f0780c2f85bcc65dccd5e0e3c1f971c9019e6da4d88be08ea116c6d6338a00c7a4ce56a0e5b605b31fc171782cf29780ff0aff583658a1e677b4350f6ac9d48dcc2fa3de", 0xe0, 0xc000}, {&(0x7f0000012e80)="15000000000000000200000000000000ffffffffffffffff000000000000000027000000000000000200000000000000ffffffffffffffff0000000000000000", 0x40, 0xc400}, {&(0x7f0000012ec0)="16000000000000000200000000000000ffffffffffffffff0000000000000000", 0x20, 0xc800}, {&(0x7f0000012ee0)="0001080000000000000000000000000000000000000000000100000000000000020000000000000022000000000000008200000000000000a200000000000000c200000000000000e200"/96, 0x60, 0xcbfd}, {&(0x7f0000000040)='\x00\x00\x00\x00\x00\x00\x00\x00*\x00\x00\x00\x00\x00\x00\x00+\x00\x00\x00\x00\x00\x00\x00,\x00\x00\x00\x00\x00\x00\x00-\x00\x00\x00\x00\x00\x00\x00.\x00\x00\x00\x00\x00\x00\x00/\x00\x00\x00\x00\x00\x00\x001\x00\x00\x00\x00\x00\x00\x002\x00'/96, 0x60, 0xce00}, {&(0x7f0000012fa0)="ed336a1f90010000781f1f63000000000f000000000000000000000000000000781f1f6300000000781f1f6300000000000000000000000000000000000000000080010000000000010201000000000000000000000000000d000000000000000e000000000000003300000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000781f1f6300000000781f1f630000000000000000000000000000000000000000008001000000000000000000000000001800"/352, 0x160, 0xd000}, {&(0x7f0000013100)="020000000000343418010000f498e168cdbf9e50000000003f00000000000000000010000000000004000000000000001000000005000000020000000000000030000000000000000300000000000000a003000000000000781f1f6300000000781f1f6300000000781f1f63000000000100320001000100781f1f6300000000004eed0000000000000000000b00000080002000c00010005745cea941714c61b9841bd4a64c433600"/192, 0xc0, 0xff000}], 0x0, &(0x7f00000131c0), 0x1) 12:49:04 executing program 2: openat$full(0xffffffffffffff9c, &(0x7f0000000000), 0x201, 0x0) 12:49:04 executing program 4: pipe(&(0x7f0000000240)={0xffffffffffffffff}) renameat(r0, &(0x7f00000002c0)='./file0\x00', 0xffffffffffffffff, &(0x7f0000000300)='./file0\x00') 12:49:04 executing program 1: unlinkat(0xffffffffffffff9c, &(0x7f0000000140)='./file0\x00', 0x200) 12:49:04 executing program 0: openat$ptmx(0xffffffffffffff9c, &(0x7f0000000000), 0x109a00, 0x0) 12:49:04 executing program 3: pipe(&(0x7f0000000240)={0xffffffffffffffff}) renameat(r0, &(0x7f00000002c0)='./file0\x00', 0xffffffffffffffff, 0x0) [ 284.930800][ T4334] loop5: detected capacity change from 0 to 2055 [ 285.046924][ T4334] NILFS (loop5): invalid segment: Sequence number mismatch [ 285.054864][ T4334] NILFS (loop5): trying rollback from an earlier position 12:49:05 executing program 2: openat$pidfd(0xffffffffffffff9c, &(0x7f0000000040), 0x414982, 0x0) [ 285.155721][ T4334] NILFS (loop5): recovery complete 12:49:05 executing program 4: pipe(0x0) openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000480)='./cgroup.cpu/syz0\x00', 0x200002, 0x0) [ 285.222949][ T4339] NILFS (loop5): segctord starting. Construction interval = 5 seconds, CP frequency < 30 seconds 12:49:05 executing program 1: write$FUSE_GETXATTR(0xffffffffffffffff, &(0x7f0000000000)={0x18}, 0x18) getresgid(&(0x7f0000000180), &(0x7f00000001c0), &(0x7f0000000200)) 12:49:05 executing program 0: timer_create(0x6, &(0x7f0000000100)={0x0, 0x3b}, &(0x7f0000000140)) 12:49:05 executing program 2: pipe(&(0x7f0000000240)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_SIOCGIFINDEX_80211(r0, 0x8933, 0x0) 12:49:06 executing program 1: r0 = socket$netlink(0x10, 0x3, 0x0) connect$netlink(r0, &(0x7f0000000000)=@proc={0x10, 0x0, 0x0, 0x4000}, 0xc) 12:49:06 executing program 3: r0 = socket$netlink(0x10, 0x3, 0x0) setsockopt$netlink_NETLINK_DROP_MEMBERSHIP(r0, 0x10e, 0x2, &(0x7f0000000080), 0xffffffffffffffd5) 12:49:06 executing program 4: r0 = openat$dlm_control(0xffffffffffffff9c, &(0x7f0000000080), 0x0, 0x0) sendfile(0xffffffffffffffff, r0, &(0x7f0000000140), 0xffffffffffffffff) 12:49:06 executing program 5: timer_create(0x2ff5d41e8fbd2f0b, 0x0, 0x0) 12:49:06 executing program 0: r0 = openat$sysfs(0xffffffffffffff9c, &(0x7f0000000000)='/sys/class/net', 0x0, 0x0) mknodat$null(r0, &(0x7f0000000040)='./file0\x00', 0x0, 0x103) 12:49:06 executing program 5: r0 = socket$netlink(0x10, 0x3, 0x0) sendmsg$inet(r0, 0x0, 0x1) 12:49:06 executing program 1: openat$pidfd(0xffffffffffffff9c, &(0x7f0000000000), 0x71f702, 0x0) 12:49:06 executing program 2: stat(&(0x7f0000006540)='./file0\x00', 0x0) 12:49:06 executing program 4: syz_genetlink_get_family_id$ieee802154(0x0, 0xffffffffffffffff) socketpair$unix(0x1, 0x5, 0x0, &(0x7f0000001200)) 12:49:06 executing program 0: bpf$ITER_CREATE(0x21, &(0x7f00000004c0), 0x8) 12:49:06 executing program 5: r0 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) sendmsg$IEEE802154_LLSEC_SETPARAMS(r0, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000000080)={0x14}, 0x14}}, 0x0) sendmsg$NLBL_MGMT_C_LISTDEF(r0, &(0x7f00000008c0)={0x0, 0x0, &(0x7f0000000880)={&(0x7f0000000800)={0x14}, 0x14}}, 0x0) 12:49:07 executing program 3: syz_genetlink_get_family_id$batadv(&(0x7f0000000240), 0xffffffffffffffff) r0 = syz_init_net_socket$bt_l2cap(0x1f, 0x2, 0x0) ioctl$ifreq_SIOCGIFINDEX_batadv_hard(r0, 0x8933, &(0x7f0000000bc0)={'batadv_slave_0\x00'}) syz_genetlink_get_family_id$batadv(&(0x7f0000000c40), 0xffffffffffffffff) socket$netlink(0x10, 0x3, 0x0) 12:49:07 executing program 2: r0 = socket$can_j1939(0x1d, 0x2, 0x7) ioctl$ifreq_SIOCGIFINDEX_vcan(0xffffffffffffffff, 0x8933, &(0x7f0000000000)={'vxcan0\x00'}) sendmsg$can_j1939(r0, &(0x7f0000000340)={0x0, 0x0, &(0x7f0000000180)={&(0x7f0000000280)="19f19a1231b3e77f7d", 0x9}}, 0x0) r1 = socket$can_j1939(0x1d, 0x2, 0x7) r2 = socket$nl_route(0x10, 0x3, 0x0) ioctl$ifreq_SIOCGIFINDEX_vcan(r2, 0x8933, &(0x7f0000000000)={'vxcan0\x00', 0x0}) setsockopt$sock_int(r1, 0x1, 0x6, &(0x7f0000000040)=0x1, 0x4) bind$can_j1939(r1, &(0x7f0000000240)={0x1d, r3}, 0x18) sendmsg$can_j1939(r1, &(0x7f0000000340)={0x0, 0x0, &(0x7f0000000180)={&(0x7f0000000280)="19f19a1231b3e77f7d", 0x9}}, 0x0) close(r1) socket$can_j1939(0x1d, 0x2, 0x7) r4 = socket$nl_route(0x10, 0x3, 0x0) ioctl$ifreq_SIOCGIFINDEX_vcan(r4, 0x8933, &(0x7f0000000000)={'vxcan0\x00'}) 12:49:07 executing program 4: r0 = socket$inet6_sctp(0xa, 0x1, 0x84) setsockopt(r0, 0x84, 0x81, &(0x7f00000002c0)="1a00000002000000", 0x8) setsockopt$inet_sctp6_SCTP_AUTH_CHUNK(r0, 0x84, 0x15, &(0x7f0000000200), 0x1) getsockopt$inet_sctp6_SCTP_PEER_AUTH_CHUNKS(r0, 0x84, 0x1b, &(0x7f0000000140), &(0x7f0000000000)=0x8) 12:49:07 executing program 1: socketpair$unix(0x1, 0x5, 0x0, &(0x7f0000001200)={0xffffffffffffffff, 0xffffffffffffffff}) socket$inet_udplite(0x2, 0x2, 0x88) sendmsg$unix(r0, &(0x7f0000002bc0)={0x0, 0x0, 0x0, 0x0, &(0x7f0000002b40)=[@rights={{0x18, 0x1, 0x1, [r0, r1]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xee00, 0xffffffffffffffff}}}], 0x38}, 0x0) 12:49:07 executing program 0: socketpair$unix(0x1, 0x0, 0x0, &(0x7f0000001200)) 12:49:07 executing program 5: ioctl$sock_ipv6_tunnel_SIOCGET6RD(0xffffffffffffffff, 0x89f8, &(0x7f0000000200)={'syztnl1\x00', 0x0}) r0 = socket$nl_route(0x10, 0x3, 0x0) r1 = socket$inet(0x2, 0x3, 0x5) setsockopt$inet_msfilter(r1, 0x0, 0x8, &(0x7f0000000200)=ANY=[], 0x1) getsockopt$inet_pktinfo(r1, 0x0, 0x8, &(0x7f0000000040)={0x0, @local, @local}, &(0x7f00000001c0)=0xc) sendmsg$nl_route_sched(r0, &(0x7f0000000000)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f00000008c0)=@newtfilter={0x3c, 0x2c, 0xd27, 0x0, 0x0, {0x0, 0x0, 0x0, r2, {0xffff}, {}, {0xc}}, [@filter_kind_options=@f_tcindex={{0xc}, {0xc, 0x2, [@TCA_TCINDEX_MASK={0x6}]}}]}, 0x3c}}, 0x0) [ 287.683819][ C0] ===================================================== [ 287.691281][ C0] BUG: KMSAN: uninit-value in can_send+0x269/0x1100 [ 287.698154][ C0] can_send+0x269/0x1100 [ 287.702607][ C0] j1939_send_one+0x40f/0x4d0 [ 287.707474][ C0] j1939_xtp_do_tx_ctl+0x69f/0x9e0 [ 287.712960][ C0] j1939_tp_txtimer+0x35bb/0x4520 [ 287.718161][ C0] __run_hrtimer+0x298/0x910 [ 287.723021][ C0] hrtimer_run_softirq+0x4b0/0x870 [ 287.728310][ C0] __do_softirq+0x1c5/0x7b9 12:49:07 executing program 1: socketpair$unix(0x1, 0x5, 0x0, &(0x7f0000001200)={0xffffffffffffffff}) sendmsg$unix(r0, &(0x7f0000002bc0)={0x0, 0x0, 0x0, 0x0, &(0x7f0000002b40)=[@rights={{0x14, 0x1, 0x1, [r0]}}], 0x18}, 0x0) [ 287.733036][ C0] invoke_softirq+0x8f/0x100 [ 287.737902][ C0] __irq_exit_rcu+0x5a/0x110 [ 287.742701][ C0] irq_exit_rcu+0xe/0x10 [ 287.747073][ C0] sysvec_apic_timer_interrupt+0x9a/0xc0 [ 287.752944][ C0] asm_sysvec_apic_timer_interrupt+0x1b/0x20 [ 287.759089][ C0] _raw_spin_unlock_irqrestore+0x2f/0x50 [ 287.764937][ C0] hrtimer_start_range_ns+0xaba/0xb50 [ 287.770478][ C0] j1939_tp_schedule_txtimer+0xbe/0x100 [ 287.776282][ C0] j1939_sk_sendmsg+0x1c2c/0x25d0 [ 287.781485][ C0] ____sys_sendmsg+0xa8e/0xe70 [ 287.786522][ C0] ___sys_sendmsg+0x2a1/0x3f0 [ 287.791344][ C0] __sys_sendmsg+0x258/0x440 [ 287.796162][ C0] __ia32_compat_sys_sendmsg+0x99/0xe0 [ 287.801909][ C0] __do_fast_syscall_32+0xa2/0x100 [ 287.807179][ C0] do_fast_syscall_32+0x33/0x70 [ 287.812299][ C0] do_SYSENTER_32+0x1b/0x20 [ 287.816963][ C0] entry_SYSENTER_compat_after_hwframe+0x70/0x82 [ 287.823583][ C0] [ 287.825969][ C0] Uninit was created at: [ 287.830440][ C0] __kmem_cache_alloc_node+0x6ee/0xc90 [ 287.836195][ C0] __kmalloc_node_track_caller+0x117/0x3d0 [ 287.842276][ C0] __alloc_skb+0x34a/0xca0 [ 287.846883][ C0] j1939_xtp_do_tx_ctl+0xa3/0x9e0 [ 287.852188][ C0] j1939_tp_txtimer+0x35bb/0x4520 [ 287.857396][ C0] __run_hrtimer+0x298/0x910 [ 287.862248][ C0] hrtimer_run_softirq+0x4b0/0x870 [ 287.867529][ C0] __do_softirq+0x1c5/0x7b9 [ 287.872283][ C0] [ 287.874677][ C0] CPU: 0 PID: 4384 Comm: syz-executor.2 Not tainted 6.1.0-rc4-syzkaller-62821-gcb231e2f67ec #0 [ 287.885342][ C0] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 10/26/2022 [ 287.895585][ C0] ===================================================== [ 287.902746][ C0] Disabling lock debugging due to kernel taint [ 287.908992][ C0] Kernel panic - not syncing: kmsan.panic set ... [ 287.915502][ C0] CPU: 0 PID: 4384 Comm: syz-executor.2 Tainted: G B 6.1.0-rc4-syzkaller-62821-gcb231e2f67ec #0 [ 287.927481][ C0] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 10/26/2022 [ 287.937651][ C0] Call Trace: [ 287.941002][ C0] [ 287.943919][ C0] dump_stack_lvl+0x1c8/0x256 [ 287.948762][ C0] dump_stack+0x1a/0x1d [ 287.953052][ C0] panic+0x4d3/0xc64 [ 287.957121][ C0] ? add_taint+0x104/0x1a0 [ 287.961717][ C0] kmsan_report+0x2ca/0x2d0 [ 287.966382][ C0] ? __irq_exit_rcu+0x5a/0x110 [ 287.971282][ C0] ? asm_sysvec_apic_timer_interrupt+0x1b/0x20 [ 287.977594][ C0] ? _raw_spin_unlock_irqrestore+0x2f/0x50 [ 287.983535][ C0] ? hrtimer_start_range_ns+0xaba/0xb50 [ 287.989228][ C0] ? j1939_tp_schedule_txtimer+0xbe/0x100 [ 287.995124][ C0] ? j1939_sk_sendmsg+0x1c2c/0x25d0 [ 288.000483][ C0] ? ____sys_sendmsg+0xa8e/0xe70 [ 288.005556][ C0] ? __msan_warning+0x92/0x110 [ 288.010456][ C0] ? can_send+0x269/0x1100 [ 288.014993][ C0] ? j1939_send_one+0x40f/0x4d0 [ 288.019990][ C0] ? j1939_xtp_do_tx_ctl+0x69f/0x9e0 [ 288.025447][ C0] ? j1939_tp_txtimer+0x35bb/0x4520 [ 288.030810][ C0] ? __run_hrtimer+0x298/0x910 [ 288.035717][ C0] ? hrtimer_run_softirq+0x4b0/0x870 [ 288.041151][ C0] ? __do_softirq+0x1c5/0x7b9 [ 288.045967][ C0] ? invoke_softirq+0x8f/0x100 [ 288.050860][ C0] ? __irq_exit_rcu+0x5a/0x110 [ 288.055745][ C0] ? irq_exit_rcu+0xe/0x10 [ 288.060280][ C0] ? sysvec_apic_timer_interrupt+0x9a/0xc0 [ 288.066258][ C0] ? asm_sysvec_apic_timer_interrupt+0x1b/0x20 [ 288.072588][ C0] ? _raw_spin_unlock_irqrestore+0x2f/0x50 [ 288.078529][ C0] ? hrtimer_start_range_ns+0xaba/0xb50 [ 288.084219][ C0] ? j1939_tp_schedule_txtimer+0xbe/0x100 [ 288.090108][ C0] ? j1939_sk_sendmsg+0x1c2c/0x25d0 [ 288.095462][ C0] ? ____sys_sendmsg+0xa8e/0xe70 [ 288.100538][ C0] ? ___sys_sendmsg+0x2a1/0x3f0 [ 288.105518][ C0] ? __sys_sendmsg+0x258/0x440 [ 288.110413][ C0] ? __ia32_compat_sys_sendmsg+0x99/0xe0 [ 288.116194][ C0] ? __do_fast_syscall_32+0xa2/0x100 [ 288.121631][ C0] ? do_fast_syscall_32+0x33/0x70 [ 288.126798][ C0] ? do_SYSENTER_32+0x1b/0x20 [ 288.131638][ C0] ? entry_SYSENTER_compat_after_hwframe+0x70/0x82 [ 288.138332][ C0] ? memcg_slab_post_alloc_hook+0x2d/0x980 [ 288.144322][ C0] ? kmsan_get_shadow_origin_ptr+0x49/0xa0 [ 288.150318][ C0] ? __kmem_cache_alloc_node+0x71f/0xc90 [ 288.156126][ C0] ? j1939_xtp_do_tx_ctl+0xa3/0x9e0 [ 288.161517][ C0] ? kmsan_get_shadow_origin_ptr+0x49/0xa0 [ 288.167496][ C0] __msan_warning+0x92/0x110 [ 288.172230][ C0] can_send+0x269/0x1100 [ 288.176637][ C0] j1939_send_one+0x40f/0x4d0 [ 288.181482][ C0] j1939_xtp_do_tx_ctl+0x69f/0x9e0 [ 288.186810][ C0] j1939_tp_txtimer+0x35bb/0x4520 [ 288.192036][ C0] ? kmsan_get_shadow_origin_ptr+0x49/0xa0 [ 288.198045][ C0] ? rb_erase+0x209/0x2440 [ 288.202624][ C0] ? kmsan_get_shadow_origin_ptr+0x49/0xa0 [ 288.208620][ C0] ? j1939_tp_init+0x90/0x90 [ 288.213370][ C0] __run_hrtimer+0x298/0x910 [ 288.218146][ C0] hrtimer_run_softirq+0x4b0/0x870 [ 288.223437][ C0] ? local_bh_enable+0x30/0x30 [ 288.228380][ C0] __do_softirq+0x1c5/0x7b9 [ 288.233075][ C0] invoke_softirq+0x8f/0x100 [ 288.237836][ C0] __irq_exit_rcu+0x5a/0x110 [ 288.242565][ C0] irq_exit_rcu+0xe/0x10 [ 288.246952][ C0] sysvec_apic_timer_interrupt+0x9a/0xc0 [ 288.252777][ C0] [ 288.255813][ C0] [ 288.258830][ C0] asm_sysvec_apic_timer_interrupt+0x1b/0x20 [ 288.264987][ C0] RIP: 0010:_raw_spin_unlock_irqrestore+0x2f/0x50 [ 288.271559][ C0] Code: 56 53 49 89 f6 48 89 fb e8 9e 78 db f3 48 89 df e8 56 6b db f3 c6 00 00 c6 03 00 41 f7 c6 00 02 00 00 74 01 fb bf 01 00 00 00 3c 48 1e f3 65 8b 05 fd 64 b7 71 85 c0 74 05 5b 41 5e 5d c3 0f [ 288.291324][ C0] RSP: 0018:ffff8880a5f5f8b0 EFLAGS: 00000206 [ 288.297513][ C0] RAX: ffff88823fdcfb40 RBX: ffff88813fc93b40 RCX: 0000000000b3f1aa [ 288.305615][ C0] RDX: ffff88823fd22b40 RSI: 00000000063eedf0 RDI: 0000000000000001 [ 288.313700][ C0] RBP: ffff8880a5f5f8c0 R08: ffffffff8193932b R09: ffff8880a4b6c2c0 [ 288.321789][ C0] R10: ffff8880a5f5f848 R11: ffff8880a4f6c238 R12: 0000000000000000 [ 288.329891][ C0] R13: 0000000000000000 R14: 0000000000000282 R15: 0000000000000001 [ 288.337989][ C0] ? clockevents_program_min_delta+0x23b/0x6a0 [ 288.344404][ C0] hrtimer_start_range_ns+0xaba/0xb50 [ 288.349957][ C0] j1939_tp_schedule_txtimer+0xbe/0x100 [ 288.355685][ C0] j1939_sk_sendmsg+0x1c2c/0x25d0 [ 288.360912][ C0] ? j1939_sk_getsockopt+0x520/0x520 [ 288.366367][ C0] ____sys_sendmsg+0xa8e/0xe70 [ 288.371297][ C0] ___sys_sendmsg+0x2a1/0x3f0 [ 288.376132][ C0] ? __fget_files+0x4a8/0x510 [ 288.381043][ C0] ? kmsan_get_shadow_origin_ptr+0x49/0xa0 [ 288.387017][ C0] __sys_sendmsg+0x258/0x440 [ 288.391768][ C0] __ia32_compat_sys_sendmsg+0x99/0xe0 [ 288.397392][ C0] __do_fast_syscall_32+0xa2/0x100 [ 288.402653][ C0] ? exit_to_user_mode_prepare+0x119/0x220 [ 288.408642][ C0] do_fast_syscall_32+0x33/0x70 [ 288.413639][ C0] do_SYSENTER_32+0x1b/0x20 [ 288.418282][ C0] entry_SYSENTER_compat_after_hwframe+0x70/0x82 [ 288.424786][ C0] RIP: 0023:0xf7f0f549 [ 288.428947][ C0] Code: 03 74 c0 01 10 05 03 74 b8 01 10 06 03 74 b4 01 10 07 03 74 b0 01 10 08 03 74 d8 01 00 00 00 00 00 51 52 55 89 e5 0f 34 cd 80 <5d> 5a 59 c3 90 90 90 90 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 44 00 [ 288.448703][ C0] RSP: 002b:00000000f7f0a5cc EFLAGS: 00000296 ORIG_RAX: 0000000000000172 [ 288.457281][ C0] RAX: ffffffffffffffda RBX: 0000000000000004 RCX: 0000000020000340 [ 288.465369][ C0] RDX: 0000000000000000 RSI: 0000000000000000 RDI: 0000000000000000 [ 288.473447][ C0] RBP: 0000000000000000 R08: 0000000000000000 R09: 0000000000000000 [ 288.481528][ C0] R10: 0000000000000000 R11: 0000000000000296 R12: 0000000000000000 [ 288.489598][ C0] R13: 0000000000000000 R14: 0000000000000000 R15: 0000000000000000 [ 288.497686][ C0] [ 288.500938][ C0] Kernel Offset: disabled [ 288.505317][ C0] Rebooting in 86400 seconds..