usb_control_io$hid(r1, 0x0, 0x0) syz_usb_control_io(r1, &(0x7f0000000280)={0x2c, &(0x7f0000000040)={0x40, 0x9, 0xf1, {0xf1, 0x6, "45db9bd1456f80cb32f5dc0fac9d843e110855b8935ba01689580673212f829b3ade2c4c8ebe897b9d31520b4d4621712d06b4193c342da5c7cb84b38f61eb7ef9f32e9ff1ca0b068eaaa4aaae2b52045134a03750d99639b7efb576e7e08949ded0cf45021379995dcc48ee6541abd9a76db4f1951895aee0c204d35fb08d938630b39cdcdb4f840386f4a6fb74cb588fbbc31dfe1d0b129bd9b95209c29d05ad048c2dbb6845fa848d637ab50afdf6537156266faa5066d4c52acd6c73dace8364bf688277951c09e2ca0f40906119963d9a92912145a86646b547e993da1362b378e28718bd99127a2df2d3e067"}}, &(0x7f0000000140)={0x0, 0x3, 0x4, @lang_id={0x4, 0x3, 0xc0a}}, &(0x7f0000000180)={0x0, 0xf, 0x4d, {0x5, 0xf, 0x4d, 0x4, [@ss_cap={0xa, 0x10, 0x3, 0x2, 0x7, 0x2, 0x3, 0xfc00}, @ptm_cap={0x3}, @ext_cap={0x7, 0x10, 0x2, 0x0, 0x9, 0xb, 0xffff}, @generic={0x34, 0x10, 0xa, "28bc3e087ac100387972f533b3cade33d51c504b9c730fd585900327e776425e9e2518ef307cb2271e082a510391b77f18"}]}}, &(0x7f0000000200)={0x20, 0x29, 0xf, {0xf, 0x29, 0xf7, 0x0, 0x7, 0xd1, "3108cdc8", "20a6eda2"}}, &(0x7f0000000240)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x6, 0x1, 0x5, 0x7f, 0xfe, 0x8, 0x389}}}, &(0x7f0000000800)={0x84, &(0x7f00000002c0)={0x20, 0x16, 0x5d, "92fed0d9e2f552498677768c5391d5873ea154dd0d26735ce1584cbb9f31c355870023da37982d1ca4c967e2e58d42ec204b0d24215b354615bbac39842d0c79c8a4a4d645c3b1737a6edbaf900f3f6d2278fc19d0810e01ec8202e576"}, &(0x7f0000000340)={0x0, 0xa, 0x1}, &(0x7f0000000380)={0x0, 0x8, 0x1, 0x27}, &(0x7f00000003c0)={0x20, 0x0, 0x4, {0x3, 0x2}}, &(0x7f0000000400)={0x20, 0x0, 0x4, {0x20, 0x4}}, &(0x7f0000000440)={0x40, 0x7, 0x2, 0x1}, &(0x7f0000000480)={0x40, 0x9, 0x1, 0x80}, &(0x7f0000000500)={0x40, 0xb, 0x2, "50c5"}, &(0x7f0000000540)={0x40, 0xf, 0x2, 0x8001}, &(0x7f0000000580)={0x40, 0x13, 0x6, @broadcast}, &(0x7f00000005c0)={0x40, 0x17, 0x6, @remote}, &(0x7f0000000600)={0x40, 0x19, 0x2, "a5ae"}, &(0x7f0000000640)={0x40, 0x1a, 0x2, 0x2}, &(0x7f0000000680)={0x40, 0x1c, 0x1, 0x3}, &(0x7f00000006c0)={0x40, 0x1e, 0x1, 0x7}, &(0x7f0000000700)={0x40, 0x21, 0x1, 0x3}}) r2 = syz_usb_connect$uac1(0x3, 0xec, &(0x7f00000008c0)={{0x12, 0x1, 0x110, 0x0, 0x0, 0x0, 0x8, 0x1d6b, 0x101, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0xda, 0x3, 0x1, 0xe0, 0x90, 0x65, {{0x9, 0x4, 0x0, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, {{0xa, 0x24, 0x1, 0x8, 0x1}, [@selector_unit={0x6, 0x24, 0x5, 0x6, 0xfd, "bc"}, @processing_unit={0xd, 0x24, 0x7, 0x5, 0x4, 0xb0, '\v$5JJ.'}, @output_terminal={0x9, 0x24, 0x3, 0x1, 0x0, 0x2, 0x4, 0x9}, @feature_unit={0x13, 0x24, 0x6, 0x6, 0x3, 0x6, [0x6, 0x2, 0x8, 0x4, 0x7, 0x1], 0x6}, @extension_unit={0x8, 0x24, 0x8, 0x4, 0xb13, 0x4, "b3"}]}}, {}, {0x9, 0x4, 0x1, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@as_header={0x7, 0x24, 0x1, 0x6, 0xff, 0x1}]}, {{0x9, 0x5, 0x1, 0x9, 0x400, 0x3, 0x7f, 0x7f, {0x7, 0x25, 0x1, 0x1, 0x7f, 0x7}}}}, {}, {0x9, 0x4, 0x2, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_i_continuous={0xa, 0x24, 0x2, 0x1, 0x3, 0x1, 0x9f, 0x7, "ac80"}, @format_type_ii_discrete={0xd, 0x24, 0x2, 0x2, 0x49d3, 0x0, 0x1, "d77dc455"}, @format_type_i_discrete={0xc, 0x24, 0x2, 0x1, 0x0, 0x3, 0x40, 0x4, "0e983f15"}, @format_type_i_discrete={0xe, 0x24, 0x2, 0x1, 0x0, 0x4, 0x0, 0xe3, "1324c0928d6e"}, @format_type_i_continuous={0xc, 0x24, 0x2, 0x1, 0x3, 0x3, 0x7, 0x4, "22a6", "9667"}]}, {{0x9, 0x5, 0x82, 0x9, 0x8, 0xff, 0x10, 0x0, {0x7, 0x25, 0x1, 0x80, 0x40, 0x7f}}}}}}}]}}, &(0x7f0000000d80)={0xa, &(0x7f0000000740)={0xa, 0x6, 0x110, 0x74, 0x7, 0x8, 0x40, 0x9}, 0x37, &(0x7f0000000780)={0x5, 0xf, 0x37, 0x4, [@ssp_cap={0x10, 0x10, 0xa, 0x0, 0x1, 0x7, 0x0, 0x2, [0xffff30]}, @wireless={0xb, 0x10, 0x1, 0x2, 0x24, 0x2, 0x3, 0xff, 0x5}, @ptm_cap={0x3}, @ss_container_id={0x14, 0x10, 0x4, 0x15, "42c2c976d9ea838666d434018719ceb7"}]}, 0x8, [{0x40, &(0x7f00000009c0)=@string={0x40, 0x3, "dce72b2258c95a595843400dbc477cb36fd0c3c5973d7f80f7a82da192f3f20bfc1fe67d36d5a863326022108cb0f3933d7f5242a71c16357c3f1707d60f"}}, {0x7f, &(0x7f0000000a00)=@string={0x7f, 0x3, "288601faefc7363773ddc5fcc0a0f941e68314a8d14f34886e0a34a8f23893430c0b6300361bc9e08933c5782ce971a78a637d59c8d1fd897ae7c9e2fbc9263737c7b9fdcc2621ef1f7a03ffaf89e5c0873036e373223fb8003f920fb5494d00dbedf07f9518d332918757bd9c52f0b3d3f2a702c29a15be9cfc9f762e"}}, {0x20, &(0x7f0000000a80)=@string={0x20, 0x3, "51fb69b740ca36dbe0b44f85f543afe60c3a291943a6274e279d9a40c97d"}}, {0x61, &(0x7f0000000ac0)=@string={0x61, 0x3, "3c17342c3079e07347c7e2c0324b7784aa739cba5ee5013c3ff1af8ded393771f28e55de03294f0ac98688aeada1bcab18af55fd922033d9f5d5a8a88cf93b4071e5f60f4eee1ec48010bef3fb87bc27e35589d8e6eb21e1b70c6180971cdb"}}, {0x93, &(0x7f0000000b40)=@string={0x93, 0x3, "e63ef376e38ce6df24cb0a1360781a8e8eeab061e14e2e9bc1604fc6287958091144316c18cde808e78be55002ce30325c116b2fbcfd138fcb0af3e4f2ae5ece5ca2ce1cfaeea38e569519d01e0187c4ba2b34b8281f3b54b06b5d227263158af8bedaa72d5f014cb149e6a7fb00254157bcc90e1b9a9e1bf3746e64368a0823f857152928a6775eabbafa9c08a20bf4c6"}}, {0x4, &(0x7f0000000c00)=@lang_id={0x4, 0x3, 0x457}}, {0x4, &(0x7f0000000c40)=@lang_id={0x4, 0x3, 0xc1a}}, {0xee, &(0x7f0000000c80)=@string={0xee, 0x3, "ed3f4dca786cf3493b33a9fe6794837c3a4b441f8c9751d164efd6d82d660369fbf6971b7c5b225716fdd47c85de3899cd2f64da14ee4c1b1bd9a7761210318656f3dcf4ddf94847889e07b96d34f82c81c78df6fac9246c0ab62dd81f77672991cdbf28adeb5890f6e406fdf110eacf984b9e5394c869e9c2c650ed62ddbad273d1cff76ca85d029e6b8425e603a7f94fb23fe6c35f0bd8e527cd081b26aa3263937f21f8e0b090500f1e4c9a0109e3da0e74aaa52c5c4e19c17e1c1acb0ec9befc2e08f9b5e9c0349696ee71ca43b2491366c80f501f98958fbe554aa7311bee21e0f08f86478310c27dd7"}}]}) syz_usb_control_io$cdc_ncm(0xffffffffffffffff, &(0x7f00000016c0)={0x14, &(0x7f00000015c0)={0x0, 0xb, 0xb1, {0xb1, 0x3, "97ed9b0bfdb48bd71868635dc713555401a86161b64856c9f401e9b44569cf6ca9fd47365f6029727ab64ef6ad55c71e254a5ded10a1601e4ba3b68000fed7598fb135558576972535dc6e2ed213669f33e35b4710dd19e153cfcf8eaf40d8cc5e654ad68842414b5b37775e8461984fa8f2dd54ba4fb11a6c7203d43f73bc8c85362982fa1ae3aa520c06e514c875d80e02cb20e6769a4c7c7891983c2d12f9fe6d9e687f87c3b511c69b9083df85"}}, &(0x7f0000001680)={0x0, 0x3, 0x1a, {0x1a}}}, &(0x7f0000001980)={0x44, &(0x7f0000001700)={0x20, 0x1, 0x7f, "d20a98c9e5a8b3822a40505bff88f60b94e1cb9f978293887148cb278d8ed33a25cb48f38c3c5eab6c057e004af389e144379fa5645ff4a9a900221207ab71dcdd2146a7a2c8027ced750ab9f1aecde0cbb2a975cad4968708e3a85f5d5c685028b672fb7e14d1742dd233726d6bb5f32be2ec37abe14ae35930268c23bdf2"}, &(0x7f00000017c0)={0x0, 0xa, 0x1, 0x8}, &(0x7f0000001800)={0x0, 0x8, 0x1, 0x1}, &(0x7f0000001840)={0x20, 0x80, 0x1c, {0x5, 0x31d4, 0x6, 0x9, 0x9, 0x3f, 0x9, 0x0, 0x1000, 0x9, 0x0, 0x1}}, &(0x7f0000001880)={0x20, 0x85, 0x4, 0x5}, &(0x7f00000018c0)={0x20, 0x83, 0x2}, &(0x7f0000001900)={0x20, 0x87, 0x2, 0x101}, &(0x7f0000001940)={0x20, 0x89, 0x2}}) syz_usb_control_io(r2, &(0x7f0000001000)={0x2c, &(0x7f0000000e00)={0x20, 0xe, 0xa, {0xa, 0xd, "d5c92ce4e5e1fb7f"}}, &(0x7f0000000e40)={0x0, 0x3, 0xdc, @string={0xdc, 0x3, "da7ace6e7eb2a6408d5d87223c973b0e97efe6299c506cddcb774933a3c117c2c97f2f299d7eba9294bbf38ad01d9b142678ee957863040a458f6373425fcac0b3b13b29752d7d420492773a12c4eb8b7f9fa94c2d59b1416e694291072c3c02a45cc9eb04e3efb2c064ecdc071ee41d3e6ee39e40ae9c75ee5d5d4ef1986f670c997b8d10d005f202d1617e06ab2b65c06558e99e089ea15daa4370c9cbe1ae8a30fe7c330b497ea003afec1110e72cf936e38087c91902eb8e32123b72e5cc927c93e69c58a8ac8fea48e3848294e65d17ea224dc38d44d3e1"}}, &(0x7f0000000f40)={0x0, 0xf, 0xf, {0x5, 0xf, 0xf, 0x1, [@ss_cap={0xa, 0x10, 0x3, 0x2, 0x0, 0x20, 0x47, 0x3}]}}, &(0x7f0000000f80)={0x20, 0x29, 0xf, {0xf, 0x29, 0x5, 0x0, 0xb6, 0x20, "494c054c", "777081e8"}}, &(0x7f0000000fc0)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x12, 0x4, 0x5, 0x0, 0x80, 0x573, 0x4f9}}}, &(0x7f0000001500)={0x84, &(0x7f0000001100)={0x20, 0x30, 0xba, "9d090fabad0dae17b47b48ba7185c075456eeaf213232687789dd1cd05bb13f5f603142f0b5f33e6fa7b0b2f1c8744f304f260a31ca77d6c6f137789dca97969cb3fe6791dcb01e303adfacffc19a907a4b2e4d2f55d856a6596949c27cfb07a80f9b9ce0297280d01ca722b8b5b3fe2f517e51d0921b219d3686c96b3f71cd501c3d32e703766596e27a01838484b82f007b9cd61bfe4720002730733ecb51e4f4c299035014a3cf7f62185f05d1deae76d685063d47df6db2d"}, &(0x7f0000001040)={0x0, 0xa, 0x1, 0x80}, &(0x7f0000001080)={0x0, 0x8, 0x1, 0x3f}, &(0x7f00000011c0)={0x20, 0x0, 0x4, {0x2, 0x3}}, &(0x7f0000001200)={0x20, 0x0, 0x8, {0x0, 0x10, [0xf000]}}, &(0x7f0000001240)={0x40, 0x7, 0x2, 0x7}, &(0x7f0000001280)={0x40, 0x9, 0x1, 0x5}, &(0x7f00000012c0)={0x40, 0xb, 0x2, "ed3a"}, &(0x7f0000001300)={0x40, 0xf, 0x2, 0xfb5}, &(0x7f0000001340)={0x40, 0x13, 0x6, @broadcast}, &(0x7f0000001380)={0x40, 0x17, 0x6, @dev={'\xaa\xaa\xaa\xaa\xaa', 0x32}}, &(0x7f00000013c0)={0x40, 0x19, 0x2, "05d9"}, &(0x7f0000001400)={0x40, 0x1a, 0x2, 0x1ff}, &(0x7f0000001440)={0x40, 0x1c, 0x1, 0x40}, &(0x7f0000001480)={0x40, 0x1e, 0x1, 0x5}, &(0x7f00000014c0)={0x40, 0x21, 0x1, 0x3f}}) [ 582.557474][T10920] gspca_nw80x: reg_r err -71 [ 582.571525][T10920] nw80x: probe of 3-1:0.0 failed with error -71 [ 582.602576][T10920] usb 3-1: USB disconnect, device number 2 [ 582.971554][T10920] usb 3-1: new high-speed USB device number 3 using dummy_hcd [ 583.371757][T10920] usb 3-1: New USB device found, idVendor=06a5, idProduct=d800, bcdDevice=87.12 [ 583.380804][T10920] usb 3-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 583.391161][T10920] usb 3-1: config 0 descriptor?? [ 583.443812][T10920] gspca_main: nw80x-2.14.0 probing 06a5:d800 22:45:37 executing program 5: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000080), 0x0, 0x0) r1 = socket$netlink(0x10, 0x3, 0x0) (async) r2 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) ioctl$KVM_CREATE_IRQCHIP(r2, 0xae60) (async, rerun: 64) signalfd(r0, &(0x7f0000000000)={[0x400]}, 0x8) (async, rerun: 64) r3 = socket(0x1e, 0x1, 0x0) sendmsg(r3, &(0x7f0000000000)={&(0x7f0000000080)=@generic={0x10000000001e, "02ff0100000001000000000000000ae77f5bf86c48020002000000f1ffffff009a480075e6a50000de010300000000e4ff064b3f013a000000080200000000000000ac50d5fe32c4000000007fffffff6a008356edb9a6341c1fd45624281e00070ecddd0206c39750c40000fd00000908000000000b0000db000004da36"}, 0x80, 0x0}, 0x0) (async, rerun: 64) recvmmsg(r3, &(0x7f0000001f40)=[{{0x0, 0x0, &(0x7f0000000300)=[{&(0x7f0000000180)=""/105, 0x69}], 0x1, &(0x7f00000003c0)=""/250, 0xfa}}], 0x1, 0x0, 0x0) (async, rerun: 64) write$binfmt_elf32(r3, &(0x7f00000001c0)=ANY=[], 0xfffffd6d) (async) getpeername$netlink(r3, &(0x7f0000000040), &(0x7f0000000100)=0xc) (async, rerun: 64) r4 = ioctl$KVM_CREATE_VCPU(r2, 0xae41, 0x0) (rerun: 64) syz_kvm_setup_cpu$x86(0xffffffffffffffff, r4, &(0x7f0000fe8000/0x18000)=nil, &(0x7f0000000240)=[@text32={0x20, 0x0}], 0x1, 0x38, 0x0, 0x0) (async) ioctl$KVM_SET_LAPIC(r4, 0x4400ae8f, &(0x7f0000000440)={"6cdd4237dd245c8404721efdc9c8dc1964125fa96fa42b761c6ec25b2bec0ba4c81036c93a40c8a4d4412a763b00040000000000003c5ca206c047ecee377abaece6b88378e38e06c5fc191f361d264ffa8b46485f02baee1ab6b8154252066178868d1ef4b53606000000000000007c21a984c2b9ca4bbb7a87165c0c1dbc75d7ea4df1001000000000694525952f44500a1f0db509c32cc7ace842c28f37f06e4ea9f1e5f0c6c379f9cc58bf69fcde317fad4825aa1b6a832d4e48cc41bb5a6baa41d614f6c8941bee805954a62d196a4e8d4bf6b21224b57f530d0000c1ff53bf79a1f5c5dc34cf2645cbc11c4562d22db88d0edc5daee171cc04d96d9ec2db07478f347edbd6404923ad4a5672b1b285c7988c4ec0922c655ff600000000c00dc290d936d93236051fadfb4b95d02c0bda7ce38dabb7cd103fe4d0c9c963cd717a77f8df8d46099b1f580968af6afbbc19db161c6df3e7c9c71bc08a282fc2c142856b5e4caff4c0a4f72445ef10dcd2c569319d6e9bb2058d023f669a64fc7d9684b45b00000000364673dcfa9235ea5a2ff23c4bb5c5acb290e8976dcac779ff000000000000003d4e185afe28a774b99d3890bd37428617de4cdd6f53c419ce31054182fd098af7b7f1b1152c691611f897558d4b755cb783978d9859b0537b05b623dcb5c4ca9317471a40fa4998cca80e961efffb4e1aa25d8a17deef0c8694c4395fc99be3c3fe7aeb8af4929ce7d346ca62b25d48fda5d10146702f78b233b5208752726ed9f0c340d494b92d19cc930bb8a5f8b4da8f4603ac0c3b698384e17a570dc8524823ed15af4ecfabb4b2541d3c114b7bba1c21a845c9cf0d1cc24aba47e30f558b2246ad95ccf7d2f80cc0ab26f08336ea1a33b79cf35b898837016eb211a1734c7af076e15451e33519fc978f66df7df4557c91024a8dc130a28ef5f63ad07b39c8d23b85cf434e065e8a29a80047fe17dee6f6347b4951f97b5703dc78b1ca9d74ea6a9ae12ab367c0de2659cc38d2f33ddd86e0597d33361eada119b5132145fa4525c488c7fffd6ceda6e9a02ebd97ced6b0161f2cc84615ceb8b18883299c636e9e46724a9a0600a8bb02f3e489631d522019a35fe12a33caf9dd8768ddbc02a484c345c3eff254297b1dbb04989c3f9f3c7b3c985c39b1d313018068d3809bac8c657e39f4f692613e28387e955722908dd88b56163be8312ff47c5b6f280472935af74e97a5a8110a4d74496f4c8ec82ddb010100000000000001a047526865c888c9ff36056cc4ad258021e1581d43badaaec6cc5a2ef989de9801fed6d4be2bfcfe07a69c46bffbe9dd03970800000000000000d372bdd6d89dc1ecf63c23d506114d0fba2bd1c69e8f7e3fccdcda85ce975ec1381b1cec6ddaa76e186719d819164300"}) (async, rerun: 32) syz_kvm_setup_cpu$x86(r2, 0xffffffffffffffff, &(0x7f0000fe8000/0x18000)=nil, &(0x7f00000000c0)=[@text64={0x40, 0x0}], 0x1, 0x0, 0x0, 0x0) (rerun: 32) ioctl$KVM_RUN(r4, 0xae80, 0x0) (async, rerun: 32) ioctl$BTRFS_IOC_RESIZE(0xffffffffffffffff, 0x50009403, &(0x7f0000000140)={{r1}, {@void, @max}}) (rerun: 32) 22:45:37 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_POST(r0, 0x5008, 0x0) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) write$dsp(r0, &(0x7f0000000080)="4d27804505ac64", 0x7) read$dsp(r0, &(0x7f0000000000)=""/83, 0x53) read$dsp(0xffffffffffffffff, &(0x7f0000000540)=""/102395, 0x18ffb) 22:45:37 executing program 0: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000dcaaf0109904271050190000000109022c0001000000000904000001e30824000836060100000000092402020000000000090581db"], 0x0) 22:45:37 executing program 1: r0 = gettid() (async) socketpair$unix(0x1, 0x0, 0x0, 0x0) r1 = signalfd4(0xffffffffffffffff, &(0x7f0000006000), 0x8, 0x0) r2 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r2, 0x1, r1, &(0x7f00000000c0)) (async) poll(0x0, 0x0, 0x603) dup2(0xffffffffffffffff, 0xffffffffffffffff) (async) r3 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r3, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r3, 0x0) (async) fsetxattr$trusted_overlay_upper(r3, &(0x7f0000000000), &(0x7f0000000100)={0x0, 0xfb, 0x96, 0x0, 0x1f, "92d93679a8b0eefbe5a24bbef977d5f6", "bb2e1a12b3639286ce508d219b84531a638c01819eb09f915587c2682888e9d7e59c11d24fa7055977541912f7c2649d8b8e514515b17868cb5fdfeb0a0b8b1e8a3837c5fe501536525c5f0d637c702be6ddada8a1b68a4018dd3e09e7a5c55068595aaac913f048f3bfd85e2fda06f4a6616607f5bd8e09cf401fd0f5733cedb3"}, 0x96, 0x3) (async) tkill(r0, 0x14) 22:45:37 executing program 3: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000dcaaf0109904271050190000000109022c0001000000000904000001e3082400083606010000000009240202000000"], 0x0) 22:45:37 executing program 1: r0 = gettid() (async) socketpair$unix(0x1, 0x0, 0x0, 0x0) (async, rerun: 32) r1 = signalfd4(0xffffffffffffffff, &(0x7f0000006000), 0x8, 0x0) (async, rerun: 32) r2 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r2, 0x1, r1, &(0x7f00000000c0)) (async) poll(0x0, 0x0, 0x603) dup2(0xffffffffffffffff, 0xffffffffffffffff) r3 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r3, &(0x7f0000000040)=ANY=[], 0xfea7) (async) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r3, 0x0) fsetxattr$trusted_overlay_upper(r3, &(0x7f0000000000), &(0x7f0000000100)={0x0, 0xfb, 0x96, 0x0, 0x1f, "92d93679a8b0eefbe5a24bbef977d5f6", "bb2e1a12b3639286ce508d219b84531a638c01819eb09f915587c2682888e9d7e59c11d24fa7055977541912f7c2649d8b8e514515b17868cb5fdfeb0a0b8b1e8a3837c5fe501536525c5f0d637c702be6ddada8a1b68a4018dd3e09e7a5c55068595aaac913f048f3bfd85e2fda06f4a6616607f5bd8e09cf401fd0f5733cedb3"}, 0x96, 0x3) (async, rerun: 64) tkill(r0, 0x14) (rerun: 64) 22:45:37 executing program 5: r0 = openat$kvm(0xffffffffffffff9c, &(0x7f0000000080), 0x0, 0x0) r1 = socket$netlink(0x10, 0x3, 0x0) r2 = ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) ioctl$KVM_CREATE_IRQCHIP(r2, 0xae60) signalfd(r0, &(0x7f0000000000)={[0x400]}, 0x8) r3 = socket(0x1e, 0x1, 0x0) sendmsg(r3, &(0x7f0000000000)={&(0x7f0000000080)=@generic={0x10000000001e, "02ff0100000001000000000000000ae77f5bf86c48020002000000f1ffffff009a480075e6a50000de010300000000e4ff064b3f013a000000080200000000000000ac50d5fe32c4000000007fffffff6a008356edb9a6341c1fd45624281e00070ecddd0206c39750c40000fd00000908000000000b0000db000004da36"}, 0x80, 0x0}, 0x0) recvmmsg(r3, &(0x7f0000001f40)=[{{0x0, 0x0, &(0x7f0000000300)=[{&(0x7f0000000180)=""/105, 0x69}], 0x1, &(0x7f00000003c0)=""/250, 0xfa}}], 0x1, 0x0, 0x0) write$binfmt_elf32(r3, &(0x7f00000001c0)=ANY=[], 0xfffffd6d) getpeername$netlink(r3, &(0x7f0000000040), &(0x7f0000000100)=0xc) r4 = ioctl$KVM_CREATE_VCPU(r2, 0xae41, 0x0) syz_kvm_setup_cpu$x86(0xffffffffffffffff, r4, &(0x7f0000fe8000/0x18000)=nil, &(0x7f0000000240)=[@text32={0x20, 0x0}], 0x1, 0x38, 0x0, 0x0) ioctl$KVM_SET_LAPIC(r4, 0x4400ae8f, &(0x7f0000000440)={"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"}) syz_kvm_setup_cpu$x86(r2, 0xffffffffffffffff, &(0x7f0000fe8000/0x18000)=nil, &(0x7f00000000c0)=[@text64={0x40, 0x0}], 0x1, 0x0, 0x0, 0x0) ioctl$KVM_RUN(r4, 0xae80, 0x0) ioctl$BTRFS_IOC_RESIZE(0xffffffffffffffff, 0x50009403, &(0x7f0000000140)={{r1}, {@void, @max}}) openat$kvm(0xffffffffffffff9c, &(0x7f0000000080), 0x0, 0x0) (async) socket$netlink(0x10, 0x3, 0x0) (async) ioctl$KVM_CREATE_VM(r0, 0xae01, 0x0) (async) ioctl$KVM_CREATE_IRQCHIP(r2, 0xae60) (async) signalfd(r0, &(0x7f0000000000)={[0x400]}, 0x8) (async) socket(0x1e, 0x1, 0x0) (async) sendmsg(r3, &(0x7f0000000000)={&(0x7f0000000080)=@generic={0x10000000001e, "02ff0100000001000000000000000ae77f5bf86c48020002000000f1ffffff009a480075e6a50000de010300000000e4ff064b3f013a000000080200000000000000ac50d5fe32c4000000007fffffff6a008356edb9a6341c1fd45624281e00070ecddd0206c39750c40000fd00000908000000000b0000db000004da36"}, 0x80, 0x0}, 0x0) (async) recvmmsg(r3, &(0x7f0000001f40)=[{{0x0, 0x0, &(0x7f0000000300)=[{&(0x7f0000000180)=""/105, 0x69}], 0x1, &(0x7f00000003c0)=""/250, 0xfa}}], 0x1, 0x0, 0x0) (async) write$binfmt_elf32(r3, &(0x7f00000001c0)=ANY=[], 0xfffffd6d) (async) getpeername$netlink(r3, &(0x7f0000000040), &(0x7f0000000100)=0xc) (async) ioctl$KVM_CREATE_VCPU(r2, 0xae41, 0x0) (async) syz_kvm_setup_cpu$x86(0xffffffffffffffff, r4, &(0x7f0000fe8000/0x18000)=nil, &(0x7f0000000240)=[@text32={0x20, 0x0}], 0x1, 0x38, 0x0, 0x0) (async) ioctl$KVM_SET_LAPIC(r4, 0x4400ae8f, &(0x7f0000000440)={"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"}) (async) syz_kvm_setup_cpu$x86(r2, 0xffffffffffffffff, &(0x7f0000fe8000/0x18000)=nil, &(0x7f00000000c0)=[@text64={0x40, 0x0}], 0x1, 0x0, 0x0, 0x0) (async) ioctl$KVM_RUN(r4, 0xae80, 0x0) (async) ioctl$BTRFS_IOC_RESIZE(0xffffffffffffffff, 0x50009403, &(0x7f0000000140)={{r1}, {@void, @max}}) (async) 22:45:37 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async) ioctl$SNDCTL_DSP_POST(r0, 0x5008, 0x0) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) (async) write$dsp(r0, &(0x7f0000000080)="4d27804505ac64", 0x7) read$dsp(r0, &(0x7f0000000000)=""/83, 0x53) read$dsp(0xffffffffffffffff, &(0x7f0000000540)=""/102395, 0x18ffb) 22:45:37 executing program 1: r0 = gettid() socketpair$unix(0x1, 0x0, 0x0, 0x0) r1 = getpgrp(r0) getpgrp(r1) r2 = signalfd4(0xffffffffffffffff, &(0x7f0000006000), 0x8, 0x0) r3 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r3, 0x1, r2, &(0x7f00000000c0)) poll(0x0, 0x0, 0x603) r4 = dup2(0xffffffffffffffff, 0xffffffffffffffff) fcntl$getownex(r2, 0x10, &(0x7f0000000000)) tkill(r0, 0x14) ioctl$AUTOFS_DEV_IOCTL_OPENMOUNT(r2, 0xc0189374, &(0x7f0000000240)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32=r3, @ANYRESOCT=r3]) getsockopt$inet_sctp6_SCTP_PEER_AUTH_CHUNKS(r4, 0x84, 0x1a, &(0x7f0000000100)={0x0, 0x8a, "d89cddfd7bfc8172478f8c25cc95fa11ad70192d0a1bcae2067654e28a47f107963171f168d21c7f52cb0d7b9c7f346819e8a663c38a5561498267f0088e2bb74a5a81b4752ba44d53a3b14da2de0fc6bea2574d4fb94e72966bda70a705d6f96a23ba70375dbda30868ab23fc46a07601649176ef75cda02bfcb8894d7db25c71db9c79cfde07b3eba6"}, &(0x7f0000000040)=0x92) openat$procfs(0xffffffffffffff9c, &(0x7f0000000280)='/proc/locks\x00', 0x0, 0x0) r7 = openat$nvram(0xffffffffffffff9c, &(0x7f00000001c0), 0x301080, 0x0) ioctl$AUTOFS_DEV_IOCTL_VERSION(r7, 0xc0189371, &(0x7f0000000200)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32=r2, @ANYBLOB="00000000000000062e2f050000003000"]) setsockopt$inet_sctp6_SCTP_DEFAULT_SNDINFO(r5, 0x84, 0x22, &(0x7f0000000080)={0x0, 0x400, 0x3f, 0x2, r6}, 0x10) 22:45:38 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async) ioctl$SNDCTL_DSP_POST(r0, 0x5008, 0x0) (async) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) (async) write$dsp(r0, &(0x7f0000000080)="4d27804505ac64", 0x7) (async) read$dsp(r0, &(0x7f0000000000)=""/83, 0x53) read$dsp(0xffffffffffffffff, &(0x7f0000000540)=""/102395, 0x18ffb) 22:45:38 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0x3b5140, 0x0) write$dsp(r0, &(0x7f0000000000)="889acc18b43eff25925f073193d2f39220a372de95b1a3f0e12504c018ede6a23c57e007a7d325c4becf0f491653d69eec294c8af865d852733de7c29b9b3801b35aec6d4774d3692e7a4442d7383546f6e08bfaa61a0bc4b1ebb135d4c7853bc1059a34150288f47a10985459bb47d5d3f00bdb153f6421461814d81c0d606892ce5d2f2fbb1f1730456a8d9bda359b66cdca3452e79c85a4fc6f2fd65ce9dbd6fcc6145f84a020ca838d1761f17d2a3199178a9e33fe5dc06606f2a44895d3e3dbacc7e27461064c6f79b22fd1075c4dcf7ce8872c68af9f40081542e60d8c52", 0xe1) ioctl$AUTOFS_DEV_IOCTL_VERSION(0xffffffffffffffff, 0xc0189371, &(0x7f0000000180)={{0x1, 0x1, 0x18, r0}, './file0\x00'}) ioctl$SNDCTL_DSP_SETFMT(r1, 0xc0045005, &(0x7f00000001c0)=0x6) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) write$dsp(r0, &(0x7f0000000140)="05", 0x1) [ 584.637944][T14990] kvm: vcpu 0: requested 128 ns lapic timer period limited to 200000 ns [ 584.646949][T10922] usb 1-1: new high-speed USB device number 69 using dummy_hcd [ 584.654762][ T3677] usb 4-1: new high-speed USB device number 93 using dummy_hcd [ 584.675832][T14990] kvm: vcpu 0: requested lapic timer restore with starting count register 0x390=1812281087 (231971979136 ns) > initial count (200000 ns). Using initial count to start timer. [ 584.733798][T14966] UDC core: couldn't find an available UDC or it's busy: -16 [ 584.744884][T14966] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 584.768696][T14966] UDC core: couldn't find an available UDC or it's busy: -16 [ 584.777773][T14966] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 584.791502][T10920] gspca_nw80x: reg_w err -71 [ 584.796462][T10920] nw80x: probe of 3-1:0.0 failed with error -71 [ 584.810258][T10920] usb 3-1: USB disconnect, device number 3 [ 584.901471][ T3677] usb 4-1: Using ep0 maxpacket: 16 [ 584.906739][T10922] usb 1-1: Using ep0 maxpacket: 16 [ 585.032169][ T3677] usb 4-1: config 0 has an invalid descriptor of length 0, skipping remainder of the config [ 585.042589][T10922] usb 1-1: config 0 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 0, changing to 7 [ 585.054623][ T3677] usb 4-1: config 0 interface 0 altsetting 0 has 0 endpoint descriptors, different from the interface descriptor's value: 1 [ 585.067644][T10922] usb 1-1: config 0 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 585.077844][T10922] usb 1-1: New USB device found, idVendor=0499, idProduct=1027, bcdDevice=19.50 [ 585.086978][ T3677] usb 4-1: New USB device found, idVendor=0499, idProduct=1027, bcdDevice=19.50 [ 585.096738][T10922] usb 1-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 585.104856][ T3677] usb 4-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 585.120274][T10922] usb 1-1: config 0 descriptor?? [ 585.129803][ T3677] usb 4-1: config 0 descriptor?? [ 585.165573][T10922] snd-usb-audio: probe of 1-1:0.0 failed with error -12 [ 585.175156][ T3677] snd-usb-audio: probe of 4-1:0.0 failed with error -2 22:45:38 executing program 2: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x21, 0x5d, 0x4b, 0x40, 0x6a5, 0xd800, 0x8712, 0x0, 0x0, 0x0, 0x1, [{{0x9, 0x2, 0x12, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0xf9, 0x30, 0x5d}}]}}]}}, 0x0) syz_usb_control_io$printer(r0, 0x0, 0x0) syz_usb_control_io$printer(r0, 0x0, &(0x7f00000007c0)={0x34, &(0x7f0000000080)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000004c0)={0x2c, &(0x7f0000000040)=ANY=[], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$cdc_ecm(r0, 0x0, &(0x7f00000010c0)={0x1c, &(0x7f0000000040)=ANY=[], 0x0, 0x0}) r1 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000b0cd6f10da0b3228fef4000000010902120001000000000904"], 0x0) syz_usb_control_io(r1, 0x0, 0x0) syz_usb_control_io$uac1(r1, 0x0, &(0x7f0000000340)={0x44, &(0x7f0000000140)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r1, 0x0, 0x0) syz_usb_control_io(r1, &(0x7f0000000280)={0x2c, &(0x7f0000000040)={0x40, 0x9, 0xf1, {0xf1, 0x6, "45db9bd1456f80cb32f5dc0fac9d843e110855b8935ba01689580673212f829b3ade2c4c8ebe897b9d31520b4d4621712d06b4193c342da5c7cb84b38f61eb7ef9f32e9ff1ca0b068eaaa4aaae2b52045134a03750d99639b7efb576e7e08949ded0cf45021379995dcc48ee6541abd9a76db4f1951895aee0c204d35fb08d938630b39cdcdb4f840386f4a6fb74cb588fbbc31dfe1d0b129bd9b95209c29d05ad048c2dbb6845fa848d637ab50afdf6537156266faa5066d4c52acd6c73dace8364bf688277951c09e2ca0f40906119963d9a92912145a86646b547e993da1362b378e28718bd99127a2df2d3e067"}}, &(0x7f0000000140)={0x0, 0x3, 0x4, @lang_id={0x4, 0x3, 0xc0a}}, &(0x7f0000000180)={0x0, 0xf, 0x4d, {0x5, 0xf, 0x4d, 0x4, [@ss_cap={0xa, 0x10, 0x3, 0x2, 0x7, 0x2, 0x3, 0xfc00}, @ptm_cap={0x3}, @ext_cap={0x7, 0x10, 0x2, 0x0, 0x9, 0xb, 0xffff}, @generic={0x34, 0x10, 0xa, "28bc3e087ac100387972f533b3cade33d51c504b9c730fd585900327e776425e9e2518ef307cb2271e082a510391b77f18"}]}}, &(0x7f0000000200)={0x20, 0x29, 0xf, {0xf, 0x29, 0xf7, 0x0, 0x7, 0xd1, "3108cdc8", "20a6eda2"}}, &(0x7f0000000240)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x6, 0x1, 0x5, 0x7f, 0xfe, 0x8, 0x389}}}, &(0x7f0000000800)={0x84, &(0x7f00000002c0)={0x20, 0x16, 0x5d, "92fed0d9e2f552498677768c5391d5873ea154dd0d26735ce1584cbb9f31c355870023da37982d1ca4c967e2e58d42ec204b0d24215b354615bbac39842d0c79c8a4a4d645c3b1737a6edbaf900f3f6d2278fc19d0810e01ec8202e576"}, &(0x7f0000000340)={0x0, 0xa, 0x1}, &(0x7f0000000380)={0x0, 0x8, 0x1, 0x27}, &(0x7f00000003c0)={0x20, 0x0, 0x4, {0x3, 0x2}}, &(0x7f0000000400)={0x20, 0x0, 0x4, {0x20, 0x4}}, &(0x7f0000000440)={0x40, 0x7, 0x2, 0x1}, &(0x7f0000000480)={0x40, 0x9, 0x1, 0x80}, &(0x7f0000000500)={0x40, 0xb, 0x2, "50c5"}, &(0x7f0000000540)={0x40, 0xf, 0x2, 0x8001}, &(0x7f0000000580)={0x40, 0x13, 0x6, @broadcast}, &(0x7f00000005c0)={0x40, 0x17, 0x6, @remote}, &(0x7f0000000600)={0x40, 0x19, 0x2, "a5ae"}, &(0x7f0000000640)={0x40, 0x1a, 0x2, 0x2}, &(0x7f0000000680)={0x40, 0x1c, 0x1, 0x3}, &(0x7f00000006c0)={0x40, 0x1e, 0x1, 0x7}, &(0x7f0000000700)={0x40, 0x21, 0x1, 0x3}}) r2 = syz_usb_connect$uac1(0x3, 0xec, &(0x7f00000008c0)={{0x12, 0x1, 0x110, 0x0, 0x0, 0x0, 0x8, 0x1d6b, 0x101, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0xda, 0x3, 0x1, 0xe0, 0x90, 0x65, {{0x9, 0x4, 0x0, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, {{0xa, 0x24, 0x1, 0x8, 0x1}, [@selector_unit={0x6, 0x24, 0x5, 0x6, 0xfd, "bc"}, @processing_unit={0xd, 0x24, 0x7, 0x5, 0x4, 0xb0, '\v$5JJ.'}, @output_terminal={0x9, 0x24, 0x3, 0x1, 0x0, 0x2, 0x4, 0x9}, @feature_unit={0x13, 0x24, 0x6, 0x6, 0x3, 0x6, [0x6, 0x2, 0x8, 0x4, 0x7, 0x1], 0x6}, @extension_unit={0x8, 0x24, 0x8, 0x4, 0xb13, 0x4, "b3"}]}}, {}, {0x9, 0x4, 0x1, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@as_header={0x7, 0x24, 0x1, 0x6, 0xff, 0x1}]}, {{0x9, 0x5, 0x1, 0x9, 0x400, 0x3, 0x7f, 0x7f, {0x7, 0x25, 0x1, 0x1, 0x7f, 0x7}}}}, {}, {0x9, 0x4, 0x2, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_i_continuous={0xa, 0x24, 0x2, 0x1, 0x3, 0x1, 0x9f, 0x7, "ac80"}, @format_type_ii_discrete={0xd, 0x24, 0x2, 0x2, 0x49d3, 0x0, 0x1, "d77dc455"}, @format_type_i_discrete={0xc, 0x24, 0x2, 0x1, 0x0, 0x3, 0x40, 0x4, "0e983f15"}, @format_type_i_discrete={0xe, 0x24, 0x2, 0x1, 0x0, 0x4, 0x0, 0xe3, "1324c0928d6e"}, @format_type_i_continuous={0xc, 0x24, 0x2, 0x1, 0x3, 0x3, 0x7, 0x4, "22a6", "9667"}]}, {{0x9, 0x5, 0x82, 0x9, 0x8, 0xff, 0x10, 0x0, {0x7, 0x25, 0x1, 0x80, 0x40, 0x7f}}}}}}}]}}, &(0x7f0000000d80)={0xa, &(0x7f0000000740)={0xa, 0x6, 0x110, 0x74, 0x7, 0x8, 0x40, 0x9}, 0x37, &(0x7f0000000780)={0x5, 0xf, 0x37, 0x4, [@ssp_cap={0x10, 0x10, 0xa, 0x0, 0x1, 0x7, 0x0, 0x2, [0xffff30]}, @wireless={0xb, 0x10, 0x1, 0x2, 0x24, 0x2, 0x3, 0xff, 0x5}, @ptm_cap={0x3}, @ss_container_id={0x14, 0x10, 0x4, 0x15, "42c2c976d9ea838666d434018719ceb7"}]}, 0x8, [{0x40, &(0x7f00000009c0)=@string={0x40, 0x3, "dce72b2258c95a595843400dbc477cb36fd0c3c5973d7f80f7a82da192f3f20bfc1fe67d36d5a863326022108cb0f3933d7f5242a71c16357c3f1707d60f"}}, {0x7f, &(0x7f0000000a00)=@string={0x7f, 0x3, "288601faefc7363773ddc5fcc0a0f941e68314a8d14f34886e0a34a8f23893430c0b6300361bc9e08933c5782ce971a78a637d59c8d1fd897ae7c9e2fbc9263737c7b9fdcc2621ef1f7a03ffaf89e5c0873036e373223fb8003f920fb5494d00dbedf07f9518d332918757bd9c52f0b3d3f2a702c29a15be9cfc9f762e"}}, {0x20, &(0x7f0000000a80)=@string={0x20, 0x3, "51fb69b740ca36dbe0b44f85f543afe60c3a291943a6274e279d9a40c97d"}}, {0x61, &(0x7f0000000ac0)=@string={0x61, 0x3, "3c17342c3079e07347c7e2c0324b7784aa739cba5ee5013c3ff1af8ded393771f28e55de03294f0ac98688aeada1bcab18af55fd922033d9f5d5a8a88cf93b4071e5f60f4eee1ec48010bef3fb87bc27e35589d8e6eb21e1b70c6180971cdb"}}, {0x93, &(0x7f0000000b40)=@string={0x93, 0x3, "e63ef376e38ce6df24cb0a1360781a8e8eeab061e14e2e9bc1604fc6287958091144316c18cde808e78be55002ce30325c116b2fbcfd138fcb0af3e4f2ae5ece5ca2ce1cfaeea38e569519d01e0187c4ba2b34b8281f3b54b06b5d227263158af8bedaa72d5f014cb149e6a7fb00254157bcc90e1b9a9e1bf3746e64368a0823f857152928a6775eabbafa9c08a20bf4c6"}}, {0x4, &(0x7f0000000c00)=@lang_id={0x4, 0x3, 0x457}}, {0x4, &(0x7f0000000c40)=@lang_id={0x4, 0x3, 0xc1a}}, {0xee, &(0x7f0000000c80)=@string={0xee, 0x3, "ed3f4dca786cf3493b33a9fe6794837c3a4b441f8c9751d164efd6d82d660369fbf6971b7c5b225716fdd47c85de3899cd2f64da14ee4c1b1bd9a7761210318656f3dcf4ddf94847889e07b96d34f82c81c78df6fac9246c0ab62dd81f77672991cdbf28adeb5890f6e406fdf110eacf984b9e5394c869e9c2c650ed62ddbad273d1cff76ca85d029e6b8425e603a7f94fb23fe6c35f0bd8e527cd081b26aa3263937f21f8e0b090500f1e4c9a0109e3da0e74aaa52c5c4e19c17e1c1acb0ec9befc2e08f9b5e9c0349696ee71ca43b2491366c80f501f98958fbe554aa7311bee21e0f08f86478310c27dd7"}}]}) syz_usb_control_io$cdc_ncm(0xffffffffffffffff, &(0x7f00000016c0)={0x14, &(0x7f00000015c0)={0x0, 0xb, 0xb1, {0xb1, 0x3, "97ed9b0bfdb48bd71868635dc713555401a86161b64856c9f401e9b44569cf6ca9fd47365f6029727ab64ef6ad55c71e254a5ded10a1601e4ba3b68000fed7598fb135558576972535dc6e2ed213669f33e35b4710dd19e153cfcf8eaf40d8cc5e654ad68842414b5b37775e8461984fa8f2dd54ba4fb11a6c7203d43f73bc8c85362982fa1ae3aa520c06e514c875d80e02cb20e6769a4c7c7891983c2d12f9fe6d9e687f87c3b511c69b9083df85"}}, &(0x7f0000001680)={0x0, 0x3, 0x1a, {0x1a}}}, &(0x7f0000001980)={0x44, &(0x7f0000001700)={0x20, 0x1, 0x7f, "d20a98c9e5a8b3822a40505bff88f60b94e1cb9f978293887148cb278d8ed33a25cb48f38c3c5eab6c057e004af389e144379fa5645ff4a9a900221207ab71dcdd2146a7a2c8027ced750ab9f1aecde0cbb2a975cad4968708e3a85f5d5c685028b672fb7e14d1742dd233726d6bb5f32be2ec37abe14ae35930268c23bdf2"}, &(0x7f00000017c0)={0x0, 0xa, 0x1, 0x8}, &(0x7f0000001800)={0x0, 0x8, 0x1, 0x1}, &(0x7f0000001840)={0x20, 0x80, 0x1c, {0x5, 0x31d4, 0x6, 0x9, 0x9, 0x3f, 0x9, 0x0, 0x1000, 0x9, 0x0, 0x1}}, &(0x7f0000001880)={0x20, 0x85, 0x4, 0x5}, &(0x7f00000018c0)={0x20, 0x83, 0x2}, &(0x7f0000001900)={0x20, 0x87, 0x2, 0x101}, &(0x7f0000001940)={0x20, 0x89, 0x2}}) syz_usb_control_io(r2, &(0x7f0000001000)={0x2c, &(0x7f0000000e00)={0x20, 0xe, 0xa, {0xa, 0xd, "d5c92ce4e5e1fb7f"}}, &(0x7f0000000e40)={0x0, 0x3, 0xdc, @string={0xdc, 0x3, "da7ace6e7eb2a6408d5d87223c973b0e97efe6299c506cddcb774933a3c117c2c97f2f299d7eba9294bbf38ad01d9b142678ee957863040a458f6373425fcac0b3b13b29752d7d420492773a12c4eb8b7f9fa94c2d59b1416e694291072c3c02a45cc9eb04e3efb2c064ecdc071ee41d3e6ee39e40ae9c75ee5d5d4ef1986f670c997b8d10d005f202d1617e06ab2b65c06558e99e089ea15daa4370c9cbe1ae8a30fe7c330b497ea003afec1110e72cf936e38087c91902eb8e32123b72e5cc927c93e69c58a8ac8fea48e3848294e65d17ea224dc38d44d3e1"}}, &(0x7f0000000f40)={0x0, 0xf, 0xf, {0x5, 0xf, 0xf, 0x1, [@ss_cap={0xa, 0x10, 0x3, 0x2, 0x0, 0x20, 0x47, 0x3}]}}, &(0x7f0000000f80)={0x20, 0x29, 0xf, {0xf, 0x29, 0x5, 0x0, 0xb6, 0x20, "494c054c", "777081e8"}}, &(0x7f0000000fc0)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x12, 0x4, 0x5, 0x0, 0x80, 0x573, 0x4f9}}}, &(0x7f0000001500)={0x84, &(0x7f0000001100)={0x20, 0x30, 0xba, "9d090fabad0dae17b47b48ba7185c075456eeaf213232687789dd1cd05bb13f5f603142f0b5f33e6fa7b0b2f1c8744f304f260a31ca77d6c6f137789dca97969cb3fe6791dcb01e303adfacffc19a907a4b2e4d2f55d856a6596949c27cfb07a80f9b9ce0297280d01ca722b8b5b3fe2f517e51d0921b219d3686c96b3f71cd501c3d32e703766596e27a01838484b82f007b9cd61bfe4720002730733ecb51e4f4c299035014a3cf7f62185f05d1deae76d685063d47df6db2d"}, &(0x7f0000001040)={0x0, 0xa, 0x1, 0x80}, &(0x7f0000001080)={0x0, 0x8, 0x1, 0x3f}, &(0x7f00000011c0)={0x20, 0x0, 0x4, {0x2, 0x3}}, &(0x7f0000001200)={0x20, 0x0, 0x8, {0x0, 0x10, [0xf000]}}, &(0x7f0000001240)={0x40, 0x7, 0x2, 0x7}, &(0x7f0000001280)={0x40, 0x9, 0x1, 0x5}, &(0x7f00000012c0)={0x40, 0xb, 0x2, "ed3a"}, &(0x7f0000001300)={0x40, 0xf, 0x2, 0xfb5}, &(0x7f0000001340)={0x40, 0x13, 0x6, @broadcast}, &(0x7f0000001380)={0x40, 0x17, 0x6, @dev={'\xaa\xaa\xaa\xaa\xaa', 0x32}}, &(0x7f00000013c0)={0x40, 0x19, 0x2, "05d9"}, &(0x7f0000001400)={0x40, 0x1a, 0x2, 0x1ff}, &(0x7f0000001440)={0x40, 0x1c, 0x1, 0x40}, &(0x7f0000001480)={0x40, 0x1e, 0x1, 0x5}, &(0x7f00000014c0)={0x40, 0x21, 0x1, 0x3f}}) syz_usb_connect(0x0, 0x24, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x21, 0x5d, 0x4b, 0x40, 0x6a5, 0xd800, 0x8712, 0x0, 0x0, 0x0, 0x1, [{{0x9, 0x2, 0x12, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0xf9, 0x30, 0x5d}}]}}]}}, 0x0) (async) syz_usb_control_io$printer(r0, 0x0, 0x0) (async) syz_usb_control_io$printer(r0, 0x0, &(0x7f00000007c0)={0x34, &(0x7f0000000080)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0}) (async) syz_usb_control_io$hid(r0, 0x0, 0x0) (async) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000004c0)={0x2c, &(0x7f0000000040)=ANY=[], 0x0, 0x0, 0x0, 0x0}) (async) syz_usb_control_io$cdc_ecm(r0, 0x0, &(0x7f00000010c0)={0x1c, &(0x7f0000000040)=ANY=[], 0x0, 0x0}) (async) syz_usb_connect(0x0, 0x24, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000b0cd6f10da0b3228fef4000000010902120001000000000904"], 0x0) (async) syz_usb_control_io(r1, 0x0, 0x0) (async) syz_usb_control_io$uac1(r1, 0x0, &(0x7f0000000340)={0x44, &(0x7f0000000140)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) (async) syz_usb_control_io$hid(r1, 0x0, 0x0) (async) syz_usb_control_io(r1, &(0x7f0000000280)={0x2c, &(0x7f0000000040)={0x40, 0x9, 0xf1, {0xf1, 0x6, "45db9bd1456f80cb32f5dc0fac9d843e110855b8935ba01689580673212f829b3ade2c4c8ebe897b9d31520b4d4621712d06b4193c342da5c7cb84b38f61eb7ef9f32e9ff1ca0b068eaaa4aaae2b52045134a03750d99639b7efb576e7e08949ded0cf45021379995dcc48ee6541abd9a76db4f1951895aee0c204d35fb08d938630b39cdcdb4f840386f4a6fb74cb588fbbc31dfe1d0b129bd9b95209c29d05ad048c2dbb6845fa848d637ab50afdf6537156266faa5066d4c52acd6c73dace8364bf688277951c09e2ca0f40906119963d9a92912145a86646b547e993da1362b378e28718bd99127a2df2d3e067"}}, &(0x7f0000000140)={0x0, 0x3, 0x4, @lang_id={0x4, 0x3, 0xc0a}}, &(0x7f0000000180)={0x0, 0xf, 0x4d, {0x5, 0xf, 0x4d, 0x4, [@ss_cap={0xa, 0x10, 0x3, 0x2, 0x7, 0x2, 0x3, 0xfc00}, @ptm_cap={0x3}, @ext_cap={0x7, 0x10, 0x2, 0x0, 0x9, 0xb, 0xffff}, @generic={0x34, 0x10, 0xa, "28bc3e087ac100387972f533b3cade33d51c504b9c730fd585900327e776425e9e2518ef307cb2271e082a510391b77f18"}]}}, &(0x7f0000000200)={0x20, 0x29, 0xf, {0xf, 0x29, 0xf7, 0x0, 0x7, 0xd1, "3108cdc8", "20a6eda2"}}, &(0x7f0000000240)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x6, 0x1, 0x5, 0x7f, 0xfe, 0x8, 0x389}}}, &(0x7f0000000800)={0x84, &(0x7f00000002c0)={0x20, 0x16, 0x5d, "92fed0d9e2f552498677768c5391d5873ea154dd0d26735ce1584cbb9f31c355870023da37982d1ca4c967e2e58d42ec204b0d24215b354615bbac39842d0c79c8a4a4d645c3b1737a6edbaf900f3f6d2278fc19d0810e01ec8202e576"}, &(0x7f0000000340)={0x0, 0xa, 0x1}, &(0x7f0000000380)={0x0, 0x8, 0x1, 0x27}, &(0x7f00000003c0)={0x20, 0x0, 0x4, {0x3, 0x2}}, &(0x7f0000000400)={0x20, 0x0, 0x4, {0x20, 0x4}}, &(0x7f0000000440)={0x40, 0x7, 0x2, 0x1}, &(0x7f0000000480)={0x40, 0x9, 0x1, 0x80}, &(0x7f0000000500)={0x40, 0xb, 0x2, "50c5"}, &(0x7f0000000540)={0x40, 0xf, 0x2, 0x8001}, &(0x7f0000000580)={0x40, 0x13, 0x6, @broadcast}, &(0x7f00000005c0)={0x40, 0x17, 0x6, @remote}, &(0x7f0000000600)={0x40, 0x19, 0x2, "a5ae"}, &(0x7f0000000640)={0x40, 0x1a, 0x2, 0x2}, &(0x7f0000000680)={0x40, 0x1c, 0x1, 0x3}, &(0x7f00000006c0)={0x40, 0x1e, 0x1, 0x7}, &(0x7f0000000700)={0x40, 0x21, 0x1, 0x3}}) (async) syz_usb_connect$uac1(0x3, 0xec, &(0x7f00000008c0)={{0x12, 0x1, 0x110, 0x0, 0x0, 0x0, 0x8, 0x1d6b, 0x101, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0xda, 0x3, 0x1, 0xe0, 0x90, 0x65, {{0x9, 0x4, 0x0, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, {{0xa, 0x24, 0x1, 0x8, 0x1}, [@selector_unit={0x6, 0x24, 0x5, 0x6, 0xfd, "bc"}, @processing_unit={0xd, 0x24, 0x7, 0x5, 0x4, 0xb0, '\v$5JJ.'}, @output_terminal={0x9, 0x24, 0x3, 0x1, 0x0, 0x2, 0x4, 0x9}, @feature_unit={0x13, 0x24, 0x6, 0x6, 0x3, 0x6, [0x6, 0x2, 0x8, 0x4, 0x7, 0x1], 0x6}, @extension_unit={0x8, 0x24, 0x8, 0x4, 0xb13, 0x4, "b3"}]}}, {}, {0x9, 0x4, 0x1, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@as_header={0x7, 0x24, 0x1, 0x6, 0xff, 0x1}]}, {{0x9, 0x5, 0x1, 0x9, 0x400, 0x3, 0x7f, 0x7f, {0x7, 0x25, 0x1, 0x1, 0x7f, 0x7}}}}, {}, {0x9, 0x4, 0x2, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_i_continuous={0xa, 0x24, 0x2, 0x1, 0x3, 0x1, 0x9f, 0x7, "ac80"}, @format_type_ii_discrete={0xd, 0x24, 0x2, 0x2, 0x49d3, 0x0, 0x1, "d77dc455"}, @format_type_i_discrete={0xc, 0x24, 0x2, 0x1, 0x0, 0x3, 0x40, 0x4, "0e983f15"}, @format_type_i_discrete={0xe, 0x24, 0x2, 0x1, 0x0, 0x4, 0x0, 0xe3, "1324c0928d6e"}, @format_type_i_continuous={0xc, 0x24, 0x2, 0x1, 0x3, 0x3, 0x7, 0x4, "22a6", "9667"}]}, {{0x9, 0x5, 0x82, 0x9, 0x8, 0xff, 0x10, 0x0, {0x7, 0x25, 0x1, 0x80, 0x40, 0x7f}}}}}}}]}}, &(0x7f0000000d80)={0xa, &(0x7f0000000740)={0xa, 0x6, 0x110, 0x74, 0x7, 0x8, 0x40, 0x9}, 0x37, &(0x7f0000000780)={0x5, 0xf, 0x37, 0x4, [@ssp_cap={0x10, 0x10, 0xa, 0x0, 0x1, 0x7, 0x0, 0x2, [0xffff30]}, @wireless={0xb, 0x10, 0x1, 0x2, 0x24, 0x2, 0x3, 0xff, 0x5}, @ptm_cap={0x3}, @ss_container_id={0x14, 0x10, 0x4, 0x15, "42c2c976d9ea838666d434018719ceb7"}]}, 0x8, [{0x40, &(0x7f00000009c0)=@string={0x40, 0x3, "dce72b2258c95a595843400dbc477cb36fd0c3c5973d7f80f7a82da192f3f20bfc1fe67d36d5a863326022108cb0f3933d7f5242a71c16357c3f1707d60f"}}, {0x7f, &(0x7f0000000a00)=@string={0x7f, 0x3, "288601faefc7363773ddc5fcc0a0f941e68314a8d14f34886e0a34a8f23893430c0b6300361bc9e08933c5782ce971a78a637d59c8d1fd897ae7c9e2fbc9263737c7b9fdcc2621ef1f7a03ffaf89e5c0873036e373223fb8003f920fb5494d00dbedf07f9518d332918757bd9c52f0b3d3f2a702c29a15be9cfc9f762e"}}, {0x20, &(0x7f0000000a80)=@string={0x20, 0x3, "51fb69b740ca36dbe0b44f85f543afe60c3a291943a6274e279d9a40c97d"}}, {0x61, &(0x7f0000000ac0)=@string={0x61, 0x3, "3c17342c3079e07347c7e2c0324b7784aa739cba5ee5013c3ff1af8ded393771f28e55de03294f0ac98688aeada1bcab18af55fd922033d9f5d5a8a88cf93b4071e5f60f4eee1ec48010bef3fb87bc27e35589d8e6eb21e1b70c6180971cdb"}}, {0x93, &(0x7f0000000b40)=@string={0x93, 0x3, "e63ef376e38ce6df24cb0a1360781a8e8eeab061e14e2e9bc1604fc6287958091144316c18cde808e78be55002ce30325c116b2fbcfd138fcb0af3e4f2ae5ece5ca2ce1cfaeea38e569519d01e0187c4ba2b34b8281f3b54b06b5d227263158af8bedaa72d5f014cb149e6a7fb00254157bcc90e1b9a9e1bf3746e64368a0823f857152928a6775eabbafa9c08a20bf4c6"}}, {0x4, &(0x7f0000000c00)=@lang_id={0x4, 0x3, 0x457}}, {0x4, &(0x7f0000000c40)=@lang_id={0x4, 0x3, 0xc1a}}, {0xee, &(0x7f0000000c80)=@string={0xee, 0x3, "ed3f4dca786cf3493b33a9fe6794837c3a4b441f8c9751d164efd6d82d660369fbf6971b7c5b225716fdd47c85de3899cd2f64da14ee4c1b1bd9a7761210318656f3dcf4ddf94847889e07b96d34f82c81c78df6fac9246c0ab62dd81f77672991cdbf28adeb5890f6e406fdf110eacf984b9e5394c869e9c2c650ed62ddbad273d1cff76ca85d029e6b8425e603a7f94fb23fe6c35f0bd8e527cd081b26aa3263937f21f8e0b090500f1e4c9a0109e3da0e74aaa52c5c4e19c17e1c1acb0ec9befc2e08f9b5e9c0349696ee71ca43b2491366c80f501f98958fbe554aa7311bee21e0f08f86478310c27dd7"}}]}) (async) syz_usb_control_io$cdc_ncm(0xffffffffffffffff, &(0x7f00000016c0)={0x14, &(0x7f00000015c0)={0x0, 0xb, 0xb1, {0xb1, 0x3, "97ed9b0bfdb48bd71868635dc713555401a86161b64856c9f401e9b44569cf6ca9fd47365f6029727ab64ef6ad55c71e254a5ded10a1601e4ba3b68000fed7598fb135558576972535dc6e2ed213669f33e35b4710dd19e153cfcf8eaf40d8cc5e654ad68842414b5b37775e8461984fa8f2dd54ba4fb11a6c7203d43f73bc8c85362982fa1ae3aa520c06e514c875d80e02cb20e6769a4c7c7891983c2d12f9fe6d9e687f87c3b511c69b9083df85"}}, &(0x7f0000001680)={0x0, 0x3, 0x1a, {0x1a}}}, &(0x7f0000001980)={0x44, &(0x7f0000001700)={0x20, 0x1, 0x7f, "d20a98c9e5a8b3822a40505bff88f60b94e1cb9f978293887148cb278d8ed33a25cb48f38c3c5eab6c057e004af389e144379fa5645ff4a9a900221207ab71dcdd2146a7a2c8027ced750ab9f1aecde0cbb2a975cad4968708e3a85f5d5c685028b672fb7e14d1742dd233726d6bb5f32be2ec37abe14ae35930268c23bdf2"}, &(0x7f00000017c0)={0x0, 0xa, 0x1, 0x8}, &(0x7f0000001800)={0x0, 0x8, 0x1, 0x1}, &(0x7f0000001840)={0x20, 0x80, 0x1c, {0x5, 0x31d4, 0x6, 0x9, 0x9, 0x3f, 0x9, 0x0, 0x1000, 0x9, 0x0, 0x1}}, &(0x7f0000001880)={0x20, 0x85, 0x4, 0x5}, &(0x7f00000018c0)={0x20, 0x83, 0x2}, &(0x7f0000001900)={0x20, 0x87, 0x2, 0x101}, &(0x7f0000001940)={0x20, 0x89, 0x2}}) (async) syz_usb_control_io(r2, &(0x7f0000001000)={0x2c, &(0x7f0000000e00)={0x20, 0xe, 0xa, {0xa, 0xd, "d5c92ce4e5e1fb7f"}}, &(0x7f0000000e40)={0x0, 0x3, 0xdc, @string={0xdc, 0x3, "da7ace6e7eb2a6408d5d87223c973b0e97efe6299c506cddcb774933a3c117c2c97f2f299d7eba9294bbf38ad01d9b142678ee957863040a458f6373425fcac0b3b13b29752d7d420492773a12c4eb8b7f9fa94c2d59b1416e694291072c3c02a45cc9eb04e3efb2c064ecdc071ee41d3e6ee39e40ae9c75ee5d5d4ef1986f670c997b8d10d005f202d1617e06ab2b65c06558e99e089ea15daa4370c9cbe1ae8a30fe7c330b497ea003afec1110e72cf936e38087c91902eb8e32123b72e5cc927c93e69c58a8ac8fea48e3848294e65d17ea224dc38d44d3e1"}}, &(0x7f0000000f40)={0x0, 0xf, 0xf, {0x5, 0xf, 0xf, 0x1, [@ss_cap={0xa, 0x10, 0x3, 0x2, 0x0, 0x20, 0x47, 0x3}]}}, &(0x7f0000000f80)={0x20, 0x29, 0xf, {0xf, 0x29, 0x5, 0x0, 0xb6, 0x20, "494c054c", "777081e8"}}, &(0x7f0000000fc0)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x12, 0x4, 0x5, 0x0, 0x80, 0x573, 0x4f9}}}, &(0x7f0000001500)={0x84, &(0x7f0000001100)={0x20, 0x30, 0xba, "9d090fabad0dae17b47b48ba7185c075456eeaf213232687789dd1cd05bb13f5f603142f0b5f33e6fa7b0b2f1c8744f304f260a31ca77d6c6f137789dca97969cb3fe6791dcb01e303adfacffc19a907a4b2e4d2f55d856a6596949c27cfb07a80f9b9ce0297280d01ca722b8b5b3fe2f517e51d0921b219d3686c96b3f71cd501c3d32e703766596e27a01838484b82f007b9cd61bfe4720002730733ecb51e4f4c299035014a3cf7f62185f05d1deae76d685063d47df6db2d"}, &(0x7f0000001040)={0x0, 0xa, 0x1, 0x80}, &(0x7f0000001080)={0x0, 0x8, 0x1, 0x3f}, &(0x7f00000011c0)={0x20, 0x0, 0x4, {0x2, 0x3}}, &(0x7f0000001200)={0x20, 0x0, 0x8, {0x0, 0x10, [0xf000]}}, &(0x7f0000001240)={0x40, 0x7, 0x2, 0x7}, &(0x7f0000001280)={0x40, 0x9, 0x1, 0x5}, &(0x7f00000012c0)={0x40, 0xb, 0x2, "ed3a"}, &(0x7f0000001300)={0x40, 0xf, 0x2, 0xfb5}, &(0x7f0000001340)={0x40, 0x13, 0x6, @broadcast}, &(0x7f0000001380)={0x40, 0x17, 0x6, @dev={'\xaa\xaa\xaa\xaa\xaa', 0x32}}, &(0x7f00000013c0)={0x40, 0x19, 0x2, "05d9"}, &(0x7f0000001400)={0x40, 0x1a, 0x2, 0x1ff}, &(0x7f0000001440)={0x40, 0x1c, 0x1, 0x40}, &(0x7f0000001480)={0x40, 0x1e, 0x1, 0x5}, &(0x7f00000014c0)={0x40, 0x21, 0x1, 0x3f}}) (async) 22:45:38 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0x3b5140, 0x0) write$dsp(r0, &(0x7f0000000000)="889acc18b43eff25925f073193d2f39220a372de95b1a3f0e12504c018ede6a23c57e007a7d325c4becf0f491653d69eec294c8af865d852733de7c29b9b3801b35aec6d4774d3692e7a4442d7383546f6e08bfaa61a0bc4b1ebb135d4c7853bc1059a34150288f47a10985459bb47d5d3f00bdb153f6421461814d81c0d606892ce5d2f2fbb1f1730456a8d9bda359b66cdca3452e79c85a4fc6f2fd65ce9dbd6fcc6145f84a020ca838d1761f17d2a3199178a9e33fe5dc06606f2a44895d3e3dbacc7e27461064c6f79b22fd1075c4dcf7ce8872c68af9f40081542e60d8c52", 0xe1) (async) ioctl$AUTOFS_DEV_IOCTL_VERSION(0xffffffffffffffff, 0xc0189371, &(0x7f0000000180)={{0x1, 0x1, 0x18, r0}, './file0\x00'}) ioctl$SNDCTL_DSP_SETFMT(r1, 0xc0045005, &(0x7f00000001c0)=0x6) (async) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) (async) write$dsp(r0, &(0x7f0000000140)="05", 0x1) 22:45:38 executing program 5: mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x3, 0x8031, 0xffffffffffffffff, 0x0) write$binfmt_elf64(0xffffffffffffffff, 0x0, 0xa3b) mmap(&(0x7f00009fd000/0x600000)=nil, 0x600000, 0x380000d, 0x6031, 0xffffffffffffffff, 0x0) bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, 0x0, 0xffffffffffffff80) r0 = socket$inet6_tcp(0xa, 0x1, 0x0) setsockopt$inet6_tcp_int(r0, 0x6, 0x13, &(0x7f00000000c0)=0x100000001, 0x4) setsockopt$inet6_tcp_TCP_REPAIR_QUEUE(r0, 0x6, 0x14, &(0x7f0000000080)=0x80000000001, 0x4) connect$inet6(r0, &(0x7f0000000180)={0xa, 0x0, 0x0, @empty}, 0x1c) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r1, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r1, 0x0) bind(r1, &(0x7f0000000280)=@sco={0x1f, @none}, 0x80) sendto$inet6(r0, &(0x7f00000001c0)="a6e2976b5c4383036d32dadd2e144d8645ca8d1b230e105614396838da83c754887e7bea2f35d4ea667817d90d532af065f2e398dd9081ea16f8b371a202a6f9e505bbc964a0d3880bf0104a0a0a2f0d311efee1637e85a0125b38f961918f99bf9c2c146e42327f178dc2b3d4936e7f7f0a79f74ba464d83ab41742d1186776dc1779b5c50ac82d0fa8f9e42074b5b6079207fb21e718080907964669be539791e3e98687ee059853", 0xa9, 0x20000840, 0x0, 0x0) mmap(&(0x7f0000ffa000/0x4000)=nil, 0x4000, 0x0, 0x13, r0, 0x0) r2 = openat$sw_sync_info(0xffffffffffffff9c, &(0x7f0000000040), 0x109802, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(r2, 0x6, 0x23, &(0x7f0000000100)={&(0x7f00002a6000/0x14000)=nil, 0x14000, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}, &(0x7f0000000140)=0x58) ioctl$BLKSECTGET(0xffffffffffffffff, 0x1267, 0x0) [ 585.369570][T10922] usb 1-1: USB disconnect, device number 69 [ 585.378003][T10813] usb 4-1: USB disconnect, device number 93 [ 585.611575][ T3677] usb 3-1: new high-speed USB device number 4 using dummy_hcd 22:45:39 executing program 0: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000dcaaf0109904271050190000000109022c0001000000000904000001e30824000836060100000000092402020000000000090581db"], 0x0) 22:45:39 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0x3b5140, 0x0) write$dsp(r0, &(0x7f0000000000)="889acc18b43eff25925f073193d2f39220a372de95b1a3f0e12504c018ede6a23c57e007a7d325c4becf0f491653d69eec294c8af865d852733de7c29b9b3801b35aec6d4774d3692e7a4442d7383546f6e08bfaa61a0bc4b1ebb135d4c7853bc1059a34150288f47a10985459bb47d5d3f00bdb153f6421461814d81c0d606892ce5d2f2fbb1f1730456a8d9bda359b66cdca3452e79c85a4fc6f2fd65ce9dbd6fcc6145f84a020ca838d1761f17d2a3199178a9e33fe5dc06606f2a44895d3e3dbacc7e27461064c6f79b22fd1075c4dcf7ce8872c68af9f40081542e60d8c52", 0xe1) ioctl$AUTOFS_DEV_IOCTL_VERSION(0xffffffffffffffff, 0xc0189371, &(0x7f0000000180)={{0x1, 0x1, 0x18, r0}, './file0\x00'}) ioctl$SNDCTL_DSP_SETFMT(r1, 0xc0045005, &(0x7f00000001c0)=0x6) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) write$dsp(r0, &(0x7f0000000140)="05", 0x1) openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0x3b5140, 0x0) (async) write$dsp(r0, &(0x7f0000000000)="889acc18b43eff25925f073193d2f39220a372de95b1a3f0e12504c018ede6a23c57e007a7d325c4becf0f491653d69eec294c8af865d852733de7c29b9b3801b35aec6d4774d3692e7a4442d7383546f6e08bfaa61a0bc4b1ebb135d4c7853bc1059a34150288f47a10985459bb47d5d3f00bdb153f6421461814d81c0d606892ce5d2f2fbb1f1730456a8d9bda359b66cdca3452e79c85a4fc6f2fd65ce9dbd6fcc6145f84a020ca838d1761f17d2a3199178a9e33fe5dc06606f2a44895d3e3dbacc7e27461064c6f79b22fd1075c4dcf7ce8872c68af9f40081542e60d8c52", 0xe1) (async) ioctl$AUTOFS_DEV_IOCTL_VERSION(0xffffffffffffffff, 0xc0189371, &(0x7f0000000180)={{0x1, 0x1, 0x18, r0}, './file0\x00'}) (async) ioctl$SNDCTL_DSP_SETFMT(r1, 0xc0045005, &(0x7f00000001c0)=0x6) (async) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) (async) write$dsp(r0, &(0x7f0000000140)="05", 0x1) (async) 22:45:39 executing program 3: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000dcaaf0109904271050190000000109022c0001000000000904000001e3082400083606010000000009240202000000"], 0x0) 22:45:39 executing program 5: mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x3, 0x8031, 0xffffffffffffffff, 0x0) write$binfmt_elf64(0xffffffffffffffff, 0x0, 0xa3b) (async, rerun: 32) mmap(&(0x7f00009fd000/0x600000)=nil, 0x600000, 0x380000d, 0x6031, 0xffffffffffffffff, 0x0) (rerun: 32) bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, 0x0, 0xffffffffffffff80) r0 = socket$inet6_tcp(0xa, 0x1, 0x0) setsockopt$inet6_tcp_int(r0, 0x6, 0x13, &(0x7f00000000c0)=0x100000001, 0x4) (async) setsockopt$inet6_tcp_TCP_REPAIR_QUEUE(r0, 0x6, 0x14, &(0x7f0000000080)=0x80000000001, 0x4) (async) connect$inet6(r0, &(0x7f0000000180)={0xa, 0x0, 0x0, @empty}, 0x1c) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r1, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r1, 0x0) bind(r1, &(0x7f0000000280)=@sco={0x1f, @none}, 0x80) sendto$inet6(r0, &(0x7f00000001c0)="a6e2976b5c4383036d32dadd2e144d8645ca8d1b230e105614396838da83c754887e7bea2f35d4ea667817d90d532af065f2e398dd9081ea16f8b371a202a6f9e505bbc964a0d3880bf0104a0a0a2f0d311efee1637e85a0125b38f961918f99bf9c2c146e42327f178dc2b3d4936e7f7f0a79f74ba464d83ab41742d1186776dc1779b5c50ac82d0fa8f9e42074b5b6079207fb21e718080907964669be539791e3e98687ee059853", 0xa9, 0x20000840, 0x0, 0x0) mmap(&(0x7f0000ffa000/0x4000)=nil, 0x4000, 0x0, 0x13, r0, 0x0) r2 = openat$sw_sync_info(0xffffffffffffff9c, &(0x7f0000000040), 0x109802, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(r2, 0x6, 0x23, &(0x7f0000000100)={&(0x7f00002a6000/0x14000)=nil, 0x14000, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}, &(0x7f0000000140)=0x58) (async) ioctl$BLKSECTGET(0xffffffffffffffff, 0x1267, 0x0) 22:45:39 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0x28e82, 0x0) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) r2 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) r3 = socket(0x1e, 0x1, 0x0) sendmsg(r3, &(0x7f0000000000)={&(0x7f0000000080)=@generic={0x10000000001e, "02ff0100000001000000000000000ae77f5bf86c48020002000000f1ffffff009a480075e6a50000de010300000000e4ff064b3f013a000000080200000000000000ac50d5fe32c4000000007fffffff6a008356edb9a6341c1fd45624281e00070ecddd0206c39750c40000fd00000908000000000b0000db000004da36"}, 0x80, 0x0}, 0x0) recvmmsg(r3, &(0x7f0000001f40)=[{{0x0, 0x0, &(0x7f0000000300)=[{&(0x7f0000000180)=""/105, 0x69}], 0x1, &(0x7f00000003c0)=""/250, 0xfa}}], 0x1, 0x0, 0x0) write$binfmt_elf32(r3, &(0x7f00000001c0)=ANY=[], 0xfffffd6d) r4 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r4, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r4, 0x0) write$binfmt_script(0xffffffffffffffff, &(0x7f0000000200)=ANY=[@ANYRES64=r4, @ANYRESOCT=r3, @ANYRES16=r0, @ANYRESOCT=r4, @ANYRESDEC, @ANYBLOB="417b50f64d18c2a57e8073d0a2b9b6fedc70ad881ac0d45ac6d8180e5eea73245dd14628191275b10e26146a23c424d347650508820b31286506451e939ee26b5e42caf69838e33b88d0c133609a07f213a1d62c4f0801fba3d1d1614369da4afe", @ANYRESHEX=r4, @ANYRES64, @ANYRESDEC=r0], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r2, 0x0) openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) sendmsg$nl_route_sched(r3, &(0x7f0000000600)={&(0x7f0000000380), 0x6, &(0x7f0000000640)={&(0x7f0000007400)=@newtfilter={0x0, 0x2c, 0x200, 0x70bd2c, 0x25dfdbff, {0x0, 0x0, 0x0, 0x0, {0xffff, 0x7}, {0xd, 0x8}, {0xfffb, 0xa}}, [@TCA_CHAIN={0x0, 0xb, 0x9f}, @TCA_RATE={0x0, 0x5, {0x30, 0x4}}, @TCA_RATE={0x0, 0x5, {0x5, 0x1}}, @TCA_CHAIN={0x0, 0xb, 0x200}, @TCA_CHAIN={0x0, 0xb, 0x6}, @filter_kind_options=@f_cgroup={{}, {0x0, 0x2, [@TCA_CGROUP_EMATCHES={0x0, 0x3, 0x0, 0x1, [@TCA_EMATCH_TREE_HDR={0x0, 0x1, {0x1b93}}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_IPT={0x0, 0x1, 0x0, 0x0, {{0x4, 0x9, 0x1}, [@TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x7}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x3}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x5}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x6}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x8}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0xa}, @TCA_EM_IPT_MATCH_NAME]}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x6, 0x1, 0x9}, {0xffffffff, 0x3b, 0x5e, 0x1, 0x8, 0x2, 0x2}}}, @TCF_EM_META={0x0, 0x3, 0x0, 0x0, {{0xdbd6, 0x4, 0x10}, [@TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR='F', @TCF_META_TYPE_VAR='2n', @TCF_META_TYPE_INT=0x3, @TCF_META_TYPE_INT=0x2, @TCF_META_TYPE_VAR="a190a2a28f15", @TCF_META_TYPE_VAR="f051296c490a64c6a7", @TCF_META_TYPE_INT=0x2, @TCF_META_TYPE_INT]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x0, 0xff, 0x2}, {0x573f, 0x6, 0x2}}}, @TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_VAR="24c1ec304693", @TCF_META_TYPE_INT=0x7]}]}}, @TCF_EM_IPT={0x0, 0x2, 0x0, 0x0, {{0x7, 0x9, 0x81}, [@TCA_EM_IPT_HOOK={0x0, 0x1, 0x3}]}}]}, @TCA_EMATCH_TREE_HDR={0x0, 0x1, {0x6}}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_CANID={0x0, 0x3, 0x0, 0x0, {{0x81, 0x7, 0x81}, {{0x4, 0x1, 0x1}, {0x2}}}}, @TCF_EM_IPSET={0x0, 0x1, 0x0, 0x0, {{0x8, 0x8, 0xe3}, {0x3, 0x2, 0x1}}}, @TCF_EM_CONTAINER={0x0, 0x2, 0x0, 0x0, {{0x62, 0x0, 0x1988}, "e390f518c4769e91237627da"}}, @TCF_EM_U32={0x0, 0x1, 0x0, 0x0, {{0x80, 0x3, 0x3f}, {0x9, 0x1ff, 0xfef, 0x3}}}, @TCF_EM_CMP={0x0, 0x2, 0x0, 0x0, {{0x0, 0x1, 0x7}, {0x4, 0x0, 0x2, 0x2, 0x6, 0x146d2f35b68138cb, 0x2}}}]}]}, @TCA_CGROUP_EMATCHES={0x0, 0x3, 0x0, 0x1, [@TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_NBYTE={0x0, 0x2, 0x0, 0x0, {{0x1, 0x2, 0x8000}, {0x80, 0x0, 0x1, "b3e2d513"}}}, @TCF_EM_IPT={0x0, 0x3, 0x0, 0x0, {{0x7}, [@TCA_EM_IPT_HOOK={0x0, 0x1, 0x7}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0xa}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x2}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x4}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x5}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x1}]}}]}, @TCA_EMATCH_TREE_HDR={0x0, 0x1, {0xfff8}}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_CANID={0x0, 0x2, 0x0, 0x0, {{0x8001, 0x7, 0x9}, {{0x8d07, 0x1, 0x1, 0x1}, {0x2, 0x1, 0x1}}}}, @TCF_EM_IPT={0x0, 0x1, 0x0, 0x0, {{0x2, 0x9, 0x1}, [@TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0xf7}, @TCA_EM_IPT_MATCH_NAME, @TCA_EM_IPT_MATCH_DATA, @TCA_EM_IPT_MATCH_NAME, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "42e9c2ee7f0b4c8d300ce8f4d7e7ddbb90a9f76738fafe21276d2d9fb4da4157668197b26ae9b0e77b9f22277e485c83f2caf44ef53058b532ae27fd033645ba83895412d14980cc49894d807706de6a3852c02fb65ef20b73fdc936c1e04a3be73cc5"}, @TCA_EM_IPT_MATCH_NAME, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "cbe039aa13d345a49d3149c513bc2d1d6db490b3c970d948a8ecb34e11ad45c27f361132a5468db10f1a54ddb26194de500fc6d2f3aacbfd4e6f75205a80f632b3b5ca7942ce749a92e4246143096bf909127854f885186872028df8a40b2a82276129e774c9b122ac5b1fed93cfb1e5f5c8f37fa20a741ad6b465f1e83fc87997fd79069bc59d2c705fdee290c3252a22c4892608217f1217f4ee9e04784944de4cd8498ac1eeee"}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x6}]}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x4, 0x1, 0x80}, {0x7, 0x0, 0x18, 0x3, 0x3, 0x2, 0x1}}}, @TCF_EM_CANID={0x0, 0x2, 0x0, 0x0, {{0x0, 0x7, 0x2}, {{0x2, 0x1, 0x1}, {0x2, 0x1, 0x1, 0x1}}}}, @TCF_EM_IPSET={0x0, 0x2, 0x0, 0x0, {{0x7, 0x8, 0x3f}, {0x0, 0x3, 0x4}}}, @TCF_EM_META={0x0, 0x2, 0x0, 0x0, {{0x1, 0x4, 0x7f}, [@TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_INT=0x4, @TCF_META_TYPE_INT=0x9]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x38, 0x0, 0x2}, {0x0, 0x6, 0x2}}}, @TCA_EM_META_HDR={0x0, 0x1, {{0x80, 0x15, 0x1}, {0x51e9, 0x86, 0x2}}}, @TCA_EM_META_HDR={0x0, 0x1, {{0x12, 0x2, 0x2}, {0x3f, 0x3, 0x2}}}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_INT=0x6, @TCF_META_TYPE_INT, @TCF_META_TYPE_VAR, @TCF_META_TYPE_VAR="fcfde115f1f7a198", @TCF_META_TYPE_INT=0x2, @TCF_META_TYPE_INT=0x8]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x4, 0xbd}, {0xff, 0x4}}}]}}, @TCF_EM_U32={0x0, 0x1, 0x0, 0x0, {{0x7, 0x3, 0x4546}, {0x6, 0x1f, 0x3, 0x7}}}, @TCF_EM_CANID={0x0, 0x3, 0x0, 0x0, {{0x401, 0x7, 0x4}, {{0x3, 0x1, 0x0, 0x1}, {0x1, 0x1, 0x1, 0x1}}}}, @TCF_EM_IPSET={0x0, 0x2, 0x0, 0x0, {{0x101, 0x8, 0xff}, {0x0, 0x3, 0x4}}}]}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_IPT={0x0, 0x3, 0x0, 0x0, {{0x100, 0x9, 0x6}, [@TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x2}, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "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"}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x4}, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "8ca1945324aac7c3655e85731b49bf9285b76d8ec7737b544acd2fedeaf6516282e8dc7b1ce719a8c400ad4c988923c85e9ac31a9a04909059cb80fa8bd62ace820c5079c32c040655338ea73be637ad450bcf35afa2534500abc9f284256c9a33620c7281543b888ab7e850f7137d643c81322a55cf6e69f27ac6c692261e442b60ae18d02dd44f5485395012ec5a7fec215a642766b6c7558042a02ac926b340f6ac843b48ad3666f705ba54a76b1d7dff64480136"}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x7}, @TCA_EM_IPT_MATCH_NAME, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "204575486bf256af73093511e85387a4835abc7892da71bf5f177ca3ad16a2db4651fd0f467de7ebeeae5b1f51871661c347f1826b8e7776a07994c882054a4b7a3ad2db702b6e040da2363592f9e129bab3128f097a6bc4ecb219e66356955789fddd90751744a6"}]}}, @TCF_EM_NBYTE={0x0, 0x2, 0x0, 0x0, {{0x66, 0x2, 0x9}, {0x1, 0x0, 0x1, "16179837dba1adbf"}}}, @TCF_EM_META={0x0, 0x2, 0x0, 0x0, {{0x8, 0x4, 0x90}, [@TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_VAR="95e471f3", @TCF_META_TYPE_INT=0x6, @TCF_META_TYPE_VAR="73851e60ac7fe83547", @TCF_META_TYPE_INT=0x4, @TCF_META_TYPE_INT=0x8, @TCF_META_TYPE_VAR="bfaf5aa6ced5b36d7704"]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x8, 0x2}, {0x4, 0x6, 0x2}}}, @TCA_EM_META_HDR={0x0, 0x1, {{0x4}, {0x0, 0x6, 0x2}}}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR="d44dace091821a", @TCF_META_TYPE_INT=0x1, @TCF_META_TYPE_INT=0x2, @TCF_META_TYPE_VAR="2bc634ec"]}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR='\t', @TCF_META_TYPE_INT=0x4, @TCF_META_TYPE_VAR="3fb02484"]}]}}, @TCF_EM_IPT={0x0, 0x2, 0x0, 0x0, {{0x40, 0x9, 0x7}, [@TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0xe0}, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "8794293991d142aae143019b2c08a25e2a7f0f876a398ba13c3367506464e7f4977cb92d0dc38557f2a9562248a60a8c5eeb34068a475344487f2168a9ad247c55912495a50c9ce1444880f1d55290f140d58c7229b680df163ad1d52463f03369c7523a3a6fb1f1b14c154cf3a0c8b2567983b1101bc073add2d294aaf42832e5242c3e3141022e213475e79cc4735118287a78ea227833c5c4f7ef2c70a324a2daa6bcf5053bbf55e9fcda21e9d0997baac43290d5"}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0xc}, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "57e00d0259f0925152a8c73e13459f1c63b4182e0de5875de5175d4b1ba84a80f596f2db044b0b09f817df51d08a840b2b15f56ca808bf104d9a1c"}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x2}, @TCA_EM_IPT_NFPROTO]}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x2, 0x1, 0x3}, {0x6, 0x6, 0x3, 0x0, 0x6, 0x0, 0x1}}}, @TCF_EM_U32={0x0, 0x3, 0x0, 0x0, {{0x8001, 0x3, 0xfffc}, {0x5, 0x0, 0x7b, 0x5}}}]}, @TCA_EMATCH_TREE_HDR, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_IPT={0x0, 0x2, 0x0, 0x0, {{0x4, 0x9, 0x4}, [@TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x9}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x1}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0xf8}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x5}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x11bf5aa492b9df07}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x3}, @TCA_EM_IPT_MATCH_NAME]}}, @TCF_EM_CONTAINER={0x0, 0x3, 0x0, 0x0, {{}, "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"}}, @TCF_EM_U32={0x0, 0x3, 0x0, 0x0, {{0x7ff, 0x3, 0x5}, {0x6, 0x0, 0x39d, 0x2}}}, @TCF_EM_IPSET={0x0, 0x1, 0x0, 0x0, {{0x3ff, 0x8, 0x400}, {0xffffffffffffffff, 0x6, 0x2}}}]}, @TCA_EMATCH_TREE_HDR={0x0, 0x1, {0x1}}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_CANID={0x0, 0x1, 0x0, 0x0, {{0x9, 0x7, 0x6}, {{0x2, 0x1, 0x1, 0x1}, {0x2, 0x0, 0x0, 0x1}}}}, @TCF_EM_IPT={0x0, 0x1, 0x0, 0x0, {{0x6, 0x9, 0x7}, [@TCA_EM_IPT_HOOK={0x0, 0x1, 0x3}, @TCA_EM_IPT_MATCH_NAME]}}, @TCF_EM_U32={0x0, 0x1, 0x0, 0x0, {{0x9, 0x3, 0xfbae}, {0x4, 0x0, 0x20, 0xfffffe00}}}, @TCF_EM_META={0x0, 0x20, 0x0, 0x0, {{0x7}, [@TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR="9a7c49eef9", @TCF_META_TYPE_VAR="f372b6fd2b8098", @TCF_META_TYPE_VAR="82272aaab1a78bcf5c"]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x5, 0x3f, 0x2}, {0x8, 0x3f, 0x1}}}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR="5506", @TCF_META_TYPE_VAR="f185", @TCF_META_TYPE_INT=0x1, @TCF_META_TYPE_INT=0x8, @TCF_META_TYPE_VAR="b23e8e0aa43557ff", @TCF_META_TYPE_INT=0x4]}, @TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_INT=0x2]}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR="8df2", @TCF_META_TYPE_VAR='?', @TCF_META_TYPE_VAR="5fa46845c8496ae190", @TCF_META_TYPE_VAR="b3ac", @TCF_META_TYPE_INT=0x5, @TCF_META_TYPE_INT=0x6, @TCF_META_TYPE_VAR="1fd5fa81bf", @TCF_META_TYPE_INT=0x8]}, @TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_INT=0x7, @TCF_META_TYPE_VAR="c120", @TCF_META_TYPE_INT=0x4, @TCF_META_TYPE_VAR="54eb441eb0d852da3e52", @TCF_META_TYPE_VAR="dd", @TCF_META_TYPE_VAR="ad8645d651f2", @TCF_META_TYPE_VAR, @TCF_META_TYPE_VAR="69a5bc018b25"]}]}}, @TCF_EM_IPSET={0x0, 0x1, 0x0, 0x0, {{0x4, 0x8, 0x4}, {0x2, 0x4}}}, @TCF_EM_CMP={0x0, 0x3, 0x0, 0x0, {{0x7, 0x1, 0x1}, {0x401, 0xfd, 0x5, 0x2, 0x2, 0x3, 0x1}}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x8, 0x1, 0x80}, {0xb12, 0x9, 0xf6fb, 0x2, 0xe, 0x1, 0x1}}}, @TCF_EM_META={0x0, 0x3, 0x0, 0x0, {{0x3}, [@TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_VAR="17", @TCF_META_TYPE_VAR="a5c63440be04e0178f", @TCF_META_TYPE_VAR="062d38fdf27262d4b2", @TCF_META_TYPE_VAR="2bf1"]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x6, 0x7f, 0x2}, {0x8, 0x0, 0x1}}}, @TCA_EM_META_HDR={0x0, 0x1, {{0x200, 0x4, 0x1}, {0x9, 0x40, 0x1}}}]}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x8, 0x1, 0x1000}, {0x9, 0x1, 0x1ff, 0x2, 0x3, 0x2}}}, @TCF_EM_CONTAINER={0x0, 0x3, 0x0, 0x0, {{0x8, 0x0, 0x1ff}, "d0545f2c1532e965cf7fda11392c21c1c3a2d7330668908d10eb5b7a88ac34b718433e17637ccd82949652eb669152b99719f60cca498d25462c897ba89731f8e3c245459c8d6eeaae400aa02ecf5eb8a070b9de4e7221338a70ce8a0bafe5907aff8788aea626d8a803cc298170d30bdadb95e1e4d6200dd3a82e6999bba4f9959221fee4ae34171b5909fc1ecb733c3bde13fcd1a06757940a32b48d8521d6206c4c0e1e4f333cf575e3e63257400f0c99d731f18e5fb62a7f5e0d43bf0df9375a9e7abec3782177e6a53af2"}}]}]}]}}, @filter_kind_options=@f_rsvp={{}, {0x0, 0x2, [@TCA_RSVP_CLASSID={0x0, 0x1, {0xe, 0x4}}]}}, @TCA_CHAIN={0x0, 0xb, 0x4}, @filter_kind_options=@f_matchall={{}, {0x0, 0x2, [@TCA_MATCHALL_CLASSID={0x0, 0x1, {0xffff, 0xfff1}}]}}, @TCA_CHAIN={0x0, 0xb, 0x4}]}, 0x44}, 0x1, 0x0, 0x0, 0x95}, 0x0) write$binfmt_script(r2, &(0x7f0000000340)=ANY=[@ANYRES16=r4, @ANYRESHEX=r2], 0xfea7) mmap(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x300000b, 0x30, r0, 0x537b0000) ioctl$AUTOFS_DEV_IOCTL_EXPIRE(r1, 0xc018937c, &(0x7f0000000540)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32=r0, @ANYBLOB="0200000266556c65e703000000448a9c36ddcbd12f97fb0000"]) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) write$dsp(r0, &(0x7f0000000140)="05", 0x1) [ 585.982140][ T3677] usb 3-1: New USB device found, idVendor=06a5, idProduct=d800, bcdDevice=87.12 [ 585.991218][ T3677] usb 3-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 586.016932][ T3677] usb 3-1: config 0 descriptor?? [ 586.063782][ T3677] gspca_main: nw80x-2.14.0 probing 06a5:d800 [ 586.201455][T10922] usb 4-1: new high-speed USB device number 94 using dummy_hcd [ 586.210987][T10813] usb 1-1: new high-speed USB device number 70 using dummy_hcd 22:45:39 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0x28e82, 0x0) (async) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) (async, rerun: 64) r2 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) (async, rerun: 64) r3 = socket(0x1e, 0x1, 0x0) sendmsg(r3, &(0x7f0000000000)={&(0x7f0000000080)=@generic={0x10000000001e, "02ff0100000001000000000000000ae77f5bf86c48020002000000f1ffffff009a480075e6a50000de010300000000e4ff064b3f013a000000080200000000000000ac50d5fe32c4000000007fffffff6a008356edb9a6341c1fd45624281e00070ecddd0206c39750c40000fd00000908000000000b0000db000004da36"}, 0x80, 0x0}, 0x0) (async) recvmmsg(r3, &(0x7f0000001f40)=[{{0x0, 0x0, &(0x7f0000000300)=[{&(0x7f0000000180)=""/105, 0x69}], 0x1, &(0x7f00000003c0)=""/250, 0xfa}}], 0x1, 0x0, 0x0) write$binfmt_elf32(r3, &(0x7f00000001c0)=ANY=[], 0xfffffd6d) (async) r4 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r4, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r4, 0x0) (async, rerun: 32) write$binfmt_script(0xffffffffffffffff, &(0x7f0000000200)=ANY=[@ANYRES64=r4, @ANYRESOCT=r3, @ANYRES16=r0, @ANYRESOCT=r4, @ANYRESDEC, @ANYBLOB="417b50f64d18c2a57e8073d0a2b9b6fedc70ad881ac0d45ac6d8180e5eea73245dd14628191275b10e26146a23c424d347650508820b31286506451e939ee26b5e42caf69838e33b88d0c133609a07f213a1d62c4f0801fba3d1d1614369da4afe", @ANYRESHEX=r4, @ANYRES64, @ANYRESDEC=r0], 0xfea7) (async, rerun: 32) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r2, 0x0) (async, rerun: 64) openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) (rerun: 64) sendmsg$nl_route_sched(r3, &(0x7f0000000600)={&(0x7f0000000380), 0x6, &(0x7f0000000640)={&(0x7f0000007400)=@newtfilter={0x0, 0x2c, 0x200, 0x70bd2c, 0x25dfdbff, {0x0, 0x0, 0x0, 0x0, {0xffff, 0x7}, {0xd, 0x8}, {0xfffb, 0xa}}, [@TCA_CHAIN={0x0, 0xb, 0x9f}, @TCA_RATE={0x0, 0x5, {0x30, 0x4}}, @TCA_RATE={0x0, 0x5, {0x5, 0x1}}, @TCA_CHAIN={0x0, 0xb, 0x200}, @TCA_CHAIN={0x0, 0xb, 0x6}, @filter_kind_options=@f_cgroup={{}, {0x0, 0x2, [@TCA_CGROUP_EMATCHES={0x0, 0x3, 0x0, 0x1, [@TCA_EMATCH_TREE_HDR={0x0, 0x1, {0x1b93}}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_IPT={0x0, 0x1, 0x0, 0x0, {{0x4, 0x9, 0x1}, [@TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x7}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x3}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x5}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x6}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x8}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0xa}, @TCA_EM_IPT_MATCH_NAME]}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x6, 0x1, 0x9}, {0xffffffff, 0x3b, 0x5e, 0x1, 0x8, 0x2, 0x2}}}, @TCF_EM_META={0x0, 0x3, 0x0, 0x0, {{0xdbd6, 0x4, 0x10}, [@TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR='F', @TCF_META_TYPE_VAR='2n', @TCF_META_TYPE_INT=0x3, @TCF_META_TYPE_INT=0x2, @TCF_META_TYPE_VAR="a190a2a28f15", @TCF_META_TYPE_VAR="f051296c490a64c6a7", @TCF_META_TYPE_INT=0x2, @TCF_META_TYPE_INT]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x0, 0xff, 0x2}, {0x573f, 0x6, 0x2}}}, @TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_VAR="24c1ec304693", @TCF_META_TYPE_INT=0x7]}]}}, @TCF_EM_IPT={0x0, 0x2, 0x0, 0x0, {{0x7, 0x9, 0x81}, [@TCA_EM_IPT_HOOK={0x0, 0x1, 0x3}]}}]}, @TCA_EMATCH_TREE_HDR={0x0, 0x1, {0x6}}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_CANID={0x0, 0x3, 0x0, 0x0, {{0x81, 0x7, 0x81}, {{0x4, 0x1, 0x1}, {0x2}}}}, @TCF_EM_IPSET={0x0, 0x1, 0x0, 0x0, {{0x8, 0x8, 0xe3}, {0x3, 0x2, 0x1}}}, @TCF_EM_CONTAINER={0x0, 0x2, 0x0, 0x0, {{0x62, 0x0, 0x1988}, "e390f518c4769e91237627da"}}, @TCF_EM_U32={0x0, 0x1, 0x0, 0x0, {{0x80, 0x3, 0x3f}, {0x9, 0x1ff, 0xfef, 0x3}}}, @TCF_EM_CMP={0x0, 0x2, 0x0, 0x0, {{0x0, 0x1, 0x7}, {0x4, 0x0, 0x2, 0x2, 0x6, 0x146d2f35b68138cb, 0x2}}}]}]}, @TCA_CGROUP_EMATCHES={0x0, 0x3, 0x0, 0x1, [@TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_NBYTE={0x0, 0x2, 0x0, 0x0, {{0x1, 0x2, 0x8000}, {0x80, 0x0, 0x1, "b3e2d513"}}}, @TCF_EM_IPT={0x0, 0x3, 0x0, 0x0, {{0x7}, [@TCA_EM_IPT_HOOK={0x0, 0x1, 0x7}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0xa}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x2}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x4}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x5}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x1}]}}]}, @TCA_EMATCH_TREE_HDR={0x0, 0x1, {0xfff8}}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_CANID={0x0, 0x2, 0x0, 0x0, {{0x8001, 0x7, 0x9}, {{0x8d07, 0x1, 0x1, 0x1}, {0x2, 0x1, 0x1}}}}, @TCF_EM_IPT={0x0, 0x1, 0x0, 0x0, {{0x2, 0x9, 0x1}, [@TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0xf7}, @TCA_EM_IPT_MATCH_NAME, @TCA_EM_IPT_MATCH_DATA, @TCA_EM_IPT_MATCH_NAME, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "42e9c2ee7f0b4c8d300ce8f4d7e7ddbb90a9f76738fafe21276d2d9fb4da4157668197b26ae9b0e77b9f22277e485c83f2caf44ef53058b532ae27fd033645ba83895412d14980cc49894d807706de6a3852c02fb65ef20b73fdc936c1e04a3be73cc5"}, @TCA_EM_IPT_MATCH_NAME, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "cbe039aa13d345a49d3149c513bc2d1d6db490b3c970d948a8ecb34e11ad45c27f361132a5468db10f1a54ddb26194de500fc6d2f3aacbfd4e6f75205a80f632b3b5ca7942ce749a92e4246143096bf909127854f885186872028df8a40b2a82276129e774c9b122ac5b1fed93cfb1e5f5c8f37fa20a741ad6b465f1e83fc87997fd79069bc59d2c705fdee290c3252a22c4892608217f1217f4ee9e04784944de4cd8498ac1eeee"}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x6}]}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x4, 0x1, 0x80}, {0x7, 0x0, 0x18, 0x3, 0x3, 0x2, 0x1}}}, @TCF_EM_CANID={0x0, 0x2, 0x0, 0x0, {{0x0, 0x7, 0x2}, {{0x2, 0x1, 0x1}, {0x2, 0x1, 0x1, 0x1}}}}, @TCF_EM_IPSET={0x0, 0x2, 0x0, 0x0, {{0x7, 0x8, 0x3f}, {0x0, 0x3, 0x4}}}, @TCF_EM_META={0x0, 0x2, 0x0, 0x0, {{0x1, 0x4, 0x7f}, [@TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_INT=0x4, @TCF_META_TYPE_INT=0x9]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x38, 0x0, 0x2}, {0x0, 0x6, 0x2}}}, @TCA_EM_META_HDR={0x0, 0x1, {{0x80, 0x15, 0x1}, {0x51e9, 0x86, 0x2}}}, @TCA_EM_META_HDR={0x0, 0x1, {{0x12, 0x2, 0x2}, {0x3f, 0x3, 0x2}}}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_INT=0x6, @TCF_META_TYPE_INT, @TCF_META_TYPE_VAR, @TCF_META_TYPE_VAR="fcfde115f1f7a198", @TCF_META_TYPE_INT=0x2, @TCF_META_TYPE_INT=0x8]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x4, 0xbd}, {0xff, 0x4}}}]}}, @TCF_EM_U32={0x0, 0x1, 0x0, 0x0, {{0x7, 0x3, 0x4546}, {0x6, 0x1f, 0x3, 0x7}}}, @TCF_EM_CANID={0x0, 0x3, 0x0, 0x0, {{0x401, 0x7, 0x4}, {{0x3, 0x1, 0x0, 0x1}, {0x1, 0x1, 0x1, 0x1}}}}, @TCF_EM_IPSET={0x0, 0x2, 0x0, 0x0, {{0x101, 0x8, 0xff}, {0x0, 0x3, 0x4}}}]}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_IPT={0x0, 0x3, 0x0, 0x0, {{0x100, 0x9, 0x6}, [@TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x2}, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "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"}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x4}, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "8ca1945324aac7c3655e85731b49bf9285b76d8ec7737b544acd2fedeaf6516282e8dc7b1ce719a8c400ad4c988923c85e9ac31a9a04909059cb80fa8bd62ace820c5079c32c040655338ea73be637ad450bcf35afa2534500abc9f284256c9a33620c7281543b888ab7e850f7137d643c81322a55cf6e69f27ac6c692261e442b60ae18d02dd44f5485395012ec5a7fec215a642766b6c7558042a02ac926b340f6ac843b48ad3666f705ba54a76b1d7dff64480136"}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x7}, @TCA_EM_IPT_MATCH_NAME, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "204575486bf256af73093511e85387a4835abc7892da71bf5f177ca3ad16a2db4651fd0f467de7ebeeae5b1f51871661c347f1826b8e7776a07994c882054a4b7a3ad2db702b6e040da2363592f9e129bab3128f097a6bc4ecb219e66356955789fddd90751744a6"}]}}, @TCF_EM_NBYTE={0x0, 0x2, 0x0, 0x0, {{0x66, 0x2, 0x9}, {0x1, 0x0, 0x1, "16179837dba1adbf"}}}, @TCF_EM_META={0x0, 0x2, 0x0, 0x0, {{0x8, 0x4, 0x90}, [@TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_VAR="95e471f3", @TCF_META_TYPE_INT=0x6, @TCF_META_TYPE_VAR="73851e60ac7fe83547", @TCF_META_TYPE_INT=0x4, @TCF_META_TYPE_INT=0x8, @TCF_META_TYPE_VAR="bfaf5aa6ced5b36d7704"]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x8, 0x2}, {0x4, 0x6, 0x2}}}, @TCA_EM_META_HDR={0x0, 0x1, {{0x4}, {0x0, 0x6, 0x2}}}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR="d44dace091821a", @TCF_META_TYPE_INT=0x1, @TCF_META_TYPE_INT=0x2, @TCF_META_TYPE_VAR="2bc634ec"]}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR='\t', @TCF_META_TYPE_INT=0x4, @TCF_META_TYPE_VAR="3fb02484"]}]}}, @TCF_EM_IPT={0x0, 0x2, 0x0, 0x0, {{0x40, 0x9, 0x7}, [@TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0xe0}, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "8794293991d142aae143019b2c08a25e2a7f0f876a398ba13c3367506464e7f4977cb92d0dc38557f2a9562248a60a8c5eeb34068a475344487f2168a9ad247c55912495a50c9ce1444880f1d55290f140d58c7229b680df163ad1d52463f03369c7523a3a6fb1f1b14c154cf3a0c8b2567983b1101bc073add2d294aaf42832e5242c3e3141022e213475e79cc4735118287a78ea227833c5c4f7ef2c70a324a2daa6bcf5053bbf55e9fcda21e9d0997baac43290d5"}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0xc}, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "57e00d0259f0925152a8c73e13459f1c63b4182e0de5875de5175d4b1ba84a80f596f2db044b0b09f817df51d08a840b2b15f56ca808bf104d9a1c"}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x2}, @TCA_EM_IPT_NFPROTO]}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x2, 0x1, 0x3}, {0x6, 0x6, 0x3, 0x0, 0x6, 0x0, 0x1}}}, @TCF_EM_U32={0x0, 0x3, 0x0, 0x0, {{0x8001, 0x3, 0xfffc}, {0x5, 0x0, 0x7b, 0x5}}}]}, @TCA_EMATCH_TREE_HDR, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_IPT={0x0, 0x2, 0x0, 0x0, {{0x4, 0x9, 0x4}, [@TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x9}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x1}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0xf8}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x5}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x11bf5aa492b9df07}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x3}, @TCA_EM_IPT_MATCH_NAME]}}, @TCF_EM_CONTAINER={0x0, 0x3, 0x0, 0x0, {{}, "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"}}, @TCF_EM_U32={0x0, 0x3, 0x0, 0x0, {{0x7ff, 0x3, 0x5}, {0x6, 0x0, 0x39d, 0x2}}}, @TCF_EM_IPSET={0x0, 0x1, 0x0, 0x0, {{0x3ff, 0x8, 0x400}, {0xffffffffffffffff, 0x6, 0x2}}}]}, @TCA_EMATCH_TREE_HDR={0x0, 0x1, {0x1}}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_CANID={0x0, 0x1, 0x0, 0x0, {{0x9, 0x7, 0x6}, {{0x2, 0x1, 0x1, 0x1}, {0x2, 0x0, 0x0, 0x1}}}}, @TCF_EM_IPT={0x0, 0x1, 0x0, 0x0, {{0x6, 0x9, 0x7}, [@TCA_EM_IPT_HOOK={0x0, 0x1, 0x3}, @TCA_EM_IPT_MATCH_NAME]}}, @TCF_EM_U32={0x0, 0x1, 0x0, 0x0, {{0x9, 0x3, 0xfbae}, {0x4, 0x0, 0x20, 0xfffffe00}}}, @TCF_EM_META={0x0, 0x20, 0x0, 0x0, {{0x7}, [@TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR="9a7c49eef9", @TCF_META_TYPE_VAR="f372b6fd2b8098", @TCF_META_TYPE_VAR="82272aaab1a78bcf5c"]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x5, 0x3f, 0x2}, {0x8, 0x3f, 0x1}}}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR="5506", @TCF_META_TYPE_VAR="f185", @TCF_META_TYPE_INT=0x1, @TCF_META_TYPE_INT=0x8, @TCF_META_TYPE_VAR="b23e8e0aa43557ff", @TCF_META_TYPE_INT=0x4]}, @TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_INT=0x2]}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR="8df2", @TCF_META_TYPE_VAR='?', @TCF_META_TYPE_VAR="5fa46845c8496ae190", @TCF_META_TYPE_VAR="b3ac", @TCF_META_TYPE_INT=0x5, @TCF_META_TYPE_INT=0x6, @TCF_META_TYPE_VAR="1fd5fa81bf", @TCF_META_TYPE_INT=0x8]}, @TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_INT=0x7, @TCF_META_TYPE_VAR="c120", @TCF_META_TYPE_INT=0x4, @TCF_META_TYPE_VAR="54eb441eb0d852da3e52", @TCF_META_TYPE_VAR="dd", @TCF_META_TYPE_VAR="ad8645d651f2", @TCF_META_TYPE_VAR, @TCF_META_TYPE_VAR="69a5bc018b25"]}]}}, @TCF_EM_IPSET={0x0, 0x1, 0x0, 0x0, {{0x4, 0x8, 0x4}, {0x2, 0x4}}}, @TCF_EM_CMP={0x0, 0x3, 0x0, 0x0, {{0x7, 0x1, 0x1}, {0x401, 0xfd, 0x5, 0x2, 0x2, 0x3, 0x1}}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x8, 0x1, 0x80}, {0xb12, 0x9, 0xf6fb, 0x2, 0xe, 0x1, 0x1}}}, @TCF_EM_META={0x0, 0x3, 0x0, 0x0, {{0x3}, [@TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_VAR="17", @TCF_META_TYPE_VAR="a5c63440be04e0178f", @TCF_META_TYPE_VAR="062d38fdf27262d4b2", @TCF_META_TYPE_VAR="2bf1"]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x6, 0x7f, 0x2}, {0x8, 0x0, 0x1}}}, @TCA_EM_META_HDR={0x0, 0x1, {{0x200, 0x4, 0x1}, {0x9, 0x40, 0x1}}}]}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x8, 0x1, 0x1000}, {0x9, 0x1, 0x1ff, 0x2, 0x3, 0x2}}}, @TCF_EM_CONTAINER={0x0, 0x3, 0x0, 0x0, {{0x8, 0x0, 0x1ff}, "d0545f2c1532e965cf7fda11392c21c1c3a2d7330668908d10eb5b7a88ac34b718433e17637ccd82949652eb669152b99719f60cca498d25462c897ba89731f8e3c245459c8d6eeaae400aa02ecf5eb8a070b9de4e7221338a70ce8a0bafe5907aff8788aea626d8a803cc298170d30bdadb95e1e4d6200dd3a82e6999bba4f9959221fee4ae34171b5909fc1ecb733c3bde13fcd1a06757940a32b48d8521d6206c4c0e1e4f333cf575e3e63257400f0c99d731f18e5fb62a7f5e0d43bf0df9375a9e7abec3782177e6a53af2"}}]}]}]}}, @filter_kind_options=@f_rsvp={{}, {0x0, 0x2, [@TCA_RSVP_CLASSID={0x0, 0x1, {0xe, 0x4}}]}}, @TCA_CHAIN={0x0, 0xb, 0x4}, @filter_kind_options=@f_matchall={{}, {0x0, 0x2, [@TCA_MATCHALL_CLASSID={0x0, 0x1, {0xffff, 0xfff1}}]}}, @TCA_CHAIN={0x0, 0xb, 0x4}]}, 0x44}, 0x1, 0x0, 0x0, 0x95}, 0x0) write$binfmt_script(r2, &(0x7f0000000340)=ANY=[@ANYRES16=r4, @ANYRESHEX=r2], 0xfea7) mmap(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x300000b, 0x30, r0, 0x537b0000) (async) ioctl$AUTOFS_DEV_IOCTL_EXPIRE(r1, 0xc018937c, &(0x7f0000000540)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32=r0, @ANYBLOB="0200000266556c65e703000000448a9c36ddcbd12f97fb0000"]) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async, rerun: 32) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) (async, rerun: 32) write$dsp(r0, &(0x7f0000000140)="05", 0x1) [ 586.441539][T10922] usb 4-1: Using ep0 maxpacket: 16 [ 586.481547][T10813] usb 1-1: Using ep0 maxpacket: 16 [ 586.561768][T10922] usb 4-1: config 0 has an invalid descriptor of length 0, skipping remainder of the config [ 586.572258][T10922] usb 4-1: config 0 interface 0 altsetting 0 has 0 endpoint descriptors, different from the interface descriptor's value: 1 [ 586.585752][T10922] usb 4-1: New USB device found, idVendor=0499, idProduct=1027, bcdDevice=19.50 [ 586.594915][T10922] usb 4-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 586.611284][T10922] usb 4-1: config 0 descriptor?? [ 586.621750][T10813] usb 1-1: config 0 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 0, changing to 7 [ 586.632784][T10813] usb 1-1: config 0 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 586.642640][T10813] usb 1-1: New USB device found, idVendor=0499, idProduct=1027, bcdDevice=19.50 [ 586.652032][T10813] usb 1-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 586.654479][T10922] snd-usb-audio: probe of 4-1:0.0 failed with error -2 [ 586.661705][T10813] usb 1-1: config 0 descriptor?? [ 586.723920][T10813] snd-usb-audio: probe of 1-1:0.0 failed with error -12 [ 586.876869][T10813] usb 4-1: USB disconnect, device number 94 [ 586.927055][T12053] usb 1-1: USB disconnect, device number 70 [ 587.363059][T15020] UDC core: couldn't find an available UDC or it's busy: -16 [ 587.370449][T15020] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 587.383735][T15020] UDC core: couldn't find an available UDC or it's busy: -16 [ 587.391432][T15020] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 587.416568][T15020] UDC core: couldn't find an available UDC or it's busy: -16 [ 587.424268][T15020] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 587.461665][ T3677] gspca_nw80x: reg_w err -71 [ 587.466480][ T3677] nw80x: probe of 3-1:0.0 failed with error -71 [ 587.480571][ T3677] usb 3-1: USB disconnect, device number 4 22:45:41 executing program 1: r0 = gettid() (async, rerun: 64) socketpair$unix(0x1, 0x0, 0x0, 0x0) (rerun: 64) r1 = getpgrp(r0) getpgrp(r1) (async) r2 = signalfd4(0xffffffffffffffff, &(0x7f0000006000), 0x8, 0x0) (async) r3 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r3, 0x1, r2, &(0x7f00000000c0)) poll(0x0, 0x0, 0x603) (async, rerun: 32) r4 = dup2(0xffffffffffffffff, 0xffffffffffffffff) (async, rerun: 32) fcntl$getownex(r2, 0x10, &(0x7f0000000000)) tkill(r0, 0x14) (async) ioctl$AUTOFS_DEV_IOCTL_OPENMOUNT(r2, 0xc0189374, &(0x7f0000000240)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32=r3, @ANYRESOCT=r3]) (async) getsockopt$inet_sctp6_SCTP_PEER_AUTH_CHUNKS(r4, 0x84, 0x1a, &(0x7f0000000100)={0x0, 0x8a, "d89cddfd7bfc8172478f8c25cc95fa11ad70192d0a1bcae2067654e28a47f107963171f168d21c7f52cb0d7b9c7f346819e8a663c38a5561498267f0088e2bb74a5a81b4752ba44d53a3b14da2de0fc6bea2574d4fb94e72966bda70a705d6f96a23ba70375dbda30868ab23fc46a07601649176ef75cda02bfcb8894d7db25c71db9c79cfde07b3eba6"}, &(0x7f0000000040)=0x92) openat$procfs(0xffffffffffffff9c, &(0x7f0000000280)='/proc/locks\x00', 0x0, 0x0) r7 = openat$nvram(0xffffffffffffff9c, &(0x7f00000001c0), 0x301080, 0x0) ioctl$AUTOFS_DEV_IOCTL_VERSION(r7, 0xc0189371, &(0x7f0000000200)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32=r2, @ANYBLOB="00000000000000062e2f050000003000"]) (async) setsockopt$inet_sctp6_SCTP_DEFAULT_SNDINFO(r5, 0x84, 0x22, &(0x7f0000000080)={0x0, 0x400, 0x3f, 0x2, r6}, 0x10) 22:45:41 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0x28e82, 0x0) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) r2 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) r3 = socket(0x1e, 0x1, 0x0) sendmsg(r3, &(0x7f0000000000)={&(0x7f0000000080)=@generic={0x10000000001e, "02ff0100000001000000000000000ae77f5bf86c48020002000000f1ffffff009a480075e6a50000de010300000000e4ff064b3f013a000000080200000000000000ac50d5fe32c4000000007fffffff6a008356edb9a6341c1fd45624281e00070ecddd0206c39750c40000fd00000908000000000b0000db000004da36"}, 0x80, 0x0}, 0x0) recvmmsg(r3, &(0x7f0000001f40)=[{{0x0, 0x0, &(0x7f0000000300)=[{&(0x7f0000000180)=""/105, 0x69}], 0x1, &(0x7f00000003c0)=""/250, 0xfa}}], 0x1, 0x0, 0x0) write$binfmt_elf32(r3, &(0x7f00000001c0)=ANY=[], 0xfffffd6d) r4 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r4, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r4, 0x0) write$binfmt_script(0xffffffffffffffff, &(0x7f0000000200)=ANY=[@ANYRES64=r4, @ANYRESOCT=r3, @ANYRES16=r0, @ANYRESOCT=r4, @ANYRESDEC, @ANYBLOB="417b50f64d18c2a57e8073d0a2b9b6fedc70ad881ac0d45ac6d8180e5eea73245dd14628191275b10e26146a23c424d347650508820b31286506451e939ee26b5e42caf69838e33b88d0c133609a07f213a1d62c4f0801fba3d1d1614369da4afe", @ANYRESHEX=r4, @ANYRES64, @ANYRESDEC=r0], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r2, 0x0) openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) sendmsg$nl_route_sched(r3, &(0x7f0000000600)={&(0x7f0000000380), 0x6, &(0x7f0000000640)={&(0x7f0000007400)=@newtfilter={0x0, 0x2c, 0x200, 0x70bd2c, 0x25dfdbff, {0x0, 0x0, 0x0, 0x0, {0xffff, 0x7}, {0xd, 0x8}, {0xfffb, 0xa}}, [@TCA_CHAIN={0x0, 0xb, 0x9f}, @TCA_RATE={0x0, 0x5, {0x30, 0x4}}, @TCA_RATE={0x0, 0x5, {0x5, 0x1}}, @TCA_CHAIN={0x0, 0xb, 0x200}, @TCA_CHAIN={0x0, 0xb, 0x6}, @filter_kind_options=@f_cgroup={{}, {0x0, 0x2, [@TCA_CGROUP_EMATCHES={0x0, 0x3, 0x0, 0x1, [@TCA_EMATCH_TREE_HDR={0x0, 0x1, {0x1b93}}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_IPT={0x0, 0x1, 0x0, 0x0, {{0x4, 0x9, 0x1}, [@TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x7}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x3}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x5}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x6}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x8}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0xa}, @TCA_EM_IPT_MATCH_NAME]}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x6, 0x1, 0x9}, {0xffffffff, 0x3b, 0x5e, 0x1, 0x8, 0x2, 0x2}}}, @TCF_EM_META={0x0, 0x3, 0x0, 0x0, {{0xdbd6, 0x4, 0x10}, [@TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR='F', @TCF_META_TYPE_VAR='2n', @TCF_META_TYPE_INT=0x3, @TCF_META_TYPE_INT=0x2, @TCF_META_TYPE_VAR="a190a2a28f15", @TCF_META_TYPE_VAR="f051296c490a64c6a7", @TCF_META_TYPE_INT=0x2, @TCF_META_TYPE_INT]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x0, 0xff, 0x2}, {0x573f, 0x6, 0x2}}}, @TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_VAR="24c1ec304693", @TCF_META_TYPE_INT=0x7]}]}}, @TCF_EM_IPT={0x0, 0x2, 0x0, 0x0, {{0x7, 0x9, 0x81}, [@TCA_EM_IPT_HOOK={0x0, 0x1, 0x3}]}}]}, @TCA_EMATCH_TREE_HDR={0x0, 0x1, {0x6}}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_CANID={0x0, 0x3, 0x0, 0x0, {{0x81, 0x7, 0x81}, {{0x4, 0x1, 0x1}, {0x2}}}}, @TCF_EM_IPSET={0x0, 0x1, 0x0, 0x0, {{0x8, 0x8, 0xe3}, {0x3, 0x2, 0x1}}}, @TCF_EM_CONTAINER={0x0, 0x2, 0x0, 0x0, {{0x62, 0x0, 0x1988}, "e390f518c4769e91237627da"}}, @TCF_EM_U32={0x0, 0x1, 0x0, 0x0, {{0x80, 0x3, 0x3f}, {0x9, 0x1ff, 0xfef, 0x3}}}, @TCF_EM_CMP={0x0, 0x2, 0x0, 0x0, {{0x0, 0x1, 0x7}, {0x4, 0x0, 0x2, 0x2, 0x6, 0x146d2f35b68138cb, 0x2}}}]}]}, @TCA_CGROUP_EMATCHES={0x0, 0x3, 0x0, 0x1, [@TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_NBYTE={0x0, 0x2, 0x0, 0x0, {{0x1, 0x2, 0x8000}, {0x80, 0x0, 0x1, "b3e2d513"}}}, @TCF_EM_IPT={0x0, 0x3, 0x0, 0x0, {{0x7}, [@TCA_EM_IPT_HOOK={0x0, 0x1, 0x7}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0xa}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x2}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x4}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x5}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x1}]}}]}, @TCA_EMATCH_TREE_HDR={0x0, 0x1, {0xfff8}}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_CANID={0x0, 0x2, 0x0, 0x0, {{0x8001, 0x7, 0x9}, {{0x8d07, 0x1, 0x1, 0x1}, {0x2, 0x1, 0x1}}}}, @TCF_EM_IPT={0x0, 0x1, 0x0, 0x0, {{0x2, 0x9, 0x1}, [@TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0xf7}, @TCA_EM_IPT_MATCH_NAME, @TCA_EM_IPT_MATCH_DATA, @TCA_EM_IPT_MATCH_NAME, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "42e9c2ee7f0b4c8d300ce8f4d7e7ddbb90a9f76738fafe21276d2d9fb4da4157668197b26ae9b0e77b9f22277e485c83f2caf44ef53058b532ae27fd033645ba83895412d14980cc49894d807706de6a3852c02fb65ef20b73fdc936c1e04a3be73cc5"}, @TCA_EM_IPT_MATCH_NAME, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "cbe039aa13d345a49d3149c513bc2d1d6db490b3c970d948a8ecb34e11ad45c27f361132a5468db10f1a54ddb26194de500fc6d2f3aacbfd4e6f75205a80f632b3b5ca7942ce749a92e4246143096bf909127854f885186872028df8a40b2a82276129e774c9b122ac5b1fed93cfb1e5f5c8f37fa20a741ad6b465f1e83fc87997fd79069bc59d2c705fdee290c3252a22c4892608217f1217f4ee9e04784944de4cd8498ac1eeee"}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x6}]}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x4, 0x1, 0x80}, {0x7, 0x0, 0x18, 0x3, 0x3, 0x2, 0x1}}}, @TCF_EM_CANID={0x0, 0x2, 0x0, 0x0, {{0x0, 0x7, 0x2}, {{0x2, 0x1, 0x1}, {0x2, 0x1, 0x1, 0x1}}}}, @TCF_EM_IPSET={0x0, 0x2, 0x0, 0x0, {{0x7, 0x8, 0x3f}, {0x0, 0x3, 0x4}}}, @TCF_EM_META={0x0, 0x2, 0x0, 0x0, {{0x1, 0x4, 0x7f}, [@TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_INT=0x4, @TCF_META_TYPE_INT=0x9]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x38, 0x0, 0x2}, {0x0, 0x6, 0x2}}}, @TCA_EM_META_HDR={0x0, 0x1, {{0x80, 0x15, 0x1}, {0x51e9, 0x86, 0x2}}}, @TCA_EM_META_HDR={0x0, 0x1, {{0x12, 0x2, 0x2}, {0x3f, 0x3, 0x2}}}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_INT=0x6, @TCF_META_TYPE_INT, @TCF_META_TYPE_VAR, @TCF_META_TYPE_VAR="fcfde115f1f7a198", @TCF_META_TYPE_INT=0x2, @TCF_META_TYPE_INT=0x8]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x4, 0xbd}, {0xff, 0x4}}}]}}, @TCF_EM_U32={0x0, 0x1, 0x0, 0x0, {{0x7, 0x3, 0x4546}, {0x6, 0x1f, 0x3, 0x7}}}, @TCF_EM_CANID={0x0, 0x3, 0x0, 0x0, {{0x401, 0x7, 0x4}, {{0x3, 0x1, 0x0, 0x1}, {0x1, 0x1, 0x1, 0x1}}}}, @TCF_EM_IPSET={0x0, 0x2, 0x0, 0x0, {{0x101, 0x8, 0xff}, {0x0, 0x3, 0x4}}}]}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_IPT={0x0, 0x3, 0x0, 0x0, {{0x100, 0x9, 0x6}, [@TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x2}, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "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"}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x4}, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "8ca1945324aac7c3655e85731b49bf9285b76d8ec7737b544acd2fedeaf6516282e8dc7b1ce719a8c400ad4c988923c85e9ac31a9a04909059cb80fa8bd62ace820c5079c32c040655338ea73be637ad450bcf35afa2534500abc9f284256c9a33620c7281543b888ab7e850f7137d643c81322a55cf6e69f27ac6c692261e442b60ae18d02dd44f5485395012ec5a7fec215a642766b6c7558042a02ac926b340f6ac843b48ad3666f705ba54a76b1d7dff64480136"}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x7}, @TCA_EM_IPT_MATCH_NAME, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "204575486bf256af73093511e85387a4835abc7892da71bf5f177ca3ad16a2db4651fd0f467de7ebeeae5b1f51871661c347f1826b8e7776a07994c882054a4b7a3ad2db702b6e040da2363592f9e129bab3128f097a6bc4ecb219e66356955789fddd90751744a6"}]}}, @TCF_EM_NBYTE={0x0, 0x2, 0x0, 0x0, {{0x66, 0x2, 0x9}, {0x1, 0x0, 0x1, "16179837dba1adbf"}}}, @TCF_EM_META={0x0, 0x2, 0x0, 0x0, {{0x8, 0x4, 0x90}, [@TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_VAR="95e471f3", @TCF_META_TYPE_INT=0x6, @TCF_META_TYPE_VAR="73851e60ac7fe83547", @TCF_META_TYPE_INT=0x4, @TCF_META_TYPE_INT=0x8, @TCF_META_TYPE_VAR="bfaf5aa6ced5b36d7704"]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x8, 0x2}, {0x4, 0x6, 0x2}}}, @TCA_EM_META_HDR={0x0, 0x1, {{0x4}, {0x0, 0x6, 0x2}}}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR="d44dace091821a", @TCF_META_TYPE_INT=0x1, @TCF_META_TYPE_INT=0x2, @TCF_META_TYPE_VAR="2bc634ec"]}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR='\t', @TCF_META_TYPE_INT=0x4, @TCF_META_TYPE_VAR="3fb02484"]}]}}, @TCF_EM_IPT={0x0, 0x2, 0x0, 0x0, {{0x40, 0x9, 0x7}, [@TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0xe0}, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "8794293991d142aae143019b2c08a25e2a7f0f876a398ba13c3367506464e7f4977cb92d0dc38557f2a9562248a60a8c5eeb34068a475344487f2168a9ad247c55912495a50c9ce1444880f1d55290f140d58c7229b680df163ad1d52463f03369c7523a3a6fb1f1b14c154cf3a0c8b2567983b1101bc073add2d294aaf42832e5242c3e3141022e213475e79cc4735118287a78ea227833c5c4f7ef2c70a324a2daa6bcf5053bbf55e9fcda21e9d0997baac43290d5"}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0xc}, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "57e00d0259f0925152a8c73e13459f1c63b4182e0de5875de5175d4b1ba84a80f596f2db044b0b09f817df51d08a840b2b15f56ca808bf104d9a1c"}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x2}, @TCA_EM_IPT_NFPROTO]}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x2, 0x1, 0x3}, {0x6, 0x6, 0x3, 0x0, 0x6, 0x0, 0x1}}}, @TCF_EM_U32={0x0, 0x3, 0x0, 0x0, {{0x8001, 0x3, 0xfffc}, {0x5, 0x0, 0x7b, 0x5}}}]}, @TCA_EMATCH_TREE_HDR, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_IPT={0x0, 0x2, 0x0, 0x0, {{0x4, 0x9, 0x4}, [@TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x9}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x1}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0xf8}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x5}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x11bf5aa492b9df07}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x3}, @TCA_EM_IPT_MATCH_NAME]}}, @TCF_EM_CONTAINER={0x0, 0x3, 0x0, 0x0, {{}, "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"}}, @TCF_EM_U32={0x0, 0x3, 0x0, 0x0, {{0x7ff, 0x3, 0x5}, {0x6, 0x0, 0x39d, 0x2}}}, @TCF_EM_IPSET={0x0, 0x1, 0x0, 0x0, {{0x3ff, 0x8, 0x400}, {0xffffffffffffffff, 0x6, 0x2}}}]}, @TCA_EMATCH_TREE_HDR={0x0, 0x1, {0x1}}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_CANID={0x0, 0x1, 0x0, 0x0, {{0x9, 0x7, 0x6}, {{0x2, 0x1, 0x1, 0x1}, {0x2, 0x0, 0x0, 0x1}}}}, @TCF_EM_IPT={0x0, 0x1, 0x0, 0x0, {{0x6, 0x9, 0x7}, [@TCA_EM_IPT_HOOK={0x0, 0x1, 0x3}, @TCA_EM_IPT_MATCH_NAME]}}, @TCF_EM_U32={0x0, 0x1, 0x0, 0x0, {{0x9, 0x3, 0xfbae}, {0x4, 0x0, 0x20, 0xfffffe00}}}, @TCF_EM_META={0x0, 0x20, 0x0, 0x0, {{0x7}, [@TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR="9a7c49eef9", @TCF_META_TYPE_VAR="f372b6fd2b8098", @TCF_META_TYPE_VAR="82272aaab1a78bcf5c"]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x5, 0x3f, 0x2}, {0x8, 0x3f, 0x1}}}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR="5506", @TCF_META_TYPE_VAR="f185", @TCF_META_TYPE_INT=0x1, @TCF_META_TYPE_INT=0x8, @TCF_META_TYPE_VAR="b23e8e0aa43557ff", @TCF_META_TYPE_INT=0x4]}, @TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_INT=0x2]}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR="8df2", @TCF_META_TYPE_VAR='?', @TCF_META_TYPE_VAR="5fa46845c8496ae190", @TCF_META_TYPE_VAR="b3ac", @TCF_META_TYPE_INT=0x5, @TCF_META_TYPE_INT=0x6, @TCF_META_TYPE_VAR="1fd5fa81bf", @TCF_META_TYPE_INT=0x8]}, @TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_INT=0x7, @TCF_META_TYPE_VAR="c120", @TCF_META_TYPE_INT=0x4, @TCF_META_TYPE_VAR="54eb441eb0d852da3e52", @TCF_META_TYPE_VAR="dd", @TCF_META_TYPE_VAR="ad8645d651f2", @TCF_META_TYPE_VAR, @TCF_META_TYPE_VAR="69a5bc018b25"]}]}}, @TCF_EM_IPSET={0x0, 0x1, 0x0, 0x0, {{0x4, 0x8, 0x4}, {0x2, 0x4}}}, @TCF_EM_CMP={0x0, 0x3, 0x0, 0x0, {{0x7, 0x1, 0x1}, {0x401, 0xfd, 0x5, 0x2, 0x2, 0x3, 0x1}}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x8, 0x1, 0x80}, {0xb12, 0x9, 0xf6fb, 0x2, 0xe, 0x1, 0x1}}}, @TCF_EM_META={0x0, 0x3, 0x0, 0x0, {{0x3}, [@TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_VAR="17", @TCF_META_TYPE_VAR="a5c63440be04e0178f", @TCF_META_TYPE_VAR="062d38fdf27262d4b2", @TCF_META_TYPE_VAR="2bf1"]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x6, 0x7f, 0x2}, {0x8, 0x0, 0x1}}}, @TCA_EM_META_HDR={0x0, 0x1, {{0x200, 0x4, 0x1}, {0x9, 0x40, 0x1}}}]}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x8, 0x1, 0x1000}, {0x9, 0x1, 0x1ff, 0x2, 0x3, 0x2}}}, @TCF_EM_CONTAINER={0x0, 0x3, 0x0, 0x0, {{0x8, 0x0, 0x1ff}, "d0545f2c1532e965cf7fda11392c21c1c3a2d7330668908d10eb5b7a88ac34b718433e17637ccd82949652eb669152b99719f60cca498d25462c897ba89731f8e3c245459c8d6eeaae400aa02ecf5eb8a070b9de4e7221338a70ce8a0bafe5907aff8788aea626d8a803cc298170d30bdadb95e1e4d6200dd3a82e6999bba4f9959221fee4ae34171b5909fc1ecb733c3bde13fcd1a06757940a32b48d8521d6206c4c0e1e4f333cf575e3e63257400f0c99d731f18e5fb62a7f5e0d43bf0df9375a9e7abec3782177e6a53af2"}}]}]}]}}, @filter_kind_options=@f_rsvp={{}, {0x0, 0x2, [@TCA_RSVP_CLASSID={0x0, 0x1, {0xe, 0x4}}]}}, @TCA_CHAIN={0x0, 0xb, 0x4}, @filter_kind_options=@f_matchall={{}, {0x0, 0x2, [@TCA_MATCHALL_CLASSID={0x0, 0x1, {0xffff, 0xfff1}}]}}, @TCA_CHAIN={0x0, 0xb, 0x4}]}, 0x44}, 0x1, 0x0, 0x0, 0x95}, 0x0) write$binfmt_script(r2, &(0x7f0000000340)=ANY=[@ANYRES16=r4, @ANYRESHEX=r2], 0xfea7) mmap(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x300000b, 0x30, r0, 0x537b0000) ioctl$AUTOFS_DEV_IOCTL_EXPIRE(r1, 0xc018937c, &(0x7f0000000540)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32=r0, @ANYBLOB="0200000266556c65e703000000448a9c36ddcbd12f97fb0000"]) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) write$dsp(r0, &(0x7f0000000140)="05", 0x1) openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0x28e82, 0x0) (async) openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) (async) openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) (async) socket(0x1e, 0x1, 0x0) (async) sendmsg(r3, &(0x7f0000000000)={&(0x7f0000000080)=@generic={0x10000000001e, "02ff0100000001000000000000000ae77f5bf86c48020002000000f1ffffff009a480075e6a50000de010300000000e4ff064b3f013a000000080200000000000000ac50d5fe32c4000000007fffffff6a008356edb9a6341c1fd45624281e00070ecddd0206c39750c40000fd00000908000000000b0000db000004da36"}, 0x80, 0x0}, 0x0) (async) recvmmsg(r3, &(0x7f0000001f40)=[{{0x0, 0x0, &(0x7f0000000300)=[{&(0x7f0000000180)=""/105, 0x69}], 0x1, &(0x7f00000003c0)=""/250, 0xfa}}], 0x1, 0x0, 0x0) (async) write$binfmt_elf32(r3, &(0x7f00000001c0)=ANY=[], 0xfffffd6d) (async) openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) (async) write$binfmt_script(r4, &(0x7f0000000040)=ANY=[], 0xfea7) (async) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r4, 0x0) (async) write$binfmt_script(0xffffffffffffffff, &(0x7f0000000200)=ANY=[@ANYRES64=r4, @ANYRESOCT=r3, @ANYRES16=r0, @ANYRESOCT=r4, @ANYRESDEC, @ANYBLOB="417b50f64d18c2a57e8073d0a2b9b6fedc70ad881ac0d45ac6d8180e5eea73245dd14628191275b10e26146a23c424d347650508820b31286506451e939ee26b5e42caf69838e33b88d0c133609a07f213a1d62c4f0801fba3d1d1614369da4afe", @ANYRESHEX=r4, @ANYRES64, @ANYRESDEC=r0], 0xfea7) (async) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r2, 0x0) (async) openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) (async) sendmsg$nl_route_sched(r3, &(0x7f0000000600)={&(0x7f0000000380), 0x6, &(0x7f0000000640)={&(0x7f0000007400)=@newtfilter={0x0, 0x2c, 0x200, 0x70bd2c, 0x25dfdbff, {0x0, 0x0, 0x0, 0x0, {0xffff, 0x7}, {0xd, 0x8}, {0xfffb, 0xa}}, [@TCA_CHAIN={0x0, 0xb, 0x9f}, @TCA_RATE={0x0, 0x5, {0x30, 0x4}}, @TCA_RATE={0x0, 0x5, {0x5, 0x1}}, @TCA_CHAIN={0x0, 0xb, 0x200}, @TCA_CHAIN={0x0, 0xb, 0x6}, @filter_kind_options=@f_cgroup={{}, {0x0, 0x2, [@TCA_CGROUP_EMATCHES={0x0, 0x3, 0x0, 0x1, [@TCA_EMATCH_TREE_HDR={0x0, 0x1, {0x1b93}}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_IPT={0x0, 0x1, 0x0, 0x0, {{0x4, 0x9, 0x1}, [@TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x7}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x3}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x5}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x6}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x8}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0xa}, @TCA_EM_IPT_MATCH_NAME]}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x6, 0x1, 0x9}, {0xffffffff, 0x3b, 0x5e, 0x1, 0x8, 0x2, 0x2}}}, @TCF_EM_META={0x0, 0x3, 0x0, 0x0, {{0xdbd6, 0x4, 0x10}, [@TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR='F', @TCF_META_TYPE_VAR='2n', @TCF_META_TYPE_INT=0x3, @TCF_META_TYPE_INT=0x2, @TCF_META_TYPE_VAR="a190a2a28f15", @TCF_META_TYPE_VAR="f051296c490a64c6a7", @TCF_META_TYPE_INT=0x2, @TCF_META_TYPE_INT]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x0, 0xff, 0x2}, {0x573f, 0x6, 0x2}}}, @TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_VAR="24c1ec304693", @TCF_META_TYPE_INT=0x7]}]}}, @TCF_EM_IPT={0x0, 0x2, 0x0, 0x0, {{0x7, 0x9, 0x81}, [@TCA_EM_IPT_HOOK={0x0, 0x1, 0x3}]}}]}, @TCA_EMATCH_TREE_HDR={0x0, 0x1, {0x6}}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_CANID={0x0, 0x3, 0x0, 0x0, {{0x81, 0x7, 0x81}, {{0x4, 0x1, 0x1}, {0x2}}}}, @TCF_EM_IPSET={0x0, 0x1, 0x0, 0x0, {{0x8, 0x8, 0xe3}, {0x3, 0x2, 0x1}}}, @TCF_EM_CONTAINER={0x0, 0x2, 0x0, 0x0, {{0x62, 0x0, 0x1988}, "e390f518c4769e91237627da"}}, @TCF_EM_U32={0x0, 0x1, 0x0, 0x0, {{0x80, 0x3, 0x3f}, {0x9, 0x1ff, 0xfef, 0x3}}}, @TCF_EM_CMP={0x0, 0x2, 0x0, 0x0, {{0x0, 0x1, 0x7}, {0x4, 0x0, 0x2, 0x2, 0x6, 0x146d2f35b68138cb, 0x2}}}]}]}, @TCA_CGROUP_EMATCHES={0x0, 0x3, 0x0, 0x1, [@TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_NBYTE={0x0, 0x2, 0x0, 0x0, {{0x1, 0x2, 0x8000}, {0x80, 0x0, 0x1, "b3e2d513"}}}, @TCF_EM_IPT={0x0, 0x3, 0x0, 0x0, {{0x7}, [@TCA_EM_IPT_HOOK={0x0, 0x1, 0x7}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0xa}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x2}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x4}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x5}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x1}]}}]}, @TCA_EMATCH_TREE_HDR={0x0, 0x1, {0xfff8}}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_CANID={0x0, 0x2, 0x0, 0x0, {{0x8001, 0x7, 0x9}, {{0x8d07, 0x1, 0x1, 0x1}, {0x2, 0x1, 0x1}}}}, @TCF_EM_IPT={0x0, 0x1, 0x0, 0x0, {{0x2, 0x9, 0x1}, [@TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0xf7}, @TCA_EM_IPT_MATCH_NAME, @TCA_EM_IPT_MATCH_DATA, @TCA_EM_IPT_MATCH_NAME, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "42e9c2ee7f0b4c8d300ce8f4d7e7ddbb90a9f76738fafe21276d2d9fb4da4157668197b26ae9b0e77b9f22277e485c83f2caf44ef53058b532ae27fd033645ba83895412d14980cc49894d807706de6a3852c02fb65ef20b73fdc936c1e04a3be73cc5"}, @TCA_EM_IPT_MATCH_NAME, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "cbe039aa13d345a49d3149c513bc2d1d6db490b3c970d948a8ecb34e11ad45c27f361132a5468db10f1a54ddb26194de500fc6d2f3aacbfd4e6f75205a80f632b3b5ca7942ce749a92e4246143096bf909127854f885186872028df8a40b2a82276129e774c9b122ac5b1fed93cfb1e5f5c8f37fa20a741ad6b465f1e83fc87997fd79069bc59d2c705fdee290c3252a22c4892608217f1217f4ee9e04784944de4cd8498ac1eeee"}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x6}]}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x4, 0x1, 0x80}, {0x7, 0x0, 0x18, 0x3, 0x3, 0x2, 0x1}}}, @TCF_EM_CANID={0x0, 0x2, 0x0, 0x0, {{0x0, 0x7, 0x2}, {{0x2, 0x1, 0x1}, {0x2, 0x1, 0x1, 0x1}}}}, @TCF_EM_IPSET={0x0, 0x2, 0x0, 0x0, {{0x7, 0x8, 0x3f}, {0x0, 0x3, 0x4}}}, @TCF_EM_META={0x0, 0x2, 0x0, 0x0, {{0x1, 0x4, 0x7f}, [@TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_INT=0x4, @TCF_META_TYPE_INT=0x9]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x38, 0x0, 0x2}, {0x0, 0x6, 0x2}}}, @TCA_EM_META_HDR={0x0, 0x1, {{0x80, 0x15, 0x1}, {0x51e9, 0x86, 0x2}}}, @TCA_EM_META_HDR={0x0, 0x1, {{0x12, 0x2, 0x2}, {0x3f, 0x3, 0x2}}}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_INT=0x6, @TCF_META_TYPE_INT, @TCF_META_TYPE_VAR, @TCF_META_TYPE_VAR="fcfde115f1f7a198", @TCF_META_TYPE_INT=0x2, @TCF_META_TYPE_INT=0x8]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x4, 0xbd}, {0xff, 0x4}}}]}}, @TCF_EM_U32={0x0, 0x1, 0x0, 0x0, {{0x7, 0x3, 0x4546}, {0x6, 0x1f, 0x3, 0x7}}}, @TCF_EM_CANID={0x0, 0x3, 0x0, 0x0, {{0x401, 0x7, 0x4}, {{0x3, 0x1, 0x0, 0x1}, {0x1, 0x1, 0x1, 0x1}}}}, @TCF_EM_IPSET={0x0, 0x2, 0x0, 0x0, {{0x101, 0x8, 0xff}, {0x0, 0x3, 0x4}}}]}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_IPT={0x0, 0x3, 0x0, 0x0, {{0x100, 0x9, 0x6}, [@TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x2}, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "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"}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x4}, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "8ca1945324aac7c3655e85731b49bf9285b76d8ec7737b544acd2fedeaf6516282e8dc7b1ce719a8c400ad4c988923c85e9ac31a9a04909059cb80fa8bd62ace820c5079c32c040655338ea73be637ad450bcf35afa2534500abc9f284256c9a33620c7281543b888ab7e850f7137d643c81322a55cf6e69f27ac6c692261e442b60ae18d02dd44f5485395012ec5a7fec215a642766b6c7558042a02ac926b340f6ac843b48ad3666f705ba54a76b1d7dff64480136"}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x7}, @TCA_EM_IPT_MATCH_NAME, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "204575486bf256af73093511e85387a4835abc7892da71bf5f177ca3ad16a2db4651fd0f467de7ebeeae5b1f51871661c347f1826b8e7776a07994c882054a4b7a3ad2db702b6e040da2363592f9e129bab3128f097a6bc4ecb219e66356955789fddd90751744a6"}]}}, @TCF_EM_NBYTE={0x0, 0x2, 0x0, 0x0, {{0x66, 0x2, 0x9}, {0x1, 0x0, 0x1, "16179837dba1adbf"}}}, @TCF_EM_META={0x0, 0x2, 0x0, 0x0, {{0x8, 0x4, 0x90}, [@TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_VAR="95e471f3", @TCF_META_TYPE_INT=0x6, @TCF_META_TYPE_VAR="73851e60ac7fe83547", @TCF_META_TYPE_INT=0x4, @TCF_META_TYPE_INT=0x8, @TCF_META_TYPE_VAR="bfaf5aa6ced5b36d7704"]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x8, 0x2}, {0x4, 0x6, 0x2}}}, @TCA_EM_META_HDR={0x0, 0x1, {{0x4}, {0x0, 0x6, 0x2}}}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR="d44dace091821a", @TCF_META_TYPE_INT=0x1, @TCF_META_TYPE_INT=0x2, @TCF_META_TYPE_VAR="2bc634ec"]}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR='\t', @TCF_META_TYPE_INT=0x4, @TCF_META_TYPE_VAR="3fb02484"]}]}}, @TCF_EM_IPT={0x0, 0x2, 0x0, 0x0, {{0x40, 0x9, 0x7}, [@TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0xe0}, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "8794293991d142aae143019b2c08a25e2a7f0f876a398ba13c3367506464e7f4977cb92d0dc38557f2a9562248a60a8c5eeb34068a475344487f2168a9ad247c55912495a50c9ce1444880f1d55290f140d58c7229b680df163ad1d52463f03369c7523a3a6fb1f1b14c154cf3a0c8b2567983b1101bc073add2d294aaf42832e5242c3e3141022e213475e79cc4735118287a78ea227833c5c4f7ef2c70a324a2daa6bcf5053bbf55e9fcda21e9d0997baac43290d5"}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0xc}, @TCA_EM_IPT_MATCH_DATA={0x0, 0x5, "57e00d0259f0925152a8c73e13459f1c63b4182e0de5875de5175d4b1ba84a80f596f2db044b0b09f817df51d08a840b2b15f56ca808bf104d9a1c"}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x2}, @TCA_EM_IPT_NFPROTO]}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x2, 0x1, 0x3}, {0x6, 0x6, 0x3, 0x0, 0x6, 0x0, 0x1}}}, @TCF_EM_U32={0x0, 0x3, 0x0, 0x0, {{0x8001, 0x3, 0xfffc}, {0x5, 0x0, 0x7b, 0x5}}}]}, @TCA_EMATCH_TREE_HDR, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_IPT={0x0, 0x2, 0x0, 0x0, {{0x4, 0x9, 0x4}, [@TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x9}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x1}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0xf8}, @TCA_EM_IPT_MATCH_REVISION={0x0, 0x3, 0x5}, @TCA_EM_IPT_NFPROTO={0x0, 0x4, 0x11bf5aa492b9df07}, @TCA_EM_IPT_HOOK={0x0, 0x1, 0x3}, @TCA_EM_IPT_MATCH_NAME]}}, @TCF_EM_CONTAINER={0x0, 0x3, 0x0, 0x0, {{}, "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"}}, @TCF_EM_U32={0x0, 0x3, 0x0, 0x0, {{0x7ff, 0x3, 0x5}, {0x6, 0x0, 0x39d, 0x2}}}, @TCF_EM_IPSET={0x0, 0x1, 0x0, 0x0, {{0x3ff, 0x8, 0x400}, {0xffffffffffffffff, 0x6, 0x2}}}]}, @TCA_EMATCH_TREE_HDR={0x0, 0x1, {0x1}}, @TCA_EMATCH_TREE_LIST={0x0, 0x2, 0x0, 0x1, [@TCF_EM_CANID={0x0, 0x1, 0x0, 0x0, {{0x9, 0x7, 0x6}, {{0x2, 0x1, 0x1, 0x1}, {0x2, 0x0, 0x0, 0x1}}}}, @TCF_EM_IPT={0x0, 0x1, 0x0, 0x0, {{0x6, 0x9, 0x7}, [@TCA_EM_IPT_HOOK={0x0, 0x1, 0x3}, @TCA_EM_IPT_MATCH_NAME]}}, @TCF_EM_U32={0x0, 0x1, 0x0, 0x0, {{0x9, 0x3, 0xfbae}, {0x4, 0x0, 0x20, 0xfffffe00}}}, @TCF_EM_META={0x0, 0x20, 0x0, 0x0, {{0x7}, [@TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR="9a7c49eef9", @TCF_META_TYPE_VAR="f372b6fd2b8098", @TCF_META_TYPE_VAR="82272aaab1a78bcf5c"]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x5, 0x3f, 0x2}, {0x8, 0x3f, 0x1}}}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR="5506", @TCF_META_TYPE_VAR="f185", @TCF_META_TYPE_INT=0x1, @TCF_META_TYPE_INT=0x8, @TCF_META_TYPE_VAR="b23e8e0aa43557ff", @TCF_META_TYPE_INT=0x4]}, @TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_INT=0x2]}, @TCA_EM_META_RVALUE={0x0, 0x3, [@TCF_META_TYPE_VAR="8df2", @TCF_META_TYPE_VAR='?', @TCF_META_TYPE_VAR="5fa46845c8496ae190", @TCF_META_TYPE_VAR="b3ac", @TCF_META_TYPE_INT=0x5, @TCF_META_TYPE_INT=0x6, @TCF_META_TYPE_VAR="1fd5fa81bf", @TCF_META_TYPE_INT=0x8]}, @TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_INT=0x7, @TCF_META_TYPE_VAR="c120", @TCF_META_TYPE_INT=0x4, @TCF_META_TYPE_VAR="54eb441eb0d852da3e52", @TCF_META_TYPE_VAR="dd", @TCF_META_TYPE_VAR="ad8645d651f2", @TCF_META_TYPE_VAR, @TCF_META_TYPE_VAR="69a5bc018b25"]}]}}, @TCF_EM_IPSET={0x0, 0x1, 0x0, 0x0, {{0x4, 0x8, 0x4}, {0x2, 0x4}}}, @TCF_EM_CMP={0x0, 0x3, 0x0, 0x0, {{0x7, 0x1, 0x1}, {0x401, 0xfd, 0x5, 0x2, 0x2, 0x3, 0x1}}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x8, 0x1, 0x80}, {0xb12, 0x9, 0xf6fb, 0x2, 0xe, 0x1, 0x1}}}, @TCF_EM_META={0x0, 0x3, 0x0, 0x0, {{0x3}, [@TCA_EM_META_LVALUE={0x0, 0x2, [@TCF_META_TYPE_VAR="17", @TCF_META_TYPE_VAR="a5c63440be04e0178f", @TCF_META_TYPE_VAR="062d38fdf27262d4b2", @TCF_META_TYPE_VAR="2bf1"]}, @TCA_EM_META_HDR={0x0, 0x1, {{0x6, 0x7f, 0x2}, {0x8, 0x0, 0x1}}}, @TCA_EM_META_HDR={0x0, 0x1, {{0x200, 0x4, 0x1}, {0x9, 0x40, 0x1}}}]}}, @TCF_EM_CMP={0x0, 0x1, 0x0, 0x0, {{0x8, 0x1, 0x1000}, {0x9, 0x1, 0x1ff, 0x2, 0x3, 0x2}}}, @TCF_EM_CONTAINER={0x0, 0x3, 0x0, 0x0, {{0x8, 0x0, 0x1ff}, "d0545f2c1532e965cf7fda11392c21c1c3a2d7330668908d10eb5b7a88ac34b718433e17637ccd82949652eb669152b99719f60cca498d25462c897ba89731f8e3c245459c8d6eeaae400aa02ecf5eb8a070b9de4e7221338a70ce8a0bafe5907aff8788aea626d8a803cc298170d30bdadb95e1e4d6200dd3a82e6999bba4f9959221fee4ae34171b5909fc1ecb733c3bde13fcd1a06757940a32b48d8521d6206c4c0e1e4f333cf575e3e63257400f0c99d731f18e5fb62a7f5e0d43bf0df9375a9e7abec3782177e6a53af2"}}]}]}]}}, @filter_kind_options=@f_rsvp={{}, {0x0, 0x2, [@TCA_RSVP_CLASSID={0x0, 0x1, {0xe, 0x4}}]}}, @TCA_CHAIN={0x0, 0xb, 0x4}, @filter_kind_options=@f_matchall={{}, {0x0, 0x2, [@TCA_MATCHALL_CLASSID={0x0, 0x1, {0xffff, 0xfff1}}]}}, @TCA_CHAIN={0x0, 0xb, 0x4}]}, 0x44}, 0x1, 0x0, 0x0, 0x95}, 0x0) (async) write$binfmt_script(r2, &(0x7f0000000340)=ANY=[@ANYRES16=r4, @ANYRESHEX=r2], 0xfea7) (async) mmap(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x300000b, 0x30, r0, 0x537b0000) (async) ioctl$AUTOFS_DEV_IOCTL_EXPIRE(r1, 0xc018937c, &(0x7f0000000540)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32=r0, @ANYBLOB="0200000266556c65e703000000448a9c36ddcbd12f97fb0000"]) (async) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) (async) write$dsp(r0, &(0x7f0000000140)="05", 0x1) (async) 22:45:41 executing program 3: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000dcaaf0109904271050190000000109022c0001000000000904000001e308240008360601000000000924020200000000000905"], 0x0) 22:45:41 executing program 5: mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x3, 0x8031, 0xffffffffffffffff, 0x0) write$binfmt_elf64(0xffffffffffffffff, 0x0, 0xa3b) mmap(&(0x7f00009fd000/0x600000)=nil, 0x600000, 0x380000d, 0x6031, 0xffffffffffffffff, 0x0) bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, 0x0, 0xffffffffffffff80) r0 = socket$inet6_tcp(0xa, 0x1, 0x0) setsockopt$inet6_tcp_int(r0, 0x6, 0x13, &(0x7f00000000c0)=0x100000001, 0x4) setsockopt$inet6_tcp_TCP_REPAIR_QUEUE(r0, 0x6, 0x14, &(0x7f0000000080)=0x80000000001, 0x4) connect$inet6(r0, &(0x7f0000000180)={0xa, 0x0, 0x0, @empty}, 0x1c) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r1, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r1, 0x0) bind(r1, &(0x7f0000000280)=@sco={0x1f, @none}, 0x80) sendto$inet6(r0, &(0x7f00000001c0)="a6e2976b5c4383036d32dadd2e144d8645ca8d1b230e105614396838da83c754887e7bea2f35d4ea667817d90d532af065f2e398dd9081ea16f8b371a202a6f9e505bbc964a0d3880bf0104a0a0a2f0d311efee1637e85a0125b38f961918f99bf9c2c146e42327f178dc2b3d4936e7f7f0a79f74ba464d83ab41742d1186776dc1779b5c50ac82d0fa8f9e42074b5b6079207fb21e718080907964669be539791e3e98687ee059853", 0xa9, 0x20000840, 0x0, 0x0) mmap(&(0x7f0000ffa000/0x4000)=nil, 0x4000, 0x0, 0x13, r0, 0x0) r2 = openat$sw_sync_info(0xffffffffffffff9c, &(0x7f0000000040), 0x109802, 0x0) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(r2, 0x6, 0x23, &(0x7f0000000100)={&(0x7f00002a6000/0x14000)=nil, 0x14000, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}, &(0x7f0000000140)=0x58) ioctl$BLKSECTGET(0xffffffffffffffff, 0x1267, 0x0) mmap(&(0x7f0000000000/0xb36000)=nil, 0xb36000, 0x3, 0x8031, 0xffffffffffffffff, 0x0) (async) write$binfmt_elf64(0xffffffffffffffff, 0x0, 0xa3b) (async) mmap(&(0x7f00009fd000/0x600000)=nil, 0x600000, 0x380000d, 0x6031, 0xffffffffffffffff, 0x0) (async) bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, 0x0, 0xffffffffffffff80) (async) socket$inet6_tcp(0xa, 0x1, 0x0) (async) setsockopt$inet6_tcp_int(r0, 0x6, 0x13, &(0x7f00000000c0)=0x100000001, 0x4) (async) setsockopt$inet6_tcp_TCP_REPAIR_QUEUE(r0, 0x6, 0x14, &(0x7f0000000080)=0x80000000001, 0x4) (async) connect$inet6(r0, &(0x7f0000000180)={0xa, 0x0, 0x0, @empty}, 0x1c) (async) openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) (async) write$binfmt_script(r1, &(0x7f0000000040)=ANY=[], 0xfea7) (async) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r1, 0x0) (async) bind(r1, &(0x7f0000000280)=@sco={0x1f, @none}, 0x80) (async) sendto$inet6(r0, &(0x7f00000001c0)="a6e2976b5c4383036d32dadd2e144d8645ca8d1b230e105614396838da83c754887e7bea2f35d4ea667817d90d532af065f2e398dd9081ea16f8b371a202a6f9e505bbc964a0d3880bf0104a0a0a2f0d311efee1637e85a0125b38f961918f99bf9c2c146e42327f178dc2b3d4936e7f7f0a79f74ba464d83ab41742d1186776dc1779b5c50ac82d0fa8f9e42074b5b6079207fb21e718080907964669be539791e3e98687ee059853", 0xa9, 0x20000840, 0x0, 0x0) (async) mmap(&(0x7f0000ffa000/0x4000)=nil, 0x4000, 0x0, 0x13, r0, 0x0) (async) openat$sw_sync_info(0xffffffffffffff9c, &(0x7f0000000040), 0x109802, 0x0) (async) getsockopt$inet6_tcp_TCP_ZEROCOPY_RECEIVE(r2, 0x6, 0x23, &(0x7f0000000100)={&(0x7f00002a6000/0x14000)=nil, 0x14000, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}, &(0x7f0000000140)=0x58) (async) ioctl$BLKSECTGET(0xffffffffffffffff, 0x1267, 0x0) (async) 22:45:41 executing program 0: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000dcaaf0109904271050190000000109022c0001000000000904000001e30824000836060100000000092402020000000000090581db"], 0x0) 22:45:41 executing program 2: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x21, 0x5d, 0x4b, 0x40, 0x6a5, 0xd800, 0x8712, 0x0, 0x0, 0x0, 0x1, [{{0x9, 0x2, 0x12, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0xf9, 0x30, 0x5d}}]}}]}}, 0x0) syz_usb_control_io$printer(r0, 0x0, 0x0) (async) syz_usb_control_io$printer(r0, 0x0, &(0x7f00000007c0)={0x34, &(0x7f0000000080)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0}) (async) syz_usb_control_io$hid(r0, 0x0, 0x0) (async) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000004c0)={0x2c, &(0x7f0000000040)=ANY=[], 0x0, 0x0, 0x0, 0x0}) (async) syz_usb_control_io$cdc_ecm(r0, 0x0, &(0x7f00000010c0)={0x1c, &(0x7f0000000040)=ANY=[], 0x0, 0x0}) (async) r1 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000b0cd6f10da0b3228fef4000000010902120001000000000904"], 0x0) syz_usb_control_io(r1, 0x0, 0x0) (async) syz_usb_control_io$uac1(r1, 0x0, &(0x7f0000000340)={0x44, &(0x7f0000000140)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) (async) syz_usb_control_io$hid(r1, 0x0, 0x0) (async) syz_usb_control_io(r1, &(0x7f0000000280)={0x2c, &(0x7f0000000040)={0x40, 0x9, 0xf1, {0xf1, 0x6, "45db9bd1456f80cb32f5dc0fac9d843e110855b8935ba01689580673212f829b3ade2c4c8ebe897b9d31520b4d4621712d06b4193c342da5c7cb84b38f61eb7ef9f32e9ff1ca0b068eaaa4aaae2b52045134a03750d99639b7efb576e7e08949ded0cf45021379995dcc48ee6541abd9a76db4f1951895aee0c204d35fb08d938630b39cdcdb4f840386f4a6fb74cb588fbbc31dfe1d0b129bd9b95209c29d05ad048c2dbb6845fa848d637ab50afdf6537156266faa5066d4c52acd6c73dace8364bf688277951c09e2ca0f40906119963d9a92912145a86646b547e993da1362b378e28718bd99127a2df2d3e067"}}, &(0x7f0000000140)={0x0, 0x3, 0x4, @lang_id={0x4, 0x3, 0xc0a}}, &(0x7f0000000180)={0x0, 0xf, 0x4d, {0x5, 0xf, 0x4d, 0x4, [@ss_cap={0xa, 0x10, 0x3, 0x2, 0x7, 0x2, 0x3, 0xfc00}, @ptm_cap={0x3}, @ext_cap={0x7, 0x10, 0x2, 0x0, 0x9, 0xb, 0xffff}, @generic={0x34, 0x10, 0xa, "28bc3e087ac100387972f533b3cade33d51c504b9c730fd585900327e776425e9e2518ef307cb2271e082a510391b77f18"}]}}, &(0x7f0000000200)={0x20, 0x29, 0xf, {0xf, 0x29, 0xf7, 0x0, 0x7, 0xd1, "3108cdc8", "20a6eda2"}}, &(0x7f0000000240)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x6, 0x1, 0x5, 0x7f, 0xfe, 0x8, 0x389}}}, &(0x7f0000000800)={0x84, &(0x7f00000002c0)={0x20, 0x16, 0x5d, "92fed0d9e2f552498677768c5391d5873ea154dd0d26735ce1584cbb9f31c355870023da37982d1ca4c967e2e58d42ec204b0d24215b354615bbac39842d0c79c8a4a4d645c3b1737a6edbaf900f3f6d2278fc19d0810e01ec8202e576"}, &(0x7f0000000340)={0x0, 0xa, 0x1}, &(0x7f0000000380)={0x0, 0x8, 0x1, 0x27}, &(0x7f00000003c0)={0x20, 0x0, 0x4, {0x3, 0x2}}, &(0x7f0000000400)={0x20, 0x0, 0x4, {0x20, 0x4}}, &(0x7f0000000440)={0x40, 0x7, 0x2, 0x1}, &(0x7f0000000480)={0x40, 0x9, 0x1, 0x80}, &(0x7f0000000500)={0x40, 0xb, 0x2, "50c5"}, &(0x7f0000000540)={0x40, 0xf, 0x2, 0x8001}, &(0x7f0000000580)={0x40, 0x13, 0x6, @broadcast}, &(0x7f00000005c0)={0x40, 0x17, 0x6, @remote}, &(0x7f0000000600)={0x40, 0x19, 0x2, "a5ae"}, &(0x7f0000000640)={0x40, 0x1a, 0x2, 0x2}, &(0x7f0000000680)={0x40, 0x1c, 0x1, 0x3}, &(0x7f00000006c0)={0x40, 0x1e, 0x1, 0x7}, &(0x7f0000000700)={0x40, 0x21, 0x1, 0x3}}) (async) r2 = syz_usb_connect$uac1(0x3, 0xec, &(0x7f00000008c0)={{0x12, 0x1, 0x110, 0x0, 0x0, 0x0, 0x8, 0x1d6b, 0x101, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0xda, 0x3, 0x1, 0xe0, 0x90, 0x65, {{0x9, 0x4, 0x0, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, {{0xa, 0x24, 0x1, 0x8, 0x1}, [@selector_unit={0x6, 0x24, 0x5, 0x6, 0xfd, "bc"}, @processing_unit={0xd, 0x24, 0x7, 0x5, 0x4, 0xb0, '\v$5JJ.'}, @output_terminal={0x9, 0x24, 0x3, 0x1, 0x0, 0x2, 0x4, 0x9}, @feature_unit={0x13, 0x24, 0x6, 0x6, 0x3, 0x6, [0x6, 0x2, 0x8, 0x4, 0x7, 0x1], 0x6}, @extension_unit={0x8, 0x24, 0x8, 0x4, 0xb13, 0x4, "b3"}]}}, {}, {0x9, 0x4, 0x1, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@as_header={0x7, 0x24, 0x1, 0x6, 0xff, 0x1}]}, {{0x9, 0x5, 0x1, 0x9, 0x400, 0x3, 0x7f, 0x7f, {0x7, 0x25, 0x1, 0x1, 0x7f, 0x7}}}}, {}, {0x9, 0x4, 0x2, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_i_continuous={0xa, 0x24, 0x2, 0x1, 0x3, 0x1, 0x9f, 0x7, "ac80"}, @format_type_ii_discrete={0xd, 0x24, 0x2, 0x2, 0x49d3, 0x0, 0x1, "d77dc455"}, @format_type_i_discrete={0xc, 0x24, 0x2, 0x1, 0x0, 0x3, 0x40, 0x4, "0e983f15"}, @format_type_i_discrete={0xe, 0x24, 0x2, 0x1, 0x0, 0x4, 0x0, 0xe3, "1324c0928d6e"}, @format_type_i_continuous={0xc, 0x24, 0x2, 0x1, 0x3, 0x3, 0x7, 0x4, "22a6", "9667"}]}, {{0x9, 0x5, 0x82, 0x9, 0x8, 0xff, 0x10, 0x0, {0x7, 0x25, 0x1, 0x80, 0x40, 0x7f}}}}}}}]}}, &(0x7f0000000d80)={0xa, &(0x7f0000000740)={0xa, 0x6, 0x110, 0x74, 0x7, 0x8, 0x40, 0x9}, 0x37, &(0x7f0000000780)={0x5, 0xf, 0x37, 0x4, [@ssp_cap={0x10, 0x10, 0xa, 0x0, 0x1, 0x7, 0x0, 0x2, [0xffff30]}, @wireless={0xb, 0x10, 0x1, 0x2, 0x24, 0x2, 0x3, 0xff, 0x5}, @ptm_cap={0x3}, @ss_container_id={0x14, 0x10, 0x4, 0x15, "42c2c976d9ea838666d434018719ceb7"}]}, 0x8, [{0x40, &(0x7f00000009c0)=@string={0x40, 0x3, "dce72b2258c95a595843400dbc477cb36fd0c3c5973d7f80f7a82da192f3f20bfc1fe67d36d5a863326022108cb0f3933d7f5242a71c16357c3f1707d60f"}}, {0x7f, &(0x7f0000000a00)=@string={0x7f, 0x3, "288601faefc7363773ddc5fcc0a0f941e68314a8d14f34886e0a34a8f23893430c0b6300361bc9e08933c5782ce971a78a637d59c8d1fd897ae7c9e2fbc9263737c7b9fdcc2621ef1f7a03ffaf89e5c0873036e373223fb8003f920fb5494d00dbedf07f9518d332918757bd9c52f0b3d3f2a702c29a15be9cfc9f762e"}}, {0x20, &(0x7f0000000a80)=@string={0x20, 0x3, "51fb69b740ca36dbe0b44f85f543afe60c3a291943a6274e279d9a40c97d"}}, {0x61, &(0x7f0000000ac0)=@string={0x61, 0x3, "3c17342c3079e07347c7e2c0324b7784aa739cba5ee5013c3ff1af8ded393771f28e55de03294f0ac98688aeada1bcab18af55fd922033d9f5d5a8a88cf93b4071e5f60f4eee1ec48010bef3fb87bc27e35589d8e6eb21e1b70c6180971cdb"}}, {0x93, &(0x7f0000000b40)=@string={0x93, 0x3, "e63ef376e38ce6df24cb0a1360781a8e8eeab061e14e2e9bc1604fc6287958091144316c18cde808e78be55002ce30325c116b2fbcfd138fcb0af3e4f2ae5ece5ca2ce1cfaeea38e569519d01e0187c4ba2b34b8281f3b54b06b5d227263158af8bedaa72d5f014cb149e6a7fb00254157bcc90e1b9a9e1bf3746e64368a0823f857152928a6775eabbafa9c08a20bf4c6"}}, {0x4, &(0x7f0000000c00)=@lang_id={0x4, 0x3, 0x457}}, {0x4, &(0x7f0000000c40)=@lang_id={0x4, 0x3, 0xc1a}}, {0xee, &(0x7f0000000c80)=@string={0xee, 0x3, "ed3f4dca786cf3493b33a9fe6794837c3a4b441f8c9751d164efd6d82d660369fbf6971b7c5b225716fdd47c85de3899cd2f64da14ee4c1b1bd9a7761210318656f3dcf4ddf94847889e07b96d34f82c81c78df6fac9246c0ab62dd81f77672991cdbf28adeb5890f6e406fdf110eacf984b9e5394c869e9c2c650ed62ddbad273d1cff76ca85d029e6b8425e603a7f94fb23fe6c35f0bd8e527cd081b26aa3263937f21f8e0b090500f1e4c9a0109e3da0e74aaa52c5c4e19c17e1c1acb0ec9befc2e08f9b5e9c0349696ee71ca43b2491366c80f501f98958fbe554aa7311bee21e0f08f86478310c27dd7"}}]}) syz_usb_control_io$cdc_ncm(0xffffffffffffffff, &(0x7f00000016c0)={0x14, &(0x7f00000015c0)={0x0, 0xb, 0xb1, {0xb1, 0x3, "97ed9b0bfdb48bd71868635dc713555401a86161b64856c9f401e9b44569cf6ca9fd47365f6029727ab64ef6ad55c71e254a5ded10a1601e4ba3b68000fed7598fb135558576972535dc6e2ed213669f33e35b4710dd19e153cfcf8eaf40d8cc5e654ad68842414b5b37775e8461984fa8f2dd54ba4fb11a6c7203d43f73bc8c85362982fa1ae3aa520c06e514c875d80e02cb20e6769a4c7c7891983c2d12f9fe6d9e687f87c3b511c69b9083df85"}}, &(0x7f0000001680)={0x0, 0x3, 0x1a, {0x1a}}}, &(0x7f0000001980)={0x44, &(0x7f0000001700)={0x20, 0x1, 0x7f, "d20a98c9e5a8b3822a40505bff88f60b94e1cb9f978293887148cb278d8ed33a25cb48f38c3c5eab6c057e004af389e144379fa5645ff4a9a900221207ab71dcdd2146a7a2c8027ced750ab9f1aecde0cbb2a975cad4968708e3a85f5d5c685028b672fb7e14d1742dd233726d6bb5f32be2ec37abe14ae35930268c23bdf2"}, &(0x7f00000017c0)={0x0, 0xa, 0x1, 0x8}, &(0x7f0000001800)={0x0, 0x8, 0x1, 0x1}, &(0x7f0000001840)={0x20, 0x80, 0x1c, {0x5, 0x31d4, 0x6, 0x9, 0x9, 0x3f, 0x9, 0x0, 0x1000, 0x9, 0x0, 0x1}}, &(0x7f0000001880)={0x20, 0x85, 0x4, 0x5}, &(0x7f00000018c0)={0x20, 0x83, 0x2}, &(0x7f0000001900)={0x20, 0x87, 0x2, 0x101}, &(0x7f0000001940)={0x20, 0x89, 0x2}}) (async) syz_usb_control_io(r2, &(0x7f0000001000)={0x2c, &(0x7f0000000e00)={0x20, 0xe, 0xa, {0xa, 0xd, "d5c92ce4e5e1fb7f"}}, &(0x7f0000000e40)={0x0, 0x3, 0xdc, @string={0xdc, 0x3, "da7ace6e7eb2a6408d5d87223c973b0e97efe6299c506cddcb774933a3c117c2c97f2f299d7eba9294bbf38ad01d9b142678ee957863040a458f6373425fcac0b3b13b29752d7d420492773a12c4eb8b7f9fa94c2d59b1416e694291072c3c02a45cc9eb04e3efb2c064ecdc071ee41d3e6ee39e40ae9c75ee5d5d4ef1986f670c997b8d10d005f202d1617e06ab2b65c06558e99e089ea15daa4370c9cbe1ae8a30fe7c330b497ea003afec1110e72cf936e38087c91902eb8e32123b72e5cc927c93e69c58a8ac8fea48e3848294e65d17ea224dc38d44d3e1"}}, &(0x7f0000000f40)={0x0, 0xf, 0xf, {0x5, 0xf, 0xf, 0x1, [@ss_cap={0xa, 0x10, 0x3, 0x2, 0x0, 0x20, 0x47, 0x3}]}}, &(0x7f0000000f80)={0x20, 0x29, 0xf, {0xf, 0x29, 0x5, 0x0, 0xb6, 0x20, "494c054c", "777081e8"}}, &(0x7f0000000fc0)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x12, 0x4, 0x5, 0x0, 0x80, 0x573, 0x4f9}}}, &(0x7f0000001500)={0x84, &(0x7f0000001100)={0x20, 0x30, 0xba, "9d090fabad0dae17b47b48ba7185c075456eeaf213232687789dd1cd05bb13f5f603142f0b5f33e6fa7b0b2f1c8744f304f260a31ca77d6c6f137789dca97969cb3fe6791dcb01e303adfacffc19a907a4b2e4d2f55d856a6596949c27cfb07a80f9b9ce0297280d01ca722b8b5b3fe2f517e51d0921b219d3686c96b3f71cd501c3d32e703766596e27a01838484b82f007b9cd61bfe4720002730733ecb51e4f4c299035014a3cf7f62185f05d1deae76d685063d47df6db2d"}, &(0x7f0000001040)={0x0, 0xa, 0x1, 0x80}, &(0x7f0000001080)={0x0, 0x8, 0x1, 0x3f}, &(0x7f00000011c0)={0x20, 0x0, 0x4, {0x2, 0x3}}, &(0x7f0000001200)={0x20, 0x0, 0x8, {0x0, 0x10, [0xf000]}}, &(0x7f0000001240)={0x40, 0x7, 0x2, 0x7}, &(0x7f0000001280)={0x40, 0x9, 0x1, 0x5}, &(0x7f00000012c0)={0x40, 0xb, 0x2, "ed3a"}, &(0x7f0000001300)={0x40, 0xf, 0x2, 0xfb5}, &(0x7f0000001340)={0x40, 0x13, 0x6, @broadcast}, &(0x7f0000001380)={0x40, 0x17, 0x6, @dev={'\xaa\xaa\xaa\xaa\xaa', 0x32}}, &(0x7f00000013c0)={0x40, 0x19, 0x2, "05d9"}, &(0x7f0000001400)={0x40, 0x1a, 0x2, 0x1ff}, &(0x7f0000001440)={0x40, 0x1c, 0x1, 0x40}, &(0x7f0000001480)={0x40, 0x1e, 0x1, 0x5}, &(0x7f00000014c0)={0x40, 0x21, 0x1, 0x3f}}) 22:45:41 executing program 1: r0 = gettid() socketpair$unix(0x1, 0x0, 0x0, 0x0) r1 = getpgrp(r0) getpgrp(r1) r2 = signalfd4(0xffffffffffffffff, &(0x7f0000006000), 0x8, 0x0) r3 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r3, 0x1, r2, &(0x7f00000000c0)) poll(0x0, 0x0, 0x603) r4 = dup2(0xffffffffffffffff, 0xffffffffffffffff) fcntl$getownex(r2, 0x10, &(0x7f0000000000)) tkill(r0, 0x14) ioctl$AUTOFS_DEV_IOCTL_OPENMOUNT(r2, 0xc0189374, &(0x7f0000000240)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32=r3, @ANYRESOCT=r3]) getsockopt$inet_sctp6_SCTP_PEER_AUTH_CHUNKS(r4, 0x84, 0x1a, &(0x7f0000000100)={0x0, 0x8a, "d89cddfd7bfc8172478f8c25cc95fa11ad70192d0a1bcae2067654e28a47f107963171f168d21c7f52cb0d7b9c7f346819e8a663c38a5561498267f0088e2bb74a5a81b4752ba44d53a3b14da2de0fc6bea2574d4fb94e72966bda70a705d6f96a23ba70375dbda30868ab23fc46a07601649176ef75cda02bfcb8894d7db25c71db9c79cfde07b3eba6"}, &(0x7f0000000040)=0x92) openat$procfs(0xffffffffffffff9c, &(0x7f0000000280)='/proc/locks\x00', 0x0, 0x0) r7 = openat$nvram(0xffffffffffffff9c, &(0x7f00000001c0), 0x301080, 0x0) ioctl$AUTOFS_DEV_IOCTL_VERSION(r7, 0xc0189371, &(0x7f0000000200)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32=r2, @ANYBLOB="00000000000000062e2f050000003000"]) setsockopt$inet_sctp6_SCTP_DEFAULT_SNDINFO(r5, 0x84, 0x22, &(0x7f0000000080)={0x0, 0x400, 0x3f, 0x2, r6}, 0x10) gettid() (async) socketpair$unix(0x1, 0x0, 0x0, 0x0) (async) getpgrp(r0) (async) getpgrp(r1) (async) signalfd4(0xffffffffffffffff, &(0x7f0000006000), 0x8, 0x0) (async) epoll_create1(0x0) (async) epoll_ctl$EPOLL_CTL_ADD(r3, 0x1, r2, &(0x7f00000000c0)) (async) poll(0x0, 0x0, 0x603) (async) dup2(0xffffffffffffffff, 0xffffffffffffffff) (async) fcntl$getownex(r2, 0x10, &(0x7f0000000000)) (async) tkill(r0, 0x14) (async) ioctl$AUTOFS_DEV_IOCTL_OPENMOUNT(r2, 0xc0189374, &(0x7f0000000240)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32=r3, @ANYRESOCT=r3]) (async) getsockopt$inet_sctp6_SCTP_PEER_AUTH_CHUNKS(r4, 0x84, 0x1a, &(0x7f0000000100)={0x0, 0x8a, "d89cddfd7bfc8172478f8c25cc95fa11ad70192d0a1bcae2067654e28a47f107963171f168d21c7f52cb0d7b9c7f346819e8a663c38a5561498267f0088e2bb74a5a81b4752ba44d53a3b14da2de0fc6bea2574d4fb94e72966bda70a705d6f96a23ba70375dbda30868ab23fc46a07601649176ef75cda02bfcb8894d7db25c71db9c79cfde07b3eba6"}, &(0x7f0000000040)=0x92) (async) openat$procfs(0xffffffffffffff9c, &(0x7f0000000280)='/proc/locks\x00', 0x0, 0x0) (async) openat$nvram(0xffffffffffffff9c, &(0x7f00000001c0), 0x301080, 0x0) (async) ioctl$AUTOFS_DEV_IOCTL_VERSION(r7, 0xc0189371, &(0x7f0000000200)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32=r2, @ANYBLOB="00000000000000062e2f050000003000"]) (async) setsockopt$inet_sctp6_SCTP_DEFAULT_SNDINFO(r5, 0x84, 0x22, &(0x7f0000000080)={0x0, 0x400, 0x3f, 0x2, r6}, 0x10) (async) 22:45:41 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) pipe2(0x0, 0x4000) r1 = syz_open_dev$sndmidi(&(0x7f0000000040), 0x2, 0x141101) openat$ttyS3(0xffffffffffffff9c, 0x0, 0x0, 0x0) r2 = dup(r1) ioctl$VIDIOC_SUBDEV_QUERY_DV_TIMINGS(r2, 0x80845663, &(0x7f00000002c0)) write$6lowpan_enable(r2, &(0x7f0000000000)='0', 0xfffffd2c) bind$inet6(0xffffffffffffffff, &(0x7f0000d84000)={0xa, 0x2}, 0x1c) setsockopt$inet6_tcp_TCP_ULP(0xffffffffffffffff, 0x6, 0x1f, &(0x7f0000000100), 0x4) openat$cgroup_ro(r2, &(0x7f00000000c0)='blkio.throttle.io_serviced_recursive\x00', 0x0, 0x0) r3 = socket$netlink(0x10, 0x3, 0x0) writev(r3, &(0x7f0000000100)=[{&(0x7f0000000000)="390000001300090468fe0700000000000000ff3f04000000480100100000000004002b000a00010014a4ee1ee438d2fd000000000000007200", 0x39}], 0x1) r4 = socket$nl_generic(0x10, 0x3, 0x10) sendmsg$nl_generic(r4, &(0x7f00000003c0)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000000240)=ANY=[@ANYBLOB="20000000290029080000000000000000040000000c000100000001000000ffff649bed6634e2bd513d3ce68910b4ad76c9f6df3d623625e6de45688f84dd1647a1f559c80598e2b087677a9b84d8cbf4de75364bce4e08134518c0f76ba60817dff06016e11e6579607b5799370acb902368fe"], 0x20}, 0x1, 0xffffff7f0e000000}, 0x0) dup3(r3, r3, 0x0) ioctl$FS_IOC_FIEMAP(r2, 0xc020660b, &(0x7f0000000280)=ANY=[]) epoll_ctl$EPOLL_CTL_DEL(0xffffffffffffffff, 0x2, r1) sendto$inet6(0xffffffffffffffff, &(0x7f0000f6f000), 0xfffffffffffffea7, 0x20000004, &(0x7f0000b63fe4)={0xa, 0x2, 0x983a, @rand_addr, 0x7f00}, 0x1c) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) [ 587.828452][T10922] usb 4-1: new high-speed USB device number 95 using dummy_hcd [ 587.836165][T10920] usb 1-1: new high-speed USB device number 71 using dummy_hcd [ 587.848061][T15085] netlink: 9 bytes leftover after parsing attributes in process `syz-executor.4'. [ 587.863014][T15085] netlink: 'syz-executor.4': attribute type 11 has an invalid length. [ 587.952509][ T3677] usb 3-1: new high-speed USB device number 5 using dummy_hcd [ 588.091483][T10920] usb 1-1: Using ep0 maxpacket: 16 [ 588.096673][T10922] usb 4-1: Using ep0 maxpacket: 16 [ 588.225132][T10922] usb 4-1: config 0 interface 0 altsetting 0 has an invalid endpoint with address 0x0, skipping [ 588.235767][T10920] usb 1-1: config 0 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 0, changing to 7 [ 588.255400][T10922] usb 4-1: New USB device found, idVendor=0499, idProduct=1027, bcdDevice=19.50 [ 588.269121][T10920] usb 1-1: config 0 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 588.331617][T10922] usb 4-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 588.341723][ T3677] usb 3-1: New USB device found, idVendor=06a5, idProduct=d800, bcdDevice=87.12 [ 588.351650][T10920] usb 1-1: New USB device found, idVendor=0499, idProduct=1027, bcdDevice=19.50 [ 588.360797][ T3677] usb 3-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 588.370380][T10920] usb 1-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 588.385717][T10922] usb 4-1: config 0 descriptor?? [ 588.397341][ T3677] usb 3-1: config 0 descriptor?? [ 588.419040][T10920] usb 1-1: config 0 descriptor?? [ 588.435339][T10922] snd-usb-audio: probe of 4-1:0.0 failed with error -2 [ 588.444871][ T3677] gspca_main: nw80x-2.14.0 probing 06a5:d800 [ 588.497699][T10920] snd-usb-audio: probe of 1-1:0.0 failed with error -12 22:45:42 executing program 5: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000dcaaf0109904271050190000000109022c0001000000000904000001e30824000836060100000000092402020000000000090581db"], 0x0) 22:45:42 executing program 2: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x21, 0x5d, 0x4b, 0x40, 0x6a5, 0xd800, 0x8712, 0x0, 0x0, 0x0, 0x1, [{{0x9, 0x2, 0x12, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0xf9, 0x30, 0x5d}}]}}]}}, 0x0) syz_usb_control_io$printer(r0, 0x0, 0x0) syz_usb_control_io$printer(r0, 0x0, &(0x7f00000007c0)={0x34, &(0x7f0000000080)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000004c0)={0x2c, &(0x7f0000000040)=ANY=[], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$cdc_ecm(r0, 0x0, &(0x7f00000010c0)={0x1c, &(0x7f0000000040)=ANY=[], 0x0, 0x0}) r1 = syz_usb_connect$cdc_ecm(0x5, 0x70, &(0x7f0000000040)={{0x12, 0x1, 0x110, 0x2, 0x0, 0x0, 0x40, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x5e, 0x1, 0x1, 0xf8, 0xa0, 0x5, [{{0x9, 0x4, 0x0, 0xff, 0x3, 0x2, 0x6, 0x0, 0x2, {{0x7, 0x24, 0x6, 0x0, 0x0, "11ab"}, {0x5, 0x24, 0x0, 0x1}, {0xd, 0x24, 0xf, 0x1, 0x994e, 0x7, 0x401, 0x9}, [@obex={0x5, 0x24, 0x15, 0x108}, @obex={0x5, 0x24, 0x15, 0x6}, @country_functional={0xe, 0x24, 0x7, 0x81, 0x101, [0x6, 0x5, 0x0, 0x4]}]}, {[{{0x9, 0x5, 0x81, 0x3, 0x400, 0x8, 0x40, 0x81}}], {{0x9, 0x5, 0x82, 0x2, 0x400, 0x0, 0x4, 0x3f}}, {{0x9, 0x5, 0x3, 0x2, 0x470, 0x9, 0x2, 0x7f}}}}}]}}]}}, &(0x7f00000006c0)={0xa, &(0x7f00000000c0)={0xa, 0x6, 0x250, 0x9, 0x9, 0x0, 0x20, 0x3}, 0x106, &(0x7f0000000100)={0x5, 0xf, 0x106, 0x1, [@generic={0x101, 0x10, 0x2, "981141d5b0769dc901b91c4cbc09d30984671beb26b026f145b55756f7f252d6765c2bf54e1f4c3a79640b46479e4e446f94263c87a18b2a7319fac49e8756da4f6b2a42bf85d70d627ff116c99526bcf236e89c8a3d91d13a458d6c84eb403232460c74d7d07e08658ef6370ecf36975cc676b10db785962fd07b80e02c1a9be79835928ccc0ed2516778ffa9600504e66b62f693de8bfa104db1f4d560790bf1504b340a77e727e5798568fc936c83ec91b8f87e082a6e8e4422bd45c70907bfdf945c0eee93b9a06bc2462e9b234ac5cf543018e84c23ae2d0d06b02ef3bde0493ab8d6397617161c0e25c42695de77494e28f8efd446f9e5ead900f8"}]}, 0x8, [{0xfc, &(0x7f0000000240)=@string={0xfc, 0x3, "3c9ea7f41d4b1dd1b54b62f947f13a34c14a70dae380312adc33b9388485f933f35bcb817773b4fd5b1ab6ab50ffd1d6be9b0986b278a75d1bd3ab7ca573c7792e5e18f4ac93770b889410a9d0f6ef3f32b2b573298a7b589ea2c23c75f68a8dc934cc426f5d32d735e8d11f5a13cd5db4628de84ac402e3b73198ef18a32cdb1569f8b7e2046e38d954c061a21bd74bb484856618eb592e42057fdda2a1067c0ef029ebbe8e7f64fecd880bfb62546181218efef1edb42a419ac2b055363cd36ecddc65f051463fa6255e8460ecf66cd775d5aa1a65007da1b8ab1046e194d5a3e7d7d3cd8caf76322110b33e8a1409bd171cdab8fdd9b5429d"}}, {0x4, &(0x7f0000000340)=@lang_id={0x4, 0x3, 0x445}}, {0x4, &(0x7f0000000380)=@lang_id={0x4, 0x3, 0x410}}, {0x9e, &(0x7f00000003c0)=@string={0x9e, 0x3, "e8df8aca9b89bcd97e01777bae351b8199420f1fe5f3c1f4c0a51cf7517f8c6443ff7b6daf8acb6201b57fb5bc9962cd43a515d4c4789ba508a0397044dfd6fca1884f20fc5cc06a02ec01aa45a5570aae4bba0841e237c4f0a94f7e74e3a6c815a876cbc0dc1175b47a5abe8824b589cf283ba796b63ec2acd3aec761aed0492b557fdd5eadca6863b7f5222afcfe32d661ab9ab10e461a0a3a5b92"}}, {0x5d, &(0x7f0000000500)=@string={0x5d, 0x3, "f8d72c3ccfd989a3074b82fa25031bebe8e90dbb3e416ec938529f97637fe3eb3910d0590c1badeee125527394c1ccc61fd340f96bf92288f8a483a8fb82deff2702beb0f7b2448b698173d1e41b3ea44c929a1ba58fd6481335ff"}}, {0x4, &(0x7f0000000480)=@lang_id={0x4, 0x3, 0x455}}, {0xcb, &(0x7f0000000580)=@string={0xcb, 0x3, "56b72c563eb40bf82e3b46cdceb0e991897a1d18e76c95301c19f861b0346659e4b448f10da8f240a57f8d921571f2394ef8c483383b2c97cbd9a787916a3bf44c0dc6c39df7747f6929caaffc9ab84f39b99bfd09a9e11ef563770c56104401fa7b16f90e618433ffa60d83fbcee0fa51ba1005d17ffcd2313c2210a386d38ca6678dfd5eb55a6040090beb1cd6d224502627f8c77caba1b6a10786c7e70a7c90a14e78ccc0ad851d45f07c12ba13a1325a6753d7d38ef15758da51d4b90967ce0c9f222cc53ae95b"}}, {0x4, &(0x7f0000000680)=@lang_id={0x4, 0x3, 0x3801}}]}) syz_usb_disconnect(r1) [ 588.651794][T10922] usb 4-1: USB disconnect, device number 95 [ 588.671622][ T3677] gspca_nw80x: reg_w err -71 [ 588.676245][ T3677] nw80x: probe of 3-1:0.0 failed with error -71 [ 588.696688][T10813] usb 1-1: USB disconnect, device number 71 [ 588.718735][ T3677] usb 3-1: USB disconnect, device number 5 [ 588.881536][T10920] usb 6-1: new high-speed USB device number 70 using dummy_hcd 22:45:42 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) pipe2(0x0, 0x4000) r1 = syz_open_dev$sndmidi(&(0x7f0000000040), 0x2, 0x141101) openat$ttyS3(0xffffffffffffff9c, 0x0, 0x0, 0x0) r2 = dup(r1) ioctl$VIDIOC_SUBDEV_QUERY_DV_TIMINGS(r2, 0x80845663, &(0x7f00000002c0)) write$6lowpan_enable(r2, &(0x7f0000000000)='0', 0xfffffd2c) bind$inet6(0xffffffffffffffff, &(0x7f0000d84000)={0xa, 0x2}, 0x1c) setsockopt$inet6_tcp_TCP_ULP(0xffffffffffffffff, 0x6, 0x1f, &(0x7f0000000100), 0x4) openat$cgroup_ro(r2, &(0x7f00000000c0)='blkio.throttle.io_serviced_recursive\x00', 0x0, 0x0) r3 = socket$netlink(0x10, 0x3, 0x0) writev(r3, &(0x7f0000000100)=[{&(0x7f0000000000)="390000001300090468fe0700000000000000ff3f04000000480100100000000004002b000a00010014a4ee1ee438d2fd000000000000007200", 0x39}], 0x1) r4 = socket$nl_generic(0x10, 0x3, 0x10) sendmsg$nl_generic(r4, &(0x7f00000003c0)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000000240)=ANY=[@ANYBLOB="20000000290029080000000000000000040000000c000100000001000000ffff649bed6634e2bd513d3ce68910b4ad76c9f6df3d623625e6de45688f84dd1647a1f559c80598e2b087677a9b84d8cbf4de75364bce4e08134518c0f76ba60817dff06016e11e6579607b5799370acb902368fe"], 0x20}, 0x1, 0xffffff7f0e000000}, 0x0) dup3(r3, r3, 0x0) ioctl$FS_IOC_FIEMAP(r2, 0xc020660b, &(0x7f0000000280)=ANY=[]) epoll_ctl$EPOLL_CTL_DEL(0xffffffffffffffff, 0x2, r1) sendto$inet6(0xffffffffffffffff, &(0x7f0000f6f000), 0xfffffffffffffea7, 0x20000004, &(0x7f0000b63fe4)={0xa, 0x2, 0x983a, @rand_addr, 0x7f00}, 0x1c) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) (async) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) (async) pipe2(0x0, 0x4000) (async) syz_open_dev$sndmidi(&(0x7f0000000040), 0x2, 0x141101) (async) openat$ttyS3(0xffffffffffffff9c, 0x0, 0x0, 0x0) (async) dup(r1) (async) ioctl$VIDIOC_SUBDEV_QUERY_DV_TIMINGS(r2, 0x80845663, &(0x7f00000002c0)) (async) write$6lowpan_enable(r2, &(0x7f0000000000)='0', 0xfffffd2c) (async) bind$inet6(0xffffffffffffffff, &(0x7f0000d84000)={0xa, 0x2}, 0x1c) (async) setsockopt$inet6_tcp_TCP_ULP(0xffffffffffffffff, 0x6, 0x1f, &(0x7f0000000100), 0x4) (async) openat$cgroup_ro(r2, &(0x7f00000000c0)='blkio.throttle.io_serviced_recursive\x00', 0x0, 0x0) (async) socket$netlink(0x10, 0x3, 0x0) (async) writev(r3, &(0x7f0000000100)=[{&(0x7f0000000000)="390000001300090468fe0700000000000000ff3f04000000480100100000000004002b000a00010014a4ee1ee438d2fd000000000000007200", 0x39}], 0x1) (async) socket$nl_generic(0x10, 0x3, 0x10) (async) sendmsg$nl_generic(r4, &(0x7f00000003c0)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000000240)=ANY=[@ANYBLOB="20000000290029080000000000000000040000000c000100000001000000ffff649bed6634e2bd513d3ce68910b4ad76c9f6df3d623625e6de45688f84dd1647a1f559c80598e2b087677a9b84d8cbf4de75364bce4e08134518c0f76ba60817dff06016e11e6579607b5799370acb902368fe"], 0x20}, 0x1, 0xffffff7f0e000000}, 0x0) (async) dup3(r3, r3, 0x0) (async) ioctl$FS_IOC_FIEMAP(r2, 0xc020660b, &(0x7f0000000280)=ANY=[]) (async) epoll_ctl$EPOLL_CTL_DEL(0xffffffffffffffff, 0x2, r1) (async) sendto$inet6(0xffffffffffffffff, &(0x7f0000f6f000), 0xfffffffffffffea7, 0x20000004, &(0x7f0000b63fe4)={0xa, 0x2, 0x983a, @rand_addr, 0x7f00}, 0x1c) (async) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async) [ 589.111526][ T3677] usb 3-1: new high-speed USB device number 6 using dummy_hcd [ 589.121648][T10920] usb 6-1: Using ep0 maxpacket: 16 22:45:42 executing program 3: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000dcaaf0109904271050190000000109022c0001000000000904000001e308240008360601000000000924020200000000000905"], 0x0) 22:45:42 executing program 0: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) pipe2(0x0, 0x4000) r1 = syz_open_dev$sndmidi(&(0x7f0000000040), 0x2, 0x141101) openat$ttyS3(0xffffffffffffff9c, 0x0, 0x0, 0x0) r2 = dup(r1) ioctl$VIDIOC_SUBDEV_QUERY_DV_TIMINGS(r2, 0x80845663, &(0x7f00000002c0)) write$6lowpan_enable(r2, &(0x7f0000000000)='0', 0xfffffd2c) bind$inet6(0xffffffffffffffff, &(0x7f0000d84000)={0xa, 0x2}, 0x1c) setsockopt$inet6_tcp_TCP_ULP(0xffffffffffffffff, 0x6, 0x1f, &(0x7f0000000100), 0x4) openat$cgroup_ro(r2, &(0x7f00000000c0)='blkio.throttle.io_serviced_recursive\x00', 0x0, 0x0) r3 = socket$netlink(0x10, 0x3, 0x0) writev(r3, &(0x7f0000000100)=[{&(0x7f0000000000)="390000001300090468fe0700000000000000ff3f04000000480100100000000004002b000a00010014a4ee1ee438d2fd000000000000007200", 0x39}], 0x1) r4 = socket$nl_generic(0x10, 0x3, 0x10) sendmsg$nl_generic(r4, &(0x7f00000003c0)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000000240)=ANY=[@ANYBLOB="20000000290029080000000000000000040000000c000100000001000000ffff649bed6634e2bd513d3ce68910b4ad76c9f6df3d623625e6de45688f84dd1647a1f559c80598e2b087677a9b84d8cbf4de75364bce4e08134518c0f76ba60817dff06016e11e6579607b5799370acb902368fe"], 0x20}, 0x1, 0xffffff7f0e000000}, 0x0) dup3(r3, r3, 0x0) ioctl$FS_IOC_FIEMAP(r2, 0xc020660b, &(0x7f0000000280)=ANY=[]) epoll_ctl$EPOLL_CTL_DEL(0xffffffffffffffff, 0x2, r1) sendto$inet6(0xffffffffffffffff, &(0x7f0000f6f000), 0xfffffffffffffea7, 0x20000004, &(0x7f0000b63fe4)={0xa, 0x2, 0x983a, @rand_addr, 0x7f00}, 0x1c) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) [ 589.241676][T10920] usb 6-1: config 0 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 0, changing to 7 [ 589.262814][T10920] usb 6-1: config 0 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 589.277922][T10920] usb 6-1: New USB device found, idVendor=0499, idProduct=1027, bcdDevice=19.50 [ 589.297170][T15106] netlink: 9 bytes leftover after parsing attributes in process `syz-executor.4'. [ 589.308566][T15106] netlink: 'syz-executor.4': attribute type 11 has an invalid length. [ 589.371970][T10920] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 589.412943][T10920] usb 6-1: config 0 descriptor?? [ 589.436144][T15110] netlink: 9 bytes leftover after parsing attributes in process `syz-executor.0'. [ 589.457902][T10920] snd-usb-audio: probe of 6-1:0.0 failed with error -12 [ 589.471536][ T3677] usb 3-1: New USB device found, idVendor=06a5, idProduct=d800, bcdDevice=87.12 [ 589.496661][ T3677] usb 3-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 589.507166][T15110] device gretap0 entered promiscuous mode [ 589.538301][ T3677] usb 3-1: config 0 descriptor?? [ 589.552665][T10921] usb 4-1: new high-speed USB device number 96 using dummy_hcd [ 589.586817][ T3677] gspca_main: nw80x-2.14.0 probing 06a5:d800 [ 589.697800][T10920] usb 6-1: USB disconnect, device number 70 [ 589.802855][T10921] usb 4-1: Using ep0 maxpacket: 16 [ 589.921644][T10921] usb 4-1: config 0 interface 0 altsetting 0 has an invalid endpoint with address 0x0, skipping [ 589.940379][T10921] usb 4-1: New USB device found, idVendor=0499, idProduct=1027, bcdDevice=19.50 [ 589.950508][T10921] usb 4-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 589.977008][T10921] usb 4-1: config 0 descriptor?? [ 590.030314][T10921] snd-usb-audio: probe of 4-1:0.0 failed with error -2 22:45:43 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) pipe2(0x0, 0x4000) (async) r1 = syz_open_dev$sndmidi(&(0x7f0000000040), 0x2, 0x141101) openat$ttyS3(0xffffffffffffff9c, 0x0, 0x0, 0x0) (async) r2 = dup(r1) ioctl$VIDIOC_SUBDEV_QUERY_DV_TIMINGS(r2, 0x80845663, &(0x7f00000002c0)) write$6lowpan_enable(r2, &(0x7f0000000000)='0', 0xfffffd2c) bind$inet6(0xffffffffffffffff, &(0x7f0000d84000)={0xa, 0x2}, 0x1c) (async) setsockopt$inet6_tcp_TCP_ULP(0xffffffffffffffff, 0x6, 0x1f, &(0x7f0000000100), 0x4) openat$cgroup_ro(r2, &(0x7f00000000c0)='blkio.throttle.io_serviced_recursive\x00', 0x0, 0x0) r3 = socket$netlink(0x10, 0x3, 0x0) writev(r3, &(0x7f0000000100)=[{&(0x7f0000000000)="390000001300090468fe0700000000000000ff3f04000000480100100000000004002b000a00010014a4ee1ee438d2fd000000000000007200", 0x39}], 0x1) (async) r4 = socket$nl_generic(0x10, 0x3, 0x10) sendmsg$nl_generic(r4, &(0x7f00000003c0)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000000240)=ANY=[@ANYBLOB="20000000290029080000000000000000040000000c000100000001000000ffff649bed6634e2bd513d3ce68910b4ad76c9f6df3d623625e6de45688f84dd1647a1f559c80598e2b087677a9b84d8cbf4de75364bce4e08134518c0f76ba60817dff06016e11e6579607b5799370acb902368fe"], 0x20}, 0x1, 0xffffff7f0e000000}, 0x0) dup3(r3, r3, 0x0) ioctl$FS_IOC_FIEMAP(r2, 0xc020660b, &(0x7f0000000280)=ANY=[]) (async) epoll_ctl$EPOLL_CTL_DEL(0xffffffffffffffff, 0x2, r1) sendto$inet6(0xffffffffffffffff, &(0x7f0000f6f000), 0xfffffffffffffea7, 0x20000004, &(0x7f0000b63fe4)={0xa, 0x2, 0x983a, @rand_addr, 0x7f00}, 0x1c) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) 22:45:43 executing program 5: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000dcaaf0109904271050190000000109022c0001000000000904000001e30824000836060100000000092402020000000000090581db"], 0x0) [ 590.237252][T10920] usb 4-1: USB disconnect, device number 96 [ 590.359542][T15118] netlink: 9 bytes leftover after parsing attributes in process `syz-executor.4'. [ 590.372042][T15119] netlink: 'syz-executor.4': attribute type 11 has an invalid length. 22:45:43 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) pipe2(&(0x7f0000000000)={0xffffffffffffffff}, 0x800) ioctl$SNDCTL_DSP_SETFMT(r1, 0xc0045005, &(0x7f0000000040)) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) ioctl$AUTOFS_DEV_IOCTL_ASKUMOUNT(r1, 0xc018937d, &(0x7f0000000180)=ANY=[@ANYBLOB="01ff03ff000015e167772a0063b9390587771000d50000001a6ac0cbd63b6dc5bd6c813789619365fc14519fa9d342f7999f33f2587e3aeed0ffab62f40d816843165dad0286347e5ae266fe373a5a56b8039469466e9efe8e22fc7f1b9238084194c53eae7b365befdaf592a105b416ce406600e9d0c8a17b732a7851598da14d61ad7ec761345b6b1b5f17e6c7c0", @ANYRES32=r0, @ANYBLOB='\a\x00\x00\x00\x00\x00\x00\x00./file0\x00']) ioctl$SNDCTL_DSP_SETFMT(r2, 0xc0045005, &(0x7f00000000c0)=0x791e) write$dsp(r0, &(0x7f0000000140)="05", 0x1) 22:45:44 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) pipe2(&(0x7f0000000000)={0xffffffffffffffff}, 0x800) ioctl$SNDCTL_DSP_SETFMT(r1, 0xc0045005, &(0x7f0000000040)) (async) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) (async) ioctl$AUTOFS_DEV_IOCTL_ASKUMOUNT(r1, 0xc018937d, &(0x7f0000000180)=ANY=[@ANYBLOB="01ff03ff000015e167772a0063b9390587771000d50000001a6ac0cbd63b6dc5bd6c813789619365fc14519fa9d342f7999f33f2587e3aeed0ffab62f40d816843165dad0286347e5ae266fe373a5a56b8039469466e9efe8e22fc7f1b9238084194c53eae7b365befdaf592a105b416ce406600e9d0c8a17b732a7851598da14d61ad7ec761345b6b1b5f17e6c7c0", @ANYRES32=r0, @ANYBLOB='\a\x00\x00\x00\x00\x00\x00\x00./file0\x00']) (async) ioctl$SNDCTL_DSP_SETFMT(r2, 0xc0045005, &(0x7f00000000c0)=0x791e) write$dsp(r0, &(0x7f0000000140)="05", 0x1) 22:45:44 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) (async) pipe2(&(0x7f0000000000)={0xffffffffffffffff}, 0x800) ioctl$SNDCTL_DSP_SETFMT(r1, 0xc0045005, &(0x7f0000000040)) (async) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) (async) ioctl$AUTOFS_DEV_IOCTL_ASKUMOUNT(r1, 0xc018937d, &(0x7f0000000180)=ANY=[@ANYBLOB="01ff03ff000015e167772a0063b9390587771000d50000001a6ac0cbd63b6dc5bd6c813789619365fc14519fa9d342f7999f33f2587e3aeed0ffab62f40d816843165dad0286347e5ae266fe373a5a56b8039469466e9efe8e22fc7f1b9238084194c53eae7b365befdaf592a105b416ce406600e9d0c8a17b732a7851598da14d61ad7ec761345b6b1b5f17e6c7c0", @ANYRES32=r0, @ANYBLOB='\a\x00\x00\x00\x00\x00\x00\x00./file0\x00']) ioctl$SNDCTL_DSP_SETFMT(r2, 0xc0045005, &(0x7f00000000c0)=0x791e) write$dsp(r0, &(0x7f0000000140)="05", 0x1) [ 590.541656][T10921] usb 6-1: new high-speed USB device number 71 using dummy_hcd 22:45:44 executing program 1: r0 = gettid() socketpair$unix(0x1, 0x0, 0x0, 0x0) r1 = signalfd4(0xffffffffffffffff, &(0x7f0000006000), 0x8, 0x0) ptrace$PTRACE_SECCOMP_GET_FILTER(0x420c, r0, 0x3, &(0x7f0000000000)=""/143) r2 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r2, 0x1, r1, &(0x7f00000000c0)) poll(0x0, 0x0, 0x603) dup2(0xffffffffffffffff, 0xffffffffffffffff) tkill(r0, 0x14) 22:45:44 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) mmap$dsp(&(0x7f0000ffe000/0x1000)=nil, 0x1000, 0xb, 0x12, r0, 0x0) write$dsp(r0, &(0x7f0000000140)="05", 0x1) 22:45:44 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) mmap$dsp(&(0x7f0000ffe000/0x1000)=nil, 0x1000, 0xb, 0x12, r0, 0x0) write$dsp(r0, &(0x7f0000000140)="05", 0x1) openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) (async) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) (async) mmap$dsp(&(0x7f0000ffe000/0x1000)=nil, 0x1000, 0xb, 0x12, r0, 0x0) (async) write$dsp(r0, &(0x7f0000000140)="05", 0x1) (async) [ 590.784691][T10921] usb 6-1: Using ep0 maxpacket: 16 [ 590.883193][T15101] UDC core: couldn't find an available UDC or it's busy: -16 [ 590.890862][T15101] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 590.901736][T10921] usb 6-1: config 0 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 0, changing to 7 [ 590.912678][T10921] usb 6-1: config 0 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 590.922533][T10921] usb 6-1: New USB device found, idVendor=0499, idProduct=1027, bcdDevice=19.50 [ 590.932014][T10921] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 590.941845][T10921] usb 6-1: config 0 descriptor?? [ 590.985052][T10921] snd-usb-audio: probe of 6-1:0.0 failed with error -12 [ 591.101780][ T3677] gspca_nw80x: reg_w err -71 [ 591.106410][ T3677] nw80x: probe of 3-1:0.0 failed with error -71 [ 591.114959][ T3677] usb 3-1: USB disconnect, device number 6 [ 591.202620][T12053] usb 6-1: USB disconnect, device number 71 22:45:45 executing program 2: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x21, 0x5d, 0x4b, 0x40, 0x6a5, 0xd800, 0x8712, 0x0, 0x0, 0x0, 0x1, [{{0x9, 0x2, 0x12, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0xf9, 0x30, 0x5d}}]}}]}}, 0x0) syz_usb_control_io$printer(r0, 0x0, 0x0) (async, rerun: 64) syz_usb_control_io$printer(r0, 0x0, &(0x7f00000007c0)={0x34, &(0x7f0000000080)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0}) (rerun: 64) syz_usb_control_io$hid(r0, 0x0, 0x0) (async) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000004c0)={0x2c, &(0x7f0000000040)=ANY=[], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$cdc_ecm(r0, 0x0, &(0x7f00000010c0)={0x1c, &(0x7f0000000040)=ANY=[], 0x0, 0x0}) (async) r1 = syz_usb_connect$cdc_ecm(0x5, 0x70, &(0x7f0000000040)={{0x12, 0x1, 0x110, 0x2, 0x0, 0x0, 0x40, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x5e, 0x1, 0x1, 0xf8, 0xa0, 0x5, [{{0x9, 0x4, 0x0, 0xff, 0x3, 0x2, 0x6, 0x0, 0x2, {{0x7, 0x24, 0x6, 0x0, 0x0, "11ab"}, {0x5, 0x24, 0x0, 0x1}, {0xd, 0x24, 0xf, 0x1, 0x994e, 0x7, 0x401, 0x9}, [@obex={0x5, 0x24, 0x15, 0x108}, @obex={0x5, 0x24, 0x15, 0x6}, @country_functional={0xe, 0x24, 0x7, 0x81, 0x101, [0x6, 0x5, 0x0, 0x4]}]}, {[{{0x9, 0x5, 0x81, 0x3, 0x400, 0x8, 0x40, 0x81}}], {{0x9, 0x5, 0x82, 0x2, 0x400, 0x0, 0x4, 0x3f}}, {{0x9, 0x5, 0x3, 0x2, 0x470, 0x9, 0x2, 0x7f}}}}}]}}]}}, &(0x7f00000006c0)={0xa, &(0x7f00000000c0)={0xa, 0x6, 0x250, 0x9, 0x9, 0x0, 0x20, 0x3}, 0x106, &(0x7f0000000100)={0x5, 0xf, 0x106, 0x1, [@generic={0x101, 0x10, 0x2, "981141d5b0769dc901b91c4cbc09d30984671beb26b026f145b55756f7f252d6765c2bf54e1f4c3a79640b46479e4e446f94263c87a18b2a7319fac49e8756da4f6b2a42bf85d70d627ff116c99526bcf236e89c8a3d91d13a458d6c84eb403232460c74d7d07e08658ef6370ecf36975cc676b10db785962fd07b80e02c1a9be79835928ccc0ed2516778ffa9600504e66b62f693de8bfa104db1f4d560790bf1504b340a77e727e5798568fc936c83ec91b8f87e082a6e8e4422bd45c70907bfdf945c0eee93b9a06bc2462e9b234ac5cf543018e84c23ae2d0d06b02ef3bde0493ab8d6397617161c0e25c42695de77494e28f8efd446f9e5ead900f8"}]}, 0x8, [{0xfc, &(0x7f0000000240)=@string={0xfc, 0x3, "3c9ea7f41d4b1dd1b54b62f947f13a34c14a70dae380312adc33b9388485f933f35bcb817773b4fd5b1ab6ab50ffd1d6be9b0986b278a75d1bd3ab7ca573c7792e5e18f4ac93770b889410a9d0f6ef3f32b2b573298a7b589ea2c23c75f68a8dc934cc426f5d32d735e8d11f5a13cd5db4628de84ac402e3b73198ef18a32cdb1569f8b7e2046e38d954c061a21bd74bb484856618eb592e42057fdda2a1067c0ef029ebbe8e7f64fecd880bfb62546181218efef1edb42a419ac2b055363cd36ecddc65f051463fa6255e8460ecf66cd775d5aa1a65007da1b8ab1046e194d5a3e7d7d3cd8caf76322110b33e8a1409bd171cdab8fdd9b5429d"}}, {0x4, &(0x7f0000000340)=@lang_id={0x4, 0x3, 0x445}}, {0x4, &(0x7f0000000380)=@lang_id={0x4, 0x3, 0x410}}, {0x9e, &(0x7f00000003c0)=@string={0x9e, 0x3, "e8df8aca9b89bcd97e01777bae351b8199420f1fe5f3c1f4c0a51cf7517f8c6443ff7b6daf8acb6201b57fb5bc9962cd43a515d4c4789ba508a0397044dfd6fca1884f20fc5cc06a02ec01aa45a5570aae4bba0841e237c4f0a94f7e74e3a6c815a876cbc0dc1175b47a5abe8824b589cf283ba796b63ec2acd3aec761aed0492b557fdd5eadca6863b7f5222afcfe32d661ab9ab10e461a0a3a5b92"}}, {0x5d, &(0x7f0000000500)=@string={0x5d, 0x3, "f8d72c3ccfd989a3074b82fa25031bebe8e90dbb3e416ec938529f97637fe3eb3910d0590c1badeee125527394c1ccc61fd340f96bf92288f8a483a8fb82deff2702beb0f7b2448b698173d1e41b3ea44c929a1ba58fd6481335ff"}}, {0x4, &(0x7f0000000480)=@lang_id={0x4, 0x3, 0x455}}, {0xcb, &(0x7f0000000580)=@string={0xcb, 0x3, "56b72c563eb40bf82e3b46cdceb0e991897a1d18e76c95301c19f861b0346659e4b448f10da8f240a57f8d921571f2394ef8c483383b2c97cbd9a787916a3bf44c0dc6c39df7747f6929caaffc9ab84f39b99bfd09a9e11ef563770c56104401fa7b16f90e618433ffa60d83fbcee0fa51ba1005d17ffcd2313c2210a386d38ca6678dfd5eb55a6040090beb1cd6d224502627f8c77caba1b6a10786c7e70a7c90a14e78ccc0ad851d45f07c12ba13a1325a6753d7d38ef15758da51d4b90967ce0c9f222cc53ae95b"}}, {0x4, &(0x7f0000000680)=@lang_id={0x4, 0x3, 0x3801}}]}) syz_usb_disconnect(r1) 22:45:45 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) mmap$dsp(&(0x7f0000ffe000/0x1000)=nil, 0x1000, 0xb, 0x12, r0, 0x0) write$dsp(r0, &(0x7f0000000140)="05", 0x1) openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) (async) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) (async) mmap$dsp(&(0x7f0000ffe000/0x1000)=nil, 0x1000, 0xb, 0x12, r0, 0x0) (async) write$dsp(r0, &(0x7f0000000140)="05", 0x1) (async) 22:45:45 executing program 3: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000dcaaf0109904271050190000000109022c0001000000000904000001e308240008360601000000000924020200000000000905"], 0x0) 22:45:45 executing program 0: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) prctl$PR_SCHED_CORE(0x3e, 0x1, 0x0, 0x2, 0x0) pipe2(0x0, 0x4000) r1 = syz_open_dev$sndmidi(&(0x7f0000000040), 0x2, 0x141101) openat$ttyS3(0xffffffffffffff9c, 0x0, 0x0, 0x0) r2 = dup(r1) ioctl$VIDIOC_SUBDEV_QUERY_DV_TIMINGS(r2, 0x80845663, &(0x7f00000002c0)) write$6lowpan_enable(r2, &(0x7f0000000000)='0', 0xfffffd2c) bind$inet6(0xffffffffffffffff, &(0x7f0000d84000)={0xa, 0x2}, 0x1c) setsockopt$inet6_tcp_TCP_ULP(0xffffffffffffffff, 0x6, 0x1f, &(0x7f0000000100), 0x4) openat$cgroup_ro(r2, &(0x7f00000000c0)='blkio.throttle.io_serviced_recursive\x00', 0x0, 0x0) r3 = socket$netlink(0x10, 0x3, 0x0) writev(r3, &(0x7f0000000100)=[{&(0x7f0000000000)="390000001300090468fe0700000000000000ff3f04000000480100100000000004002b000a00010014a4ee1ee438d2fd000000000000007200", 0x39}], 0x1) r4 = socket$nl_generic(0x10, 0x3, 0x10) sendmsg$nl_generic(r4, &(0x7f00000003c0)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000000240)=ANY=[@ANYBLOB="20000000290029080000000000000000040000000c000100000001000000ffff649bed6634e2bd513d3ce68910b4ad76c9f6df3d623625e6de45688f84dd1647a1f559c80598e2b087677a9b84d8cbf4de75364bce4e08134518c0f76ba60817dff06016e11e6579607b5799370acb902368fe"], 0x20}, 0x1, 0xffffff7f0e000000}, 0x0) dup3(r3, r3, 0x0) ioctl$FS_IOC_FIEMAP(r2, 0xc020660b, &(0x7f0000000280)=ANY=[]) epoll_ctl$EPOLL_CTL_DEL(0xffffffffffffffff, 0x2, r1) sendto$inet6(0xffffffffffffffff, &(0x7f0000f6f000), 0xfffffffffffffea7, 0x20000004, &(0x7f0000b63fe4)={0xa, 0x2, 0x983a, @rand_addr, 0x7f00}, 0x1c) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) 22:45:45 executing program 5: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000dcaaf0109904271050190000000109022c0001000000000904000001e30824000836060100000000092402020000000000090581db"], 0x0) 22:45:45 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) read$dsp(r0, &(0x7f0000000000)=""/98, 0x62) ioctl$BTRFS_IOC_SPACE_INFO(r0, 0xc0109414, &(0x7f000000f180)=ANY=[@ANYBLOB="d4090000000000003f000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001394b6f5a9acf4d46c0e23b7000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e3d4bdb978a5c0872fdb0be94ab072fdb672ba3e1f01a20376d5"]) r1 = syz_open_dev$cec(&(0x7f0000000080), 0x0, 0x2) r2 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r2, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r2, 0x0) ioctl$SOUND_PCM_READ_BITS(r2, 0x80045005, &(0x7f00000000c0)) ftruncate(r1, 0xfece) r3 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000180), 0x501000, 0x0) ioctl$SNDCTL_DSP_STEREO(r3, 0xc0045003, &(0x7f00000001c0)) write$dsp(r0, &(0x7f0000000140)="05", 0x1) [ 591.702972][T15155] netlink: 9 bytes leftover after parsing attributes in process `syz-executor.0'. [ 591.757056][T15155] netlink: 'syz-executor.0': attribute type 11 has an invalid length. [ 591.901629][T12053] usb 4-1: new high-speed USB device number 97 using dummy_hcd [ 591.941600][T10920] usb 3-1: new high-speed USB device number 7 using dummy_hcd 22:45:45 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) read$dsp(r0, &(0x7f0000000000)=""/98, 0x62) (async) ioctl$BTRFS_IOC_SPACE_INFO(r0, 0xc0109414, &(0x7f000000f180)=ANY=[@ANYBLOB="d4090000000000003f000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001394b6f5a9acf4d46c0e23b7000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e3d4bdb978a5c0872fdb0be94ab072fdb672ba3e1f01a20376d5"]) r1 = syz_open_dev$cec(&(0x7f0000000080), 0x0, 0x2) (async) r2 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r2, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r2, 0x0) (async) ioctl$SOUND_PCM_READ_BITS(r2, 0x80045005, &(0x7f00000000c0)) (async) ftruncate(r1, 0xfece) (async) r3 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000180), 0x501000, 0x0) ioctl$SNDCTL_DSP_STEREO(r3, 0xc0045003, &(0x7f00000001c0)) write$dsp(r0, &(0x7f0000000140)="05", 0x1) 22:45:45 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) read$dsp(r0, &(0x7f0000000000)=""/98, 0x62) ioctl$BTRFS_IOC_SPACE_INFO(r0, 0xc0109414, &(0x7f000000f180)=ANY=[@ANYBLOB="d4090000000000003f000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001394b6f5a9acf4d46c0e23b7000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e3d4bdb978a5c0872fdb0be94ab072fdb672ba3e1f01a20376d5"]) r1 = syz_open_dev$cec(&(0x7f0000000080), 0x0, 0x2) r2 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r2, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r2, 0x0) ioctl$SOUND_PCM_READ_BITS(r2, 0x80045005, &(0x7f00000000c0)) ftruncate(r1, 0xfece) r3 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000180), 0x501000, 0x0) ioctl$SNDCTL_DSP_STEREO(r3, 0xc0045003, &(0x7f00000001c0)) write$dsp(r0, &(0x7f0000000140)="05", 0x1) openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) (async) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) (async) read$dsp(r0, &(0x7f0000000000)=""/98, 0x62) (async) ioctl$BTRFS_IOC_SPACE_INFO(r0, 0xc0109414, &(0x7f000000f180)=ANY=[@ANYBLOB="d4090000000000003f000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001394b6f5a9acf4d46c0e23b7000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e3d4bdb978a5c0872fdb0be94ab072fdb672ba3e1f01a20376d5"]) (async) syz_open_dev$cec(&(0x7f0000000080), 0x0, 0x2) (async) openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) (async) write$binfmt_script(r2, &(0x7f0000000040)=ANY=[], 0xfea7) (async) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r2, 0x0) (async) ioctl$SOUND_PCM_READ_BITS(r2, 0x80045005, &(0x7f00000000c0)) (async) ftruncate(r1, 0xfece) (async) openat$vsock(0xffffffffffffff9c, &(0x7f0000000180), 0x501000, 0x0) (async) ioctl$SNDCTL_DSP_STEREO(r3, 0xc0045003, &(0x7f00000001c0)) (async) write$dsp(r0, &(0x7f0000000140)="05", 0x1) (async) [ 592.101776][T10813] usb 6-1: new high-speed USB device number 72 using dummy_hcd [ 592.151773][T12053] usb 4-1: Using ep0 maxpacket: 16 22:45:45 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) socket$inet_udp(0x2, 0x2, 0x0) r1 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000000), 0x133002, 0x0) read$dsp(r1, &(0x7f0000000040)=""/128, 0x80) write$dsp(r0, &(0x7f0000000140)="05", 0x1) [ 592.271764][T12053] usb 4-1: config 0 interface 0 altsetting 0 has an invalid endpoint with address 0x0, skipping [ 592.294030][T12053] usb 4-1: New USB device found, idVendor=0499, idProduct=1027, bcdDevice=19.50 [ 592.313232][T12053] usb 4-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 592.331604][T10920] usb 3-1: New USB device found, idVendor=06a5, idProduct=d800, bcdDevice=87.12 [ 592.351517][T10813] usb 6-1: Using ep0 maxpacket: 16 [ 592.359982][T12053] usb 4-1: config 0 descriptor?? [ 592.375160][T10920] usb 3-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 592.398062][T10920] usb 3-1: config 0 descriptor?? [ 592.416815][T12053] snd-usb-audio: probe of 4-1:0.0 failed with error -2 [ 592.464541][T10920] gspca_main: nw80x-2.14.0 probing 06a5:d800 [ 592.471754][T10813] usb 6-1: config 0 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 0, changing to 7 [ 592.492570][T10813] usb 6-1: config 0 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 592.516876][T10813] usb 6-1: New USB device found, idVendor=0499, idProduct=1027, bcdDevice=19.50 [ 592.539835][T10813] usb 6-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 592.568647][T10813] usb 6-1: config 0 descriptor?? [ 592.618919][T12053] usb 4-1: USB disconnect, device number 97 [ 592.634286][T10813] snd-usb-audio: probe of 6-1:0.0 failed with error -12 [ 592.834058][T10813] usb 6-1: USB disconnect, device number 72 [ 593.041768][T10920] gspca_nw80x: reg_r err -71 [ 593.046723][T10920] nw80x: probe of 3-1:0.0 failed with error -71 [ 593.055190][T10920] usb 3-1: USB disconnect, device number 7 22:45:47 executing program 1: r0 = gettid() (async) socketpair$unix(0x1, 0x0, 0x0, 0x0) r1 = signalfd4(0xffffffffffffffff, &(0x7f0000006000), 0x8, 0x0) (async) ptrace$PTRACE_SECCOMP_GET_FILTER(0x420c, r0, 0x3, &(0x7f0000000000)=""/143) (async) r2 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r2, 0x1, r1, &(0x7f00000000c0)) (async) poll(0x0, 0x0, 0x603) (async, rerun: 32) dup2(0xffffffffffffffff, 0xffffffffffffffff) (rerun: 32) tkill(r0, 0x14) 22:45:47 executing program 2: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x21, 0x5d, 0x4b, 0x40, 0x6a5, 0xd800, 0x8712, 0x0, 0x0, 0x0, 0x1, [{{0x9, 0x2, 0x12, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0xf9, 0x30, 0x5d}}]}}]}}, 0x0) syz_usb_control_io$printer(r0, 0x0, 0x0) syz_usb_control_io$printer(r0, 0x0, &(0x7f00000007c0)={0x34, &(0x7f0000000080)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000004c0)={0x2c, &(0x7f0000000040)=ANY=[], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$cdc_ecm(r0, 0x0, &(0x7f00000010c0)={0x1c, &(0x7f0000000040)=ANY=[], 0x0, 0x0}) r1 = syz_usb_connect$cdc_ecm(0x5, 0x70, &(0x7f0000000040)={{0x12, 0x1, 0x110, 0x2, 0x0, 0x0, 0x40, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x5e, 0x1, 0x1, 0xf8, 0xa0, 0x5, [{{0x9, 0x4, 0x0, 0xff, 0x3, 0x2, 0x6, 0x0, 0x2, {{0x7, 0x24, 0x6, 0x0, 0x0, "11ab"}, {0x5, 0x24, 0x0, 0x1}, {0xd, 0x24, 0xf, 0x1, 0x994e, 0x7, 0x401, 0x9}, [@obex={0x5, 0x24, 0x15, 0x108}, @obex={0x5, 0x24, 0x15, 0x6}, @country_functional={0xe, 0x24, 0x7, 0x81, 0x101, [0x6, 0x5, 0x0, 0x4]}]}, {[{{0x9, 0x5, 0x81, 0x3, 0x400, 0x8, 0x40, 0x81}}], {{0x9, 0x5, 0x82, 0x2, 0x400, 0x0, 0x4, 0x3f}}, {{0x9, 0x5, 0x3, 0x2, 0x470, 0x9, 0x2, 0x7f}}}}}]}}]}}, &(0x7f00000006c0)={0xa, &(0x7f00000000c0)={0xa, 0x6, 0x250, 0x9, 0x9, 0x0, 0x20, 0x3}, 0x106, &(0x7f0000000100)={0x5, 0xf, 0x106, 0x1, [@generic={0x101, 0x10, 0x2, "981141d5b0769dc901b91c4cbc09d30984671beb26b026f145b55756f7f252d6765c2bf54e1f4c3a79640b46479e4e446f94263c87a18b2a7319fac49e8756da4f6b2a42bf85d70d627ff116c99526bcf236e89c8a3d91d13a458d6c84eb403232460c74d7d07e08658ef6370ecf36975cc676b10db785962fd07b80e02c1a9be79835928ccc0ed2516778ffa9600504e66b62f693de8bfa104db1f4d560790bf1504b340a77e727e5798568fc936c83ec91b8f87e082a6e8e4422bd45c70907bfdf945c0eee93b9a06bc2462e9b234ac5cf543018e84c23ae2d0d06b02ef3bde0493ab8d6397617161c0e25c42695de77494e28f8efd446f9e5ead900f8"}]}, 0x8, [{0xfc, &(0x7f0000000240)=@string={0xfc, 0x3, "3c9ea7f41d4b1dd1b54b62f947f13a34c14a70dae380312adc33b9388485f933f35bcb817773b4fd5b1ab6ab50ffd1d6be9b0986b278a75d1bd3ab7ca573c7792e5e18f4ac93770b889410a9d0f6ef3f32b2b573298a7b589ea2c23c75f68a8dc934cc426f5d32d735e8d11f5a13cd5db4628de84ac402e3b73198ef18a32cdb1569f8b7e2046e38d954c061a21bd74bb484856618eb592e42057fdda2a1067c0ef029ebbe8e7f64fecd880bfb62546181218efef1edb42a419ac2b055363cd36ecddc65f051463fa6255e8460ecf66cd775d5aa1a65007da1b8ab1046e194d5a3e7d7d3cd8caf76322110b33e8a1409bd171cdab8fdd9b5429d"}}, {0x4, &(0x7f0000000340)=@lang_id={0x4, 0x3, 0x445}}, {0x4, &(0x7f0000000380)=@lang_id={0x4, 0x3, 0x410}}, {0x9e, &(0x7f00000003c0)=@string={0x9e, 0x3, "e8df8aca9b89bcd97e01777bae351b8199420f1fe5f3c1f4c0a51cf7517f8c6443ff7b6daf8acb6201b57fb5bc9962cd43a515d4c4789ba508a0397044dfd6fca1884f20fc5cc06a02ec01aa45a5570aae4bba0841e237c4f0a94f7e74e3a6c815a876cbc0dc1175b47a5abe8824b589cf283ba796b63ec2acd3aec761aed0492b557fdd5eadca6863b7f5222afcfe32d661ab9ab10e461a0a3a5b92"}}, {0x5d, &(0x7f0000000500)=@string={0x5d, 0x3, "f8d72c3ccfd989a3074b82fa25031bebe8e90dbb3e416ec938529f97637fe3eb3910d0590c1badeee125527394c1ccc61fd340f96bf92288f8a483a8fb82deff2702beb0f7b2448b698173d1e41b3ea44c929a1ba58fd6481335ff"}}, {0x4, &(0x7f0000000480)=@lang_id={0x4, 0x3, 0x455}}, {0xcb, &(0x7f0000000580)=@string={0xcb, 0x3, "56b72c563eb40bf82e3b46cdceb0e991897a1d18e76c95301c19f861b0346659e4b448f10da8f240a57f8d921571f2394ef8c483383b2c97cbd9a787916a3bf44c0dc6c39df7747f6929caaffc9ab84f39b99bfd09a9e11ef563770c56104401fa7b16f90e618433ffa60d83fbcee0fa51ba1005d17ffcd2313c2210a386d38ca6678dfd5eb55a6040090beb1cd6d224502627f8c77caba1b6a10786c7e70a7c90a14e78ccc0ad851d45f07c12ba13a1325a6753d7d38ef15758da51d4b90967ce0c9f222cc53ae95b"}}, {0x4, &(0x7f0000000680)=@lang_id={0x4, 0x3, 0x3801}}]}) syz_usb_disconnect(r1) syz_usb_connect(0x0, 0x24, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x21, 0x5d, 0x4b, 0x40, 0x6a5, 0xd800, 0x8712, 0x0, 0x0, 0x0, 0x1, [{{0x9, 0x2, 0x12, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0xf9, 0x30, 0x5d}}]}}]}}, 0x0) (async) syz_usb_control_io$printer(r0, 0x0, 0x0) (async) syz_usb_control_io$printer(r0, 0x0, &(0x7f00000007c0)={0x34, &(0x7f0000000080)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0}) (async) syz_usb_control_io$hid(r0, 0x0, 0x0) (async) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000004c0)={0x2c, &(0x7f0000000040)=ANY=[], 0x0, 0x0, 0x0, 0x0}) (async) syz_usb_control_io$cdc_ecm(r0, 0x0, &(0x7f00000010c0)={0x1c, &(0x7f0000000040)=ANY=[], 0x0, 0x0}) (async) syz_usb_connect$cdc_ecm(0x5, 0x70, &(0x7f0000000040)={{0x12, 0x1, 0x110, 0x2, 0x0, 0x0, 0x40, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x5e, 0x1, 0x1, 0xf8, 0xa0, 0x5, [{{0x9, 0x4, 0x0, 0xff, 0x3, 0x2, 0x6, 0x0, 0x2, {{0x7, 0x24, 0x6, 0x0, 0x0, "11ab"}, {0x5, 0x24, 0x0, 0x1}, {0xd, 0x24, 0xf, 0x1, 0x994e, 0x7, 0x401, 0x9}, [@obex={0x5, 0x24, 0x15, 0x108}, @obex={0x5, 0x24, 0x15, 0x6}, @country_functional={0xe, 0x24, 0x7, 0x81, 0x101, [0x6, 0x5, 0x0, 0x4]}]}, {[{{0x9, 0x5, 0x81, 0x3, 0x400, 0x8, 0x40, 0x81}}], {{0x9, 0x5, 0x82, 0x2, 0x400, 0x0, 0x4, 0x3f}}, {{0x9, 0x5, 0x3, 0x2, 0x470, 0x9, 0x2, 0x7f}}}}}]}}]}}, &(0x7f00000006c0)={0xa, &(0x7f00000000c0)={0xa, 0x6, 0x250, 0x9, 0x9, 0x0, 0x20, 0x3}, 0x106, &(0x7f0000000100)={0x5, 0xf, 0x106, 0x1, [@generic={0x101, 0x10, 0x2, "981141d5b0769dc901b91c4cbc09d30984671beb26b026f145b55756f7f252d6765c2bf54e1f4c3a79640b46479e4e446f94263c87a18b2a7319fac49e8756da4f6b2a42bf85d70d627ff116c99526bcf236e89c8a3d91d13a458d6c84eb403232460c74d7d07e08658ef6370ecf36975cc676b10db785962fd07b80e02c1a9be79835928ccc0ed2516778ffa9600504e66b62f693de8bfa104db1f4d560790bf1504b340a77e727e5798568fc936c83ec91b8f87e082a6e8e4422bd45c70907bfdf945c0eee93b9a06bc2462e9b234ac5cf543018e84c23ae2d0d06b02ef3bde0493ab8d6397617161c0e25c42695de77494e28f8efd446f9e5ead900f8"}]}, 0x8, [{0xfc, &(0x7f0000000240)=@string={0xfc, 0x3, "3c9ea7f41d4b1dd1b54b62f947f13a34c14a70dae380312adc33b9388485f933f35bcb817773b4fd5b1ab6ab50ffd1d6be9b0986b278a75d1bd3ab7ca573c7792e5e18f4ac93770b889410a9d0f6ef3f32b2b573298a7b589ea2c23c75f68a8dc934cc426f5d32d735e8d11f5a13cd5db4628de84ac402e3b73198ef18a32cdb1569f8b7e2046e38d954c061a21bd74bb484856618eb592e42057fdda2a1067c0ef029ebbe8e7f64fecd880bfb62546181218efef1edb42a419ac2b055363cd36ecddc65f051463fa6255e8460ecf66cd775d5aa1a65007da1b8ab1046e194d5a3e7d7d3cd8caf76322110b33e8a1409bd171cdab8fdd9b5429d"}}, {0x4, &(0x7f0000000340)=@lang_id={0x4, 0x3, 0x445}}, {0x4, &(0x7f0000000380)=@lang_id={0x4, 0x3, 0x410}}, {0x9e, &(0x7f00000003c0)=@string={0x9e, 0x3, "e8df8aca9b89bcd97e01777bae351b8199420f1fe5f3c1f4c0a51cf7517f8c6443ff7b6daf8acb6201b57fb5bc9962cd43a515d4c4789ba508a0397044dfd6fca1884f20fc5cc06a02ec01aa45a5570aae4bba0841e237c4f0a94f7e74e3a6c815a876cbc0dc1175b47a5abe8824b589cf283ba796b63ec2acd3aec761aed0492b557fdd5eadca6863b7f5222afcfe32d661ab9ab10e461a0a3a5b92"}}, {0x5d, &(0x7f0000000500)=@string={0x5d, 0x3, "f8d72c3ccfd989a3074b82fa25031bebe8e90dbb3e416ec938529f97637fe3eb3910d0590c1badeee125527394c1ccc61fd340f96bf92288f8a483a8fb82deff2702beb0f7b2448b698173d1e41b3ea44c929a1ba58fd6481335ff"}}, {0x4, &(0x7f0000000480)=@lang_id={0x4, 0x3, 0x455}}, {0xcb, &(0x7f0000000580)=@string={0xcb, 0x3, "56b72c563eb40bf82e3b46cdceb0e991897a1d18e76c95301c19f861b0346659e4b448f10da8f240a57f8d921571f2394ef8c483383b2c97cbd9a787916a3bf44c0dc6c39df7747f6929caaffc9ab84f39b99bfd09a9e11ef563770c56104401fa7b16f90e618433ffa60d83fbcee0fa51ba1005d17ffcd2313c2210a386d38ca6678dfd5eb55a6040090beb1cd6d224502627f8c77caba1b6a10786c7e70a7c90a14e78ccc0ad851d45f07c12ba13a1325a6753d7d38ef15758da51d4b90967ce0c9f222cc53ae95b"}}, {0x4, &(0x7f0000000680)=@lang_id={0x4, 0x3, 0x3801}}]}) (async) syz_usb_disconnect(r1) (async) 22:45:47 executing program 0: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) read$dsp(r0, &(0x7f0000000000)=""/98, 0x62) ioctl$BTRFS_IOC_SPACE_INFO(r0, 0xc0109414, &(0x7f000000f180)=ANY=[@ANYBLOB="d4090000000000003f000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001394b6f5a9acf4d46c0e23b7000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000e3d4bdb978a5c0872fdb0be94ab072fdb672ba3e1f01a20376d5"]) r1 = syz_open_dev$cec(&(0x7f0000000080), 0x0, 0x2) r2 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r2, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r2, 0x0) ioctl$SOUND_PCM_READ_BITS(r2, 0x80045005, &(0x7f00000000c0)) ftruncate(r1, 0xfece) r3 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000180), 0x501000, 0x0) ioctl$SNDCTL_DSP_STEREO(r3, 0xc0045003, &(0x7f00000001c0)) write$dsp(r0, &(0x7f0000000140)="05", 0x1) 22:45:47 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) (async) socket$inet_udp(0x2, 0x2, 0x0) (async) r1 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000000), 0x133002, 0x0) read$dsp(r1, &(0x7f0000000040)=""/128, 0x80) (async) write$dsp(r0, &(0x7f0000000140)="05", 0x1) 22:45:47 executing program 3: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000dcaaf0109904271050190000000109022c0001000000000904000001e30824000836060100000000092402020000000000090581db"], 0x0) 22:45:47 executing program 5: 22:45:47 executing program 5: 22:45:47 executing program 1: r0 = gettid() (async) socketpair$unix(0x1, 0x0, 0x0, 0x0) r1 = signalfd4(0xffffffffffffffff, &(0x7f0000006000), 0x8, 0x0) ptrace$PTRACE_SECCOMP_GET_FILTER(0x420c, r0, 0x3, &(0x7f0000000000)=""/143) (async) r2 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r2, 0x1, r1, &(0x7f00000000c0)) poll(0x0, 0x0, 0x603) (async) dup2(0xffffffffffffffff, 0xffffffffffffffff) (async) tkill(r0, 0x14) 22:45:47 executing program 5: 22:45:47 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) (async) socket$inet_udp(0x2, 0x2, 0x0) (async) r1 = openat$vsock(0xffffffffffffff9c, &(0x7f0000000000), 0x133002, 0x0) read$dsp(r1, &(0x7f0000000040)=""/128, 0x80) (async) write$dsp(r0, &(0x7f0000000140)="05", 0x1) 22:45:47 executing program 5: syz_usb_connect(0x0, 0x3e, 0x0, 0x0) 22:45:47 executing program 0: r0 = socket(0x1e, 0x1, 0x0) sendmsg(r0, &(0x7f0000000000)={&(0x7f0000000080)=@generic={0x10000000001e, "02ff0100000001000000000000000ae77f5bf86c48020002000000f1ffffff009a480075e6a50000de010300000000e4ff064b3f013a000000080200000000000000ac50d5fe32c4000000007fffffff6a008356edb9a6341c1fd45624281e00070ecddd0206c39750c40000fd00000908000000000b0000db000004da36"}, 0x80, 0x0}, 0x0) recvmmsg(r0, &(0x7f0000001f40)=[{{0x0, 0x0, &(0x7f0000000300)=[{&(0x7f0000000180)=""/105, 0x69}], 0x1, &(0x7f00000003c0)=""/250, 0xfa}}], 0x1, 0x0, 0x0) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r1, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r1, 0x0) sendmsg(r1, &(0x7f0000001d80)={&(0x7f0000000ac0)=@tipc=@name={0x1e, 0x2, 0x2, {{0x1, 0x1}, 0x4}}, 0x80, &(0x7f0000001d00)=[{&(0x7f0000000b40)="07e6b92408c0ad0c9c58ed97599b556b3e0f98506b9bbfb08fa2799500cd25cc9eab37a5fb7e8e8a5a1a3d4221b78b87015fd4ebf2c2b9c2091acab24731654362875d24833d1a27ed27ecb1ecc75e3305e89da767f95e7f7375b7241c5686c926a519633fe76ee395859d74222f8d5a9066cc47fd5b72e6b52ce523ccdaf58276f16311aebaafad57376c531dae9c65ec4d5ddd3fa0d0d85de7d0a6899842d1fd8435f615702da826542696637706c0a7c5bcdfbd1811dc659078e78542a1ceacb17f0f9c0cf1ab641de3d0be7f047314593797ada415e3d9b79fefafb2a23c5dd9b83a04d8e835bb7c9ce9c7", 0xed}, {&(0x7f0000000c40)="d1652244ddb93ca5b7cea5", 0xb}, {&(0x7f0000000c80)="2c310a11a16c062f580b311885e05e411c0d4983ec379f2a2dbb3129dfaea0ef68f541949a4c10578a837ac5df429174a39dcaf107d75f4e", 0x38}, {&(0x7f0000000cc0)="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", 0x1000}, {&(0x7f0000001cc0)="9b7a94092f508bb9788f9f33753de1440ea7d93c69e509a266390d16616ca8a437d71fc0854c4b75e3d0d91b5e9a85acd3f1513d0ff359d0bd3695dcbefd22", 0x3f}], 0x5, &(0x7f0000001f80)=[{0x60, 0x10e, 0x1, "487a90e1f7c9fbb7730cf2f5e9b9bcacf226fcb83a7d4fb5b750b56187d17c8f4ba9bc1baf80abcf97c4333f5027d77d0b1f0949f7b6cfeb544d411f0fc54843991a9342ca404c1ed85f26"}, {0xa0, 0x10a, 0x0, "ce65c700beebdbdf122b28552b7eb1ac2397fb4f11919022594e9e7516ac7d8460690a807f7f68e7484f3c8b7e82aaadac39add7a3e776a79e4add69baeae341473bb9c49a04df724f8ec50e280f733f2ff582946d140507edc9b0273b895f68d3fe1c5ab7702c222df5683852b1d649531cc16d223a98c8f29696d7c8db80a046fec18dfc6d4574d3517fa8a58e07cd"}, {0x48, 0x104, 0x562c, "fec8b44ce3cc35480f31b8334a3f6e203277f9eb8ebba904fbce78107b96ef51f6d4aab309ba44b6ac68bf88f667b61cf14a68aa"}, {0x68, 0x88, 0x4, "e0aebef24bdbfb589f2f387071a167a37894e6a0741f3ad88c4689505f4a8da05c18146d21684df7a2e77043645bf3c041eea9ca07461a1faac7be60bc3b31679ffbc9e91e159f4946efaaf27807103913274bba"}, {0x98, 0x29, 0x80000000, "fe2996ad7d0b5f93e63aa6491ff54557f92e31cea7c6f1d338ecea600d0021ec70e33ddb5e389769df1011efeff7819177c55013f2b7aefcc8a7fabc7819af22f0ca4bd3984ccb5c97d2a9ea79767d53227045440d347a96e0a527b1c5dc0f08c41253702d7c4ab9e5e44caaeb5dc15cf46ff69090e0d67a51722482a23b8189dbe9b43ba64a"}], 0x248}, 0x800) write$binfmt_elf32(r0, &(0x7f00000001c0)=ANY=[], 0xfffffd6d) syz_usb_connect(0x6, 0x3e, &(0x7f0000000040)=ANY=[@ANYRESHEX=0x0, @ANYRES32=r0], 0x0) r2 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000b0cd6f10da0b3228fef4000000010902120001000000000904"], 0x0) syz_usb_control_io(r2, 0x0, 0x0) syz_usb_control_io$uac1(r2, 0x0, &(0x7f0000000340)={0x44, &(0x7f0000000140)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r2, 0x0, 0x0) syz_usb_control_io(r2, &(0x7f0000000380)={0x2c, &(0x7f00000004c0)={0x40, 0x21, 0xfc, {0xfc, 0xc, "5e92f8a59775f3c5074e1df8ff775f518790f2d24e69e4dc34eb31ae281d86ba5193a57376ec657ee216a26762ff55b8d4bf93092634bd290a33bbe617033951c2205f2ee7883e44e7aaf4b9c1c72310675b253469ff0f09395d1d0484d01c11a543d212d9b630c45336b182627acf95fef374f51a884d64ef613516f5e0ef049c74d359c0410c7258618e900c5b22eef14af0529326e85e23b79454514dd7170cb2d706f683aea24338db1def4cfc028761fd39f2f219bed051dee1e95ee338dbffdba359d02082daed3d2a418781637314d70f0d7c78fcd6529cd5898d6bfa4b896d723b2e8c9e255f24930377d9df853f77bc46f1351e3043"}}, &(0x7f0000000200)={0x0, 0x3, 0xf6, @string={0xf6, 0x3, "54e2905d3e3636ac1692ae2f551f9659b5b1431734da85a51ca7df929e9b8b6d271a62ab84e54673aa5f8c9c468ba128544f3090d0fadd9881c5dd7d1059fe698ce700ad2a253b394bdfbd128e7b71f00fab1035b70316677bb34014ffc8b0604e1323ffcb9eae0551c340c71fe557a97589cc0ff737b9b9e503d5a7c423bc824f7bd2f3c070f30b1631cd26e8023a29d9d23d92fcf64a705d4aed6b731c22b69a2aaf1c78448b27fbeb3a01ecc772a6524cb42a406917d937fa68e68b839bb98d42df30ed42fbd8bc4d6e747cf7688e212f923dfe452c0d3adaf6d3f594430d9d1340cdde13cba6961360a70605e790effbbe88"}}, &(0x7f0000000100)={0x0, 0xf, 0x1e, {0x5, 0xf, 0x1e, 0x3, [@wireless={0xb, 0x10, 0x1, 0x2, 0x4, 0xf9, 0x9, 0x1ff, 0x7f}, @wireless={0xb, 0x10, 0x1, 0x8, 0x50, 0xe6, 0x8, 0x401, 0x20}, @ptm_cap={0x3}]}}, &(0x7f0000000140)={0x20, 0x29, 0xf, {0xf, 0x29, 0x18, 0x4, 0x6, 0x6, "fcb418af", "e3913042"}}, &(0x7f0000000340)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x9, 0x0, 0x3f, 0x3, 0x9, 0xf62b, 0xc734}}}, &(0x7f0000000a00)={0x84, &(0x7f0000000600)={0xfca755385a5ae553, 0x5, 0x2c, "560f0fdc19f52d1c164aecb33dec3b8bebf9316c7a85358f57073fa72c3a40331ef650e4867754946159093e"}, &(0x7f0000000640)={0x0, 0xa, 0x1, 0x5}, &(0x7f0000000680)={0x0, 0x8, 0x1, 0x9}, &(0x7f00000006c0)={0x20, 0x0, 0x4, {0x0, 0x1}}, &(0x7f0000000700)={0x20, 0x0, 0x4, {0x20, 0x4}}, &(0x7f0000000740)={0x40, 0x7, 0x2, 0x1f}, &(0x7f0000000780)={0x40, 0x9, 0x1, 0x40}, &(0x7f00000007c0)={0x40, 0xb, 0x2, "0493"}, &(0x7f0000000800)={0x40, 0xf, 0x2, 0x5}, &(0x7f0000000840)={0x40, 0x13, 0x6, @random="dcfe9da1d3c5"}, &(0x7f0000000880)={0x40, 0x17, 0x6}, &(0x7f00000008c0)={0x40, 0x19, 0x2, '<\r'}, &(0x7f0000000900)={0x40, 0x1a, 0x2, 0x5}, &(0x7f0000000940)={0x40, 0x1c, 0x1}, &(0x7f0000000980)={0x40, 0x1e, 0x1, 0xc8}, &(0x7f00000009c0)={0x40, 0x21, 0x1, 0x2}}) [ 593.921837][T10813] usb 3-1: new high-speed USB device number 8 using dummy_hcd [ 593.941871][T10920] usb 4-1: new high-speed USB device number 98 using dummy_hcd [ 594.211475][T10920] usb 4-1: Using ep0 maxpacket: 16 [ 594.231768][T12053] usb 1-1: new high-speed USB device number 72 using dummy_hcd [ 594.291513][T10813] usb 3-1: New USB device found, idVendor=06a5, idProduct=d800, bcdDevice=87.12 [ 594.300742][T10813] usb 3-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 594.312250][T10813] usb 3-1: config 0 descriptor?? [ 594.332003][T10920] usb 4-1: config 0 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 0, changing to 7 [ 594.343027][T10920] usb 4-1: config 0 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 594.352929][T10920] usb 4-1: New USB device found, idVendor=0499, idProduct=1027, bcdDevice=19.50 [ 594.353498][T10813] gspca_main: nw80x-2.14.0 probing 06a5:d800 [ 594.362008][T10920] usb 4-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 594.369070][T10920] usb 4-1: config 0 descriptor?? [ 594.425781][T10920] snd-usb-audio: probe of 4-1:0.0 failed with error -12 [ 594.451520][T12053] usb 1-1: device descriptor read/64, error 18 [ 594.629297][T10920] usb 4-1: USB disconnect, device number 98 [ 594.721518][T12053] usb 1-1: new high-speed USB device number 73 using dummy_hcd [ 594.911463][T12053] usb 1-1: device descriptor read/64, error 18 [ 595.031890][T12053] usb usb1-port1: attempt power cycle [ 595.441851][T12053] usb 1-1: new high-speed USB device number 74 using dummy_hcd [ 595.642448][T15184] UDC core: couldn't find an available UDC or it's busy: -16 [ 595.649914][T15184] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 595.657725][T12053] usb 1-1: device descriptor read/8, error -61 22:45:49 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(0xffffffffffffffff, &(0x7f0000000500)='@', 0x1) ioctl$SNDCTL_DSP_SPEED(0xffffffffffffffff, 0xc0045002, &(0x7f0000000080)=0x20000003) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r1, &(0x7f0000000140)=ANY=[@ANYRESHEX=r1, @ANYRES16=r0, @ANYRES64, @ANYRESHEX=r0, @ANYRESOCT=r1], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x30, r1, 0x0) mmap(&(0x7f0000ffe000/0x1000)=nil, 0x1000, 0x100000c, 0x810, r1, 0x191f9000) write$dsp(r0, &(0x7f0000000200)="d7712b02982abbeebc276fabcb15a0e0ba418f2b12daf04681a4c3c23b8fa039ba6403ca7cdf45fc0900fbf6b9a34fb2fe413aaac44f8dc806a0eda9185a137ab8a2af4415755e00a3dd7f030f30b049a6b3924e78eccb74cc37209159275fe065252e274d39903a205d397ff5a7368a69770f5194ed67b872ef93540aef70dd4e04c66b0d9a385d6f81081cff22ab50f0c96ee9ec965c60bff2b7b3b9bbce5b13a1f0f07bcc52d2c65a68eb638a8888ec8fbd80ffbf4494170b5853de778c7d2737d322e1000000000051a688ccf29ef65ccffc10341d1496f3f4530f77c7b8c0f332bcb89840620587fb95800fefccd76ae70c35eb809815d19a3737e253bd31a2860f5e38f9ff6eb1bd01d76654dabbcdd8a9bcc816dfb6003ad9ecc74960388021d92561ba9e4a9a9d182fe785", 0x12f) r2 = gettid() socketpair$unix(0x1, 0x5, 0x0, &(0x7f0000029000)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$int_in(r3, 0x5421, &(0x7f0000b28000)=0x3e) fcntl$setsig(r3, 0xa, 0x12) signalfd4(0xffffffffffffffff, &(0x7f0000006000)={[0xfffffffffffffffc]}, 0x8, 0x0) epoll_create1(0x0) poll(&(0x7f0000000100)=[{}, {r4}], 0x2, 0x603) dup2(r3, r4) fcntl$setown(r4, 0x8, r2) tkill(r2, 0x14) syz_open_procfs(0x0, &(0x7f00000000c0)='net/mcfilter\x00') 22:45:49 executing program 5: syz_usb_connect(0x0, 0x3e, 0x0, 0x0) 22:45:49 executing program 3: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000dcaaf0109904271050190000000109022c0001000000000904000001e30824000836060100000000092402020000000000090581db"], 0x0) [ 595.860548][T15184] UDC core: couldn't find an available UDC or it's busy: -16 [ 595.869420][T15184] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 22:45:49 executing program 2: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x21, 0x5d, 0x4b, 0x40, 0x6a5, 0xd800, 0x8712, 0x0, 0x0, 0x0, 0x1, [{{0x9, 0x2, 0x12, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0xf9, 0x30, 0x5d}}]}}]}}, 0x0) syz_usb_control_io$printer(r0, 0x0, 0x0) syz_usb_control_io$printer(r0, 0x0, &(0x7f00000007c0)={0x34, &(0x7f0000000080)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_connect(0x1, 0x432, &(0x7f0000000c00)={{0x12, 0x1, 0x40, 0x79, 0xee, 0x6f, 0x40, 0x12d1, 0xe2f, 0x79bf, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x420, 0x2, 0x5c, 0x1f, 0x0, 0x80, [{{0x9, 0x4, 0x9, 0x3, 0x7, 0xff, 0x2, 0x75, 0x6, [@uac_as={[@format_type_i_continuous={0xc, 0x24, 0x2, 0x1, 0xfb, 0x3, 0x80, 0x7, "85aa", "90dd"}, @format_type_i_discrete={0xd, 0x24, 0x2, 0x1, 0x9, 0x2, 0xf5, 0xff, "9614165e4a"}, @format_type_ii_discrete={0x11, 0x24, 0x2, 0x2, 0xf2, 0x1000, 0x2, "c14ae6818e5f896f"}, @format_type_ii_discrete={0xf, 0x24, 0x2, 0x2, 0x3, 0x3, 0x9, "001bdcbb37a9"}, @format_type_i_continuous={0xd, 0x24, 0x2, 0x1, 0x8, 0x2, 0x7, 0x81, "70aa", 'aBR'}]}], [{{0x9, 0x5, 0x1, 0x10, 0x400, 0x7, 0x7, 0x40}}, {{0x9, 0x5, 0xa, 0x2, 0x200, 0x90, 0x8, 0x0, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x20, 0xffff}, @uac_iso={0x7, 0x25, 0x1, 0x2, 0x0, 0xafc0}]}}, {{0x9, 0x5, 0xb, 0x0, 0x400, 0xe9, 0x40, 0x7, [@uac_iso={0x7, 0x25, 0x1, 0x82, 0x55, 0x27c}, @generic={0xe0, 0x30, "1c8e1310c2449d6149c1d44c38666713ff54bb73bc74da86b70b12727678f018f76476c70abfa094b533e91b5f3479f6005d3f3c1d1730ae2c661a0913ae2cbf545d1a357274cbf3e8d0eaf4ca65ba65876c0253d6f6c32c291a375ed2675af01a074d06c266d57dbf9fc83f0d60dcda497da1c24f85f0df12525901d96755d4c9b14a22ef849fd59a043c4459bf4c231996635c0b3b11012dac286235dc30d8d7dd4f47c46aea5287fb8753d5903c1497054804b0b1761a4056649a66c6c6eb90acee1df0339451b1db648bda7a286b28cfed860e74d3bcc2561ea82fbe"}]}}, {{0x9, 0x5, 0xf, 0x1, 0x8, 0x7e, 0x1, 0x81}}, {{0x9, 0x5, 0x1, 0x0, 0x3ff, 0xd5, 0x47, 0x81, [@generic={0x9f, 0x4, "300ec4f3874d4e65296e019ba0231407a6c397ced81a489b9da77caae8f1c6adf5573cdbd6c2db4966f18d6caca41b8b762e9ff92a56b1e2f1c41707ad9776aaaa5940407982c5160069093f9e86e8dd9b64236211ce2114cdad9b93642351ae0f6007503e2632e533acaae4b315d33ae932d5b6e1f77c025422b85df5d064774f4eb97a426857be71f7ea5ec6b77cebda95cf74e2e89527ee2a29d655"}, @generic={0x43, 0x7, "131e28109402c2b2fb3d695a4bce553a6b6f888864ebccf9c440822c8f1acc953344f10d87776bceffc38d18e044a24a8d47170dae0b9f344be2b05f6b54c199a4"}]}}, {{0x9, 0x5, 0xb, 0x10, 0x240, 0x20, 0x0, 0x3f}}, {{0x9, 0x5, 0xf, 0xc, 0x28, 0x6, 0x3, 0x0, [@uac_iso={0x7, 0x25, 0x1, 0x7, 0x8, 0x7f}]}}]}}, {{0x9, 0x4, 0xbe, 0xc8, 0x8, 0x61, 0x67, 0x5b, 0x19, [], [{{0x9, 0x5, 0x7, 0x4, 0x20, 0x20, 0x3, 0x2, [@generic={0x83, 0x21, "b1ae8bfe3764881d52689a3498b4f049720137a7cb99a52b10a0c4781d33fd7b73fcd9454de4a27fdc3ef5500a99942abcaba2fa4e2904ff52845a3c432fca1074c9e565c6b2926aaddfa0dbcbf5201d832ba4b354a3e5fc59cba0c2b95bff6bd3ecb2ae45946cf62fb1b05a064908ddd7e8dd68e47f42a703b66caa21a371be41"}, @uac_iso={0x7, 0x25, 0x1, 0x40, 0x7}]}}, {{0x9, 0x5, 0x5, 0x0, 0x8, 0xae, 0x8, 0x2, [@uac_iso={0x7, 0x25, 0x1, 0x3, 0xff, 0xdac}]}}, {{0x9, 0x5, 0x1, 0x4, 0x400, 0x0, 0x1, 0x7f}}, {{0x9, 0x5, 0x3, 0x0, 0x10, 0x4e, 0x5, 0x80}}, {{0x9, 0x5, 0xe, 0x8, 0x200, 0x1f, 0xff, 0x1}}, {{0x9, 0x5, 0x80, 0x0, 0x3ff, 0x1f, 0x20, 0x80, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x3d, 0x800}, @uac_iso={0x7, 0x25, 0x1, 0x2, 0x2, 0x200}]}}, {{0x9, 0x5, 0xf, 0x10, 0x10, 0x0, 0x98, 0x3, [@uac_iso={0x7, 0x25, 0x1, 0x3, 0x7, 0x1}, @generic={0xa1, 0x11, "3d16d3cabde82716ab9d6313a43aa5c0cf20b47d2b5045a3d789f6cefc5a8c515dff0904f68d260ed6f4ec1be68919f05aae1feab7b3355c395dc8bed0d3712451ed9ec1bd411290d276843e13525da75e78a8d4e7376dd26d535bc9e937d34504d7d90a4e9a7bb66a700fe42b78998d2a6db17638c96e0918c587362a6cd71fee570a3b12d356dd5f4cff5a6f1fb0bde6b520c80a2eac937c38370d6beca8"}]}}, {{0x9, 0x5, 0x9, 0x0, 0x3ff, 0x20, 0x3, 0x40, [@generic={0xe, 0x1, "cb8b9f299d753c083621d366"}, @generic={0x5, 0x21, 'e\\-'}]}}]}}]}}]}}, &(0x7f00000013c0)={0xa, &(0x7f0000001040)={0xa, 0x6, 0x250, 0x7, 0x7, 0x80, 0x20}, 0x7a, &(0x7f0000001100)={0x5, 0xf, 0x7a, 0x5, [@ss_cap={0xa, 0x10, 0x3, 0x0, 0x1, 0x7, 0x5, 0x6}, @ss_container_id={0x14, 0x10, 0x4, 0x7, "0088a743c9441a003cb59226fb0e7c31"}, @ext_cap={0x7, 0x10, 0x2, 0x2, 0x8, 0x5, 0x401}, @generic={0x4d, 0x10, 0xa, "ed0ce59224f79bd79d2ad4d76e2891788d5e57daeff6f024e329bec341c30ab2975c1e452fbc5b464b8dd5ada3a45316ba2ae0d50cd1ef25d7073fe9b36bad467f3dac71d73459370482"}, @ptm_cap={0x3}]}, 0x4, [{0x34, &(0x7f0000001080)=@string={0x34, 0x3, "d907aaf51b543873ddbcad9eb3151ee60c610e00036145ed87b0f06bc7f60dcb13a6a111df29bd26f1fd8db4c01d55a00726"}}, {0xcd, &(0x7f0000001180)=@string={0xcd, 0x3, "87024ec1ef93e7c8e1f45bf71eed4e02434c14d1ecae666cce0c1cb5a7f18009c24afbf58cfa494bde35486422c4599cc33140e96abae575aef0f117968b35d39e79b53373fca2b78c6efde39c84d7e17eeac01a7fca6de74bd9665ed6aba0978ab80f17a32709485beda748d4dbe87ceb340097ca9d79f07c7f91111ea421926675ceeb8b0d62679028b1a66245ac1903ee7077aff71e6075e8eaa938ee00f41b7150cd9a36af259920750e9fdedc45fdffc232e6006d12e68e9c0527fa70b0e31919cfbb2af0ec5ad29a"}}, {0x4, &(0x7f0000001280)=@lang_id={0x4, 0x3, 0x820}}, {0xcb, &(0x7f00000012c0)=@string={0xcb, 0x3, "1b173c26ad4daeccf55f870e5873170ec3f9495fa6ee386db5d87db7f5ba08314e2d3837ebc68f69ea65d0f948daed00bf77c4c0b7e3281703bfbcb5afe13d27caf533cd9c1092a0d42f798a15c2d0eaf7a9c1ee21cbdc7b37f3026e50df3d7a1e9ff81c8e4b24d1d89f684fd06986e0f6eeabd0c457bfa7d563a2b3e1c099cf2953e6055d6ded5c190e379b4c27753e44fdf906bdb1305edf18acb2cbe1e58716ca2f7e3e9651f61e132761e62a160478bf80ec5657725e716107450067c078e79588d4b27fe6ca73"}}]}) syz_usb_control_io$hid(r0, 0x0, 0x0) r1 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000b0cd6f10da0b3228fef4000000010902120001000000000904"], 0x0) syz_usb_control_io(r1, 0x0, 0x0) syz_usb_control_io$uac1(r1, 0x0, &(0x7f0000000340)={0x44, &(0x7f0000000140)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r1, 0x0, 0x0) syz_usb_control_io(r1, &(0x7f0000000240)={0x2c, &(0x7f0000000040)={0x20, 0xd, 0x8d, {0x8d, 0x22, "a548076222c5400ba28a585e57c044a4c27065996aa16d45ac86eab5ad7e6648eb751f33644a2849c1873377191bbdad0c8fb38eb6684a210544297074eb7bc12bde205a43aca1a78810284046b05c4bfe0083d66abd58f493974a5b127d9927450370189bb42121b2955a8374f6ea68709daa3eb3c31e126b76511cc8b81c720996dddef4ff69e2d25a37"}}, &(0x7f0000000100)={0x0, 0x3, 0x72, @string={0x72, 0x3, "c454a8c004993c096c480907702d9cec8d56911ad400195229f5123c493ac37c51c135e450a7536ae27902757bd620c0b76d32feee877e166100ea3fee2a3c7d358ec58aac1ec88895a9b6462c7d1d975190725e595f0946ce938a6edf27d5ed8e7ebd2ad074f1c04af95a2975cbcf58"}}, &(0x7f0000000180)={0x0, 0xf, 0xf, {0x5, 0xf, 0xf, 0x1, [@ss_cap={0xa, 0x10, 0x3, 0x0, 0x2, 0x9d, 0x5, 0x3}]}}, &(0x7f00000001c0)={0x20, 0x29, 0xf, {0xf, 0x29, 0x4, 0x9, 0x66, 0xb2, "8a61dfdc", "8d54157a"}}, &(0x7f0000000200)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x83, 0x80, 0x7, 0x80, 0x1f, 0x7, 0x1ff}}}, &(0x7f0000000800)={0x84, &(0x7f0000000280)={0x20, 0xa, 0xde, "8775e6de11a08eed2ce6e40af7256349334933d49f75b91dc9fe62eedb9e861f76041510218b7d28ba1c997604cbd759d9ddf165312c7e388f2517643b2a59f3b22ca838cfb5a2f97f187dc46b0cee6da5d8d0b2d620b600a5d10aab998c2d50fe8713b0f30a3ca7631e45a6adf8501aa03c8d8a03753f61d3b2da08ace58faa8fcd0d35ef720983a8bf818480b094dd7fd30c4a3b5ccebed9d5cb81a5f149238cf8a9a885ef9cc5297f218222b74896f4bc40f68eff73aa7373e2c5c92a9d29096183a61a0462b08a8727800788cfcc52fe81f0987421d8662b354debb7"}, &(0x7f0000000380)={0x0, 0xa, 0x1, 0x1}, &(0x7f00000003c0)={0x0, 0x8, 0x1, 0x73}, &(0x7f0000000400)={0x20, 0x0, 0x4, {0x3, 0x2}}, &(0x7f0000000440)={0x20, 0x0, 0x8, {0x2, 0x4, [0xffff]}}, &(0x7f0000000480)={0x40, 0x7, 0x2}, &(0x7f0000000500)={0x40, 0x9, 0x1, 0x33}, &(0x7f0000000540)={0x40, 0xb, 0x2, "b422"}, &(0x7f0000000580)={0x40, 0xf, 0x2}, &(0x7f00000005c0)={0x40, 0x13, 0x6, @remote}, &(0x7f0000000600)={0x40, 0x17, 0x6, @remote}, &(0x7f0000000640)={0x40, 0x19, 0x2, "c2d9"}, &(0x7f0000000680)={0x40, 0x1a, 0x2}, &(0x7f00000006c0)={0x40, 0x1c, 0x1, 0xd9}, &(0x7f0000000700)={0x40, 0x1e, 0x1, 0xeb}, &(0x7f0000000740)={0x40, 0x21, 0x1, 0x80}}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000004c0)={0x2c, &(0x7f0000000040)=ANY=[], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$cdc_ecm(r0, 0x0, &(0x7f00000010c0)={0x1c, &(0x7f0000000040)=ANY=[], 0x0, 0x0}) r2 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000b0cd6f10da0b3228fef4000000010902120001000000000904"], 0x0) syz_usb_control_io(r2, 0x0, 0x0) syz_usb_control_io$uac1(r2, 0x0, &(0x7f0000000340)={0x44, &(0x7f0000000140)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r2, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r2, &(0x7f0000000940)={0x14, &(0x7f00000008c0)={0x20, 0x4a4556c9b127bf2f, 0x56, {0x56, 0x24, "283adffaaafb734a09f37bdfb7b20375fd5b172d89d45e555ea17387be7980a44378ec2e97ef938c7ef23696a14ea2f6f3cb27e2fbb48807431a6faa1aa8833dead8eea982bbf1966c107789bbbf16dd7f123c27"}}, &(0x7f0000000780)={0x0, 0x3, 0x1a, {0x1a}}}, &(0x7f0000000b80)={0x44, &(0x7f0000000980)={0x0, 0x5, 0x3, "26ff9a"}, &(0x7f00000009c0)={0x0, 0xa, 0x1, 0xe3}, &(0x7f0000000a00)={0x0, 0x8, 0x1, 0x1}, &(0x7f0000000a40)={0x20, 0x80, 0x1c, {0x8, 0x4, 0x5, 0xd7, 0x5, 0x3ff, 0x4, 0x9, 0x20, 0xf801, 0x4, 0x9}}, &(0x7f0000000a80)={0x20, 0x85, 0x4, 0x1f}, &(0x7f0000000ac0)={0x20, 0x83, 0x2}, &(0x7f0000000b00)={0x20, 0x87, 0x2}, &(0x7f0000000b40)={0x20, 0x89, 0x2, 0x1}}) [ 595.902328][T10813] gspca_nw80x: reg_w err -71 [ 595.907059][T10813] nw80x: probe of 3-1:0.0 failed with error -71 [ 595.936348][T10813] usb 3-1: USB disconnect, device number 8 [ 595.943612][T12053] usb 1-1: new high-speed USB device number 75 using dummy_hcd [ 596.131588][T12053] usb 1-1: device descriptor read/8, error -61 [ 596.221696][T12054] usb 4-1: new high-speed USB device number 99 using dummy_hcd [ 596.251584][T12053] usb usb1-port1: unable to enumerate USB device [ 596.351484][T10813] usb 3-1: new high-speed USB device number 9 using dummy_hcd 22:45:49 executing program 5: syz_usb_connect(0x0, 0x3e, 0x0, 0x0) [ 596.471547][T12054] usb 4-1: Using ep0 maxpacket: 16 [ 596.611630][T12054] usb 4-1: config 0 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 0, changing to 7 [ 596.624424][T12054] usb 4-1: config 0 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 596.634483][T12054] usb 4-1: New USB device found, idVendor=0499, idProduct=1027, bcdDevice=19.50 [ 596.643631][T12054] usb 4-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 596.660716][T12054] usb 4-1: config 0 descriptor?? 22:45:50 executing program 1: r0 = gettid() ioctl$int_in(0xffffffffffffffff, 0x5452, &(0x7f0000b28000)=0x3e) fcntl$setsig(0xffffffffffffffff, 0xa, 0x12) r1 = signalfd4(0xffffffffffffffff, &(0x7f0000006000)={[0xfffffffffffffffc]}, 0x8, 0x0) r2 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(0xffffffffffffffff, 0x1, r1, &(0x7f0000000040)={0x20000005}) poll(&(0x7f0000000100)=[{r2}, {}], 0x2, 0x603) dup2(0xffffffffffffffff, 0xffffffffffffffff) fcntl$setown(0xffffffffffffffff, 0x8, 0x0) tkill(0x0, 0x14) getpgid(0x0) socketpair$unix(0x1, 0x0, 0x0, 0x0) r3 = signalfd4(0xffffffffffffffff, &(0x7f0000006000), 0x8, 0x0) sendfile(r3, r3, &(0x7f0000000000)=0x9, 0x8) r4 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r4, 0x1, r3, &(0x7f00000000c0)) sendmmsg$unix(r3, &(0x7f0000000000), 0x0, 0x24000010) poll(0x0, 0x0, 0x4) dup2(0xffffffffffffffff, 0xffffffffffffffff) tkill(r0, 0x14) [ 596.715505][T12054] snd-usb-audio: probe of 4-1:0.0 failed with error -12 [ 596.743755][T10813] usb 3-1: New USB device found, idVendor=06a5, idProduct=d800, bcdDevice=87.12 [ 596.753089][T10813] usb 3-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 596.774281][T10813] usb 3-1: config 0 descriptor?? [ 596.813312][T10813] gspca_main: nw80x-2.14.0 probing 06a5:d800 22:45:50 executing program 0: r0 = socket(0x1e, 0x1, 0x0) sendmsg(r0, &(0x7f0000000000)={&(0x7f0000000080)=@generic={0x10000000001e, "02ff0100000001000000000000000ae77f5bf86c48020002000000f1ffffff009a480075e6a50000de010300000000e4ff064b3f013a000000080200000000000000ac50d5fe32c4000000007fffffff6a008356edb9a6341c1fd45624281e00070ecddd0206c39750c40000fd00000908000000000b0000db000004da36"}, 0x80, 0x0}, 0x0) recvmmsg(r0, &(0x7f0000001f40)=[{{0x0, 0x0, &(0x7f0000000300)=[{&(0x7f0000000180)=""/105, 0x69}], 0x1, &(0x7f00000003c0)=""/250, 0xfa}}], 0x1, 0x0, 0x0) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r1, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r1, 0x0) sendmsg(r1, &(0x7f0000001d80)={&(0x7f0000000ac0)=@tipc=@name={0x1e, 0x2, 0x2, {{0x1, 0x1}, 0x4}}, 0x80, &(0x7f0000001d00)=[{&(0x7f0000000b40)="07e6b92408c0ad0c9c58ed97599b556b3e0f98506b9bbfb08fa2799500cd25cc9eab37a5fb7e8e8a5a1a3d4221b78b87015fd4ebf2c2b9c2091acab24731654362875d24833d1a27ed27ecb1ecc75e3305e89da767f95e7f7375b7241c5686c926a519633fe76ee395859d74222f8d5a9066cc47fd5b72e6b52ce523ccdaf58276f16311aebaafad57376c531dae9c65ec4d5ddd3fa0d0d85de7d0a6899842d1fd8435f615702da826542696637706c0a7c5bcdfbd1811dc659078e78542a1ceacb17f0f9c0cf1ab641de3d0be7f047314593797ada415e3d9b79fefafb2a23c5dd9b83a04d8e835bb7c9ce9c7", 0xed}, {&(0x7f0000000c40)="d1652244ddb93ca5b7cea5", 0xb}, {&(0x7f0000000c80)="2c310a11a16c062f580b311885e05e411c0d4983ec379f2a2dbb3129dfaea0ef68f541949a4c10578a837ac5df429174a39dcaf107d75f4e", 0x38}, {&(0x7f0000000cc0)="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", 0x1000}, {&(0x7f0000001cc0)="9b7a94092f508bb9788f9f33753de1440ea7d93c69e509a266390d16616ca8a437d71fc0854c4b75e3d0d91b5e9a85acd3f1513d0ff359d0bd3695dcbefd22", 0x3f}], 0x5, &(0x7f0000001f80)=[{0x60, 0x10e, 0x1, "487a90e1f7c9fbb7730cf2f5e9b9bcacf226fcb83a7d4fb5b750b56187d17c8f4ba9bc1baf80abcf97c4333f5027d77d0b1f0949f7b6cfeb544d411f0fc54843991a9342ca404c1ed85f26"}, {0xa0, 0x10a, 0x0, "ce65c700beebdbdf122b28552b7eb1ac2397fb4f11919022594e9e7516ac7d8460690a807f7f68e7484f3c8b7e82aaadac39add7a3e776a79e4add69baeae341473bb9c49a04df724f8ec50e280f733f2ff582946d140507edc9b0273b895f68d3fe1c5ab7702c222df5683852b1d649531cc16d223a98c8f29696d7c8db80a046fec18dfc6d4574d3517fa8a58e07cd"}, {0x48, 0x104, 0x562c, "fec8b44ce3cc35480f31b8334a3f6e203277f9eb8ebba904fbce78107b96ef51f6d4aab309ba44b6ac68bf88f667b61cf14a68aa"}, {0x68, 0x88, 0x4, "e0aebef24bdbfb589f2f387071a167a37894e6a0741f3ad88c4689505f4a8da05c18146d21684df7a2e77043645bf3c041eea9ca07461a1faac7be60bc3b31679ffbc9e91e159f4946efaaf27807103913274bba"}, {0x98, 0x29, 0x80000000, "fe2996ad7d0b5f93e63aa6491ff54557f92e31cea7c6f1d338ecea600d0021ec70e33ddb5e389769df1011efeff7819177c55013f2b7aefcc8a7fabc7819af22f0ca4bd3984ccb5c97d2a9ea79767d53227045440d347a96e0a527b1c5dc0f08c41253702d7c4ab9e5e44caaeb5dc15cf46ff69090e0d67a51722482a23b8189dbe9b43ba64a"}], 0x248}, 0x800) write$binfmt_elf32(r0, &(0x7f00000001c0)=ANY=[], 0xfffffd6d) syz_usb_connect(0x6, 0x3e, &(0x7f0000000040)=ANY=[@ANYRESHEX=0x0, @ANYRES32=r0], 0x0) r2 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000b0cd6f10da0b3228fef4000000010902120001000000000904"], 0x0) syz_usb_control_io(r2, 0x0, 0x0) syz_usb_control_io$uac1(r2, 0x0, &(0x7f0000000340)={0x44, &(0x7f0000000140)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r2, 0x0, 0x0) syz_usb_control_io(r2, &(0x7f0000000380)={0x2c, &(0x7f00000004c0)={0x40, 0x21, 0xfc, {0xfc, 0xc, "5e92f8a59775f3c5074e1df8ff775f518790f2d24e69e4dc34eb31ae281d86ba5193a57376ec657ee216a26762ff55b8d4bf93092634bd290a33bbe617033951c2205f2ee7883e44e7aaf4b9c1c72310675b253469ff0f09395d1d0484d01c11a543d212d9b630c45336b182627acf95fef374f51a884d64ef613516f5e0ef049c74d359c0410c7258618e900c5b22eef14af0529326e85e23b79454514dd7170cb2d706f683aea24338db1def4cfc028761fd39f2f219bed051dee1e95ee338dbffdba359d02082daed3d2a418781637314d70f0d7c78fcd6529cd5898d6bfa4b896d723b2e8c9e255f24930377d9df853f77bc46f1351e3043"}}, &(0x7f0000000200)={0x0, 0x3, 0xf6, @string={0xf6, 0x3, "54e2905d3e3636ac1692ae2f551f9659b5b1431734da85a51ca7df929e9b8b6d271a62ab84e54673aa5f8c9c468ba128544f3090d0fadd9881c5dd7d1059fe698ce700ad2a253b394bdfbd128e7b71f00fab1035b70316677bb34014ffc8b0604e1323ffcb9eae0551c340c71fe557a97589cc0ff737b9b9e503d5a7c423bc824f7bd2f3c070f30b1631cd26e8023a29d9d23d92fcf64a705d4aed6b731c22b69a2aaf1c78448b27fbeb3a01ecc772a6524cb42a406917d937fa68e68b839bb98d42df30ed42fbd8bc4d6e747cf7688e212f923dfe452c0d3adaf6d3f594430d9d1340cdde13cba6961360a70605e790effbbe88"}}, &(0x7f0000000100)={0x0, 0xf, 0x1e, {0x5, 0xf, 0x1e, 0x3, [@wireless={0xb, 0x10, 0x1, 0x2, 0x4, 0xf9, 0x9, 0x1ff, 0x7f}, @wireless={0xb, 0x10, 0x1, 0x8, 0x50, 0xe6, 0x8, 0x401, 0x20}, @ptm_cap={0x3}]}}, &(0x7f0000000140)={0x20, 0x29, 0xf, {0xf, 0x29, 0x18, 0x4, 0x6, 0x6, "fcb418af", "e3913042"}}, &(0x7f0000000340)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x9, 0x0, 0x3f, 0x3, 0x9, 0xf62b, 0xc734}}}, &(0x7f0000000a00)={0x84, &(0x7f0000000600)={0xfca755385a5ae553, 0x5, 0x2c, "560f0fdc19f52d1c164aecb33dec3b8bebf9316c7a85358f57073fa72c3a40331ef650e4867754946159093e"}, &(0x7f0000000640)={0x0, 0xa, 0x1, 0x5}, &(0x7f0000000680)={0x0, 0x8, 0x1, 0x9}, &(0x7f00000006c0)={0x20, 0x0, 0x4, {0x0, 0x1}}, &(0x7f0000000700)={0x20, 0x0, 0x4, {0x20, 0x4}}, &(0x7f0000000740)={0x40, 0x7, 0x2, 0x1f}, &(0x7f0000000780)={0x40, 0x9, 0x1, 0x40}, &(0x7f00000007c0)={0x40, 0xb, 0x2, "0493"}, &(0x7f0000000800)={0x40, 0xf, 0x2, 0x5}, &(0x7f0000000840)={0x40, 0x13, 0x6, @random="dcfe9da1d3c5"}, &(0x7f0000000880)={0x40, 0x17, 0x6}, &(0x7f00000008c0)={0x40, 0x19, 0x2, '<\r'}, &(0x7f0000000900)={0x40, 0x1a, 0x2, 0x5}, &(0x7f0000000940)={0x40, 0x1c, 0x1}, &(0x7f0000000980)={0x40, 0x1e, 0x1, 0xc8}, &(0x7f00000009c0)={0x40, 0x21, 0x1, 0x2}}) socket(0x1e, 0x1, 0x0) (async) sendmsg(r0, &(0x7f0000000000)={&(0x7f0000000080)=@generic={0x10000000001e, "02ff0100000001000000000000000ae77f5bf86c48020002000000f1ffffff009a480075e6a50000de010300000000e4ff064b3f013a000000080200000000000000ac50d5fe32c4000000007fffffff6a008356edb9a6341c1fd45624281e00070ecddd0206c39750c40000fd00000908000000000b0000db000004da36"}, 0x80, 0x0}, 0x0) (async) recvmmsg(r0, &(0x7f0000001f40)=[{{0x0, 0x0, &(0x7f0000000300)=[{&(0x7f0000000180)=""/105, 0x69}], 0x1, &(0x7f00000003c0)=""/250, 0xfa}}], 0x1, 0x0, 0x0) (async) openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) (async) write$binfmt_script(r1, &(0x7f0000000040)=ANY=[], 0xfea7) (async) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r1, 0x0) (async) sendmsg(r1, &(0x7f0000001d80)={&(0x7f0000000ac0)=@tipc=@name={0x1e, 0x2, 0x2, {{0x1, 0x1}, 0x4}}, 0x80, &(0x7f0000001d00)=[{&(0x7f0000000b40)="07e6b92408c0ad0c9c58ed97599b556b3e0f98506b9bbfb08fa2799500cd25cc9eab37a5fb7e8e8a5a1a3d4221b78b87015fd4ebf2c2b9c2091acab24731654362875d24833d1a27ed27ecb1ecc75e3305e89da767f95e7f7375b7241c5686c926a519633fe76ee395859d74222f8d5a9066cc47fd5b72e6b52ce523ccdaf58276f16311aebaafad57376c531dae9c65ec4d5ddd3fa0d0d85de7d0a6899842d1fd8435f615702da826542696637706c0a7c5bcdfbd1811dc659078e78542a1ceacb17f0f9c0cf1ab641de3d0be7f047314593797ada415e3d9b79fefafb2a23c5dd9b83a04d8e835bb7c9ce9c7", 0xed}, {&(0x7f0000000c40)="d1652244ddb93ca5b7cea5", 0xb}, {&(0x7f0000000c80)="2c310a11a16c062f580b311885e05e411c0d4983ec379f2a2dbb3129dfaea0ef68f541949a4c10578a837ac5df429174a39dcaf107d75f4e", 0x38}, {&(0x7f0000000cc0)="a5c5c45041839a38b2ab4e6dd009a6f38eac04880f9cefa810d55e28cbb037a428f9dc6a3a3234b84783a0196a5f448cade0deca98cba5541b44ad5d6ef290b80873324a218194549234e45cc0f9732b860ee87368f65eb434964a4765f650cca8ed579f3b175062a4932f0b45cc26a1aa63c9c9ab4ea79116ba6b588bbd5f6feb8492ff1634afebf64b0350d8d3207ce296c6991b163fb258d671e5f4d3cdc32467405a5dcdfc24b7498ffdd0b42c70a94905c1d39e09e340708d0b35a4d84bf11d1c98d2b795b208343749160ee25135ce4fa04f77c433ad6134a1eb0d614a0e222bad7fe09f77c2486e58811a4cb663433afa81b6d82e22c6f821afcdca6df8048d30c2a33e3b7c0c7a93f96a223f9172b3588f0bc59088b750c14fc8eadebf1857f4f9fca4d66135dd1fd5d84c8f91bf5377bfa671445098ef3cd81aefe60542e0acf06569508cc0402cecf94dabf64b95ead5a982f4297d27a71683028df538561ad9ac58e611591988628ce477b859d91efe6f1c39ff4bfb2969c5409b58ce80204f75744dab7afa53c66e53e40d60a6c18d71c416b83d495ec2be884c620562a08f9e8c311569842c94a22b05307885eff6c2b94a81958830cda912144050d29d04a49c8d183785fe39decb2d728781949f935f5a6ee8f14f5af27373ca1d5391421e2376b2bcfe419297727e2ddfe1abb2f3f5f9af59f6136e64f3035653f3ed9dbd13b1e65ccad109e588470ae1cc5f0bcd74a45ec0e933d09f22f0e429ddec84c116d631f5bd7f1a5af90364a0769910074627c299d5084d900a766f406043e0b5e705de9ca946c65b95b4c647d4554f8e2f5a58631f8eb4372ff271ba9cd76d70e2349f77eaf8b0bc76903af9c165b6c85469bb7081e53899300c7195c41451322764bca0656588ad51ee7b369818ebf5c9909158d8694557275c583faac84222c6564a9c9a095736ea0f5b4a79cb97ee52c1c75fb1ea22e56fd4f28717c00647255ddeb1a0cefdf34abc780a197eecab36b348848f10bc984db6b2048797c84e7f55a67138c9237b6f550990b66fa781d07b4c24a2f214605b96e66d393f78ae17ac423f56c6c79bd59d4c5b14c68ca6a054217468ff75e2307a64277a9e17a07f7dd896090041b2f157bf33ca2c6449f4c4386697a98d7ba508258f4df679af7e087030f1562299476dbaaa3ae1f9b9e30acbd7be581390c98db9537896f98dcaab67d2f719f9d776c16525132be332006aebef3939c09b9b36a891edba625a9eb69e998f57bd8429c1ccc50d83bac27777fcabdc3d7f779456305ce2897e55465550d185c46293031ea8ee2a8071c516f0d37244b18c5ac3df47b1655277f0d5641ae0e71476075d282dcca61530238025a9d9da09933e8ea38af82a69f360f9e22e43d7567f107d5a1758c615098d643793f0d0fb88acc7d25b6c31460e34b3e5e5d150369440658c0e6c5d300132f89942cb6f246fd9af16ed1ff9186b2834b98ba673a1de400fa301e5bb193277fbee66cae564f3b526bb7412c40c4a87bfa78136a72a41fff9d2e7073bffe96ea92e62664360bb159d9dc0ad98d79f0d6ac96796a8c73e9cd7d36f85b283646d32286c5006c7b81bc75aeb421298edf9093260a96f5394b99effd61f2afec1a982604f73a1dce8c7fb99022c08ed39a2c85514a6f2a299142c5a9e05b23217adaf9eb9706039fb928575d844c597f053fab568be6af46d03eafdd909171b1bcb5991d49cc620aea613cebdf2e0f84857873cc50e3e63b7e52c0ada2311165fbdf18a3a06ac1daea76cd69ac2200837d0e4afd42efa283172bb231dd537c93460f57a4597bb731c03145814262d6377278fd64be29a6c17b9952720079ee5c3a2dc7c3d1bb72f3a2bf7c1a8897d8467b2cca2609e9409df630b2f4b2b826c8e1b030bcc2329bc8a9c95e0402146b4ad011beb6eb92c0bfb91b3eaf9d474247e40618c3ecf961da96e163cfbe924ca24e4eb0bbe7651487aa973878eb56f76e1e1be4298f853fcce39c1c48f2415a3ae40b2f29141cac4763035b5433c0e01a71cac942cd2ff053363a2e5ae169babc0e1591771b18959107154ea9aec70a8caf1bee6a5a1f72a8f093a938fc7c47a76b981f72a64f483a4d868df93ca759bbabfce84e8a14cbedb4c3c5c67bcac8f6ba513415cbfcb0fb0b1c514c5c44292ce4dc0b82118df8782f55203d58228aeb909c5105b2cab317a792b4224ba3b5d86f84c39af26ec2daaa65c20204b709246bc840abf1572373fb8860e1a6e9e8712cce96092309a1cb5a735669b7d7190a04100e9dc1c5abdfcf028a34c9d5148e6a69b5db7dddd9ce4aaa7a00af2ede04db3f9ed33b171745eb9121822b602564120d251d5a4db44fda064e79952c2b9fda1883f79402294fbc80ba8cbca24fe12576f1458d5a185da13cdf562dc14ad0e12e6132ad5993da20b37116a619a0019f20ac56877794274d8cc3f2dac845da2d4231f8f14f48ce42e0810b2bac68623f91ad06acc41dd4ba0716a0c9bb8af3e8315e32bda44e6d307a7da6219efc43d1b44ccb1bdf9c6a135269d598a5c33e5c80328778c0d4d8a6df22b0cec9e4379129a9aad214c5ad88e48e83405b384b40e351148ee89a413d7b136443262d04f3747bf116c3220deab8f21d03b77d557f77cbe8e8c58cb8e71cb523cd246a6f096bc4580989076c111483cca7a00c96f757ce640514af5557ad2c8a7a8d7b182292f3708ebe0aca0557bbaa1a03b6a080ec232f069aebba709d0b0131cbe50d401ad5462a2fcac3090a84cca117ed2002d43ddce85e09d168dfec6cad70093ee709e24e3c0f343acb84832fa8e2bbee404fdc83cb9c0f3ece7605af49c22663f6017bc17e310c3c5a5f29835c85e74c7d5ebab113490b80d8055b5a71b9d5fcbea1742b52ae0774975ed55f1fec5afa59632b57d3b160a38f520c18ac9332724a3afa8c96eb79d56c40182f3d16615fcfa09c5fea2a5f132416ddbb3aaa06e4483931db395ac64f04f3318f3f1c2cd756279a47fdc7be3d2a3c054e513cd452318af9dd76e13dbc0e074a0d4648100b0b6a718722cc90befed546cf706d787c1bbaf2c0c2c7ff59cb29bf313183a1e6d0633ef4c7a222064266396283f284d36f26e55638a866363dd6f6c2e85ac5f92d5ea8a71bc60f99c5934f5e91b5a64789b8bfabbab21c448be2d08b9b7b74d46e75dd2d6543551fdbed16be8fde56cdeb756d36d17febe9451cff9adb6562007c7a090fd3a770b8bd14ebd6259c770cc36ea4480b3ba6bcbdb76b891bcc9ac71b2fd41174f678283808658b68bfedea2af5c9a2df67e8be305354d6d0e27d5fc48c33ebdbefa9bcb5fd5b43cb9578a0e16cb7491407ff092a4ac7a776b59def15966bef8f77b7f6c972a9631e3b5ffe9ba2f2b6178a31d19f94119df4246b20e2740262ae5bb9e48b30c818c72a7fa124b50543abf86a29937d68872aa8a8c96f6cdf71b96d092cc4de9df2675e88a55b5c6445c7f9a01ccf44734d19f158044b2b0fde1bc5614d42e2fab3f752c9df54e1a6b220233220be595fe70eae5c9daf582cb4c06a1773f1a86d5b190683977761e66bdb600d5a67879720c2367ee7aa1ea463d1bd3a6c76502e2156b072a21b41c0233f9e6a79c341d18cfe12bc79e0f14b8c96050d1f8caa1408f9250b71d10d6e0020a92133acbb281e7e14ae3e39f789954edea6a73435f29ea907466361305da21cd81c040bdf70b7c9a2e1e60fac2dda922f88d71e75a549515cb25c9089c1ce8d86fc5f55aec1c94f6935b961c6fad667883db1339bacbe3126a6a56bdd00a95e182126349350052f387a3f51c104ff7dfb1b9e3071389331219ce102914808dc8fbc0e20065ddd1e8435eedce594521d7626da48c482d479ee53998f450886cf59716f549ce0310c075dc539c678d98ec0d220f5f518d044772a7858a228ef7cbfc3d29da0e99edecafd640ab666be0dfd765fc0a0fa2b234719de0e9f64dd0c37f368337d23068c2cb99000c3f8ebf94f38301d02a06342946a4632909d064ea25988f25d25af847e0969e7ae2ac35375b90d20c379b7ecc4d299b3973e6b153ab7fbc423d0ce040b07c2ed6a4021499d90c918f7b5a64430bfa554a3e4290638dc468e79fec105ec13dd7c74ab36b4f5af3457cb2a2a892d5350af3b69ca3e50366e0488728da0ae866b319fc8dc8907c4d7138fd72b9a97d95bac091a29076d0435e90818bf58df10a2877e70c78084768580d9697c186e82c181cf9bbbdc4f57b66e6a527708d51f460d91b7a6d2793dfca02ca5b75b7bb8827a4f1a14c1f8ea75ae5e8c4388fd4089d52823d25c85ec88b13ba340fd676048c06efc2ea7be0a359433fe041c7b4b55091e6d80a27d85464b2e311a6945fc0e81a786190f2b6fe6896cf9af119f32199e5f456d6056165b315fb83eb6181570dbde09f63350d02094b7e43bfe6bac8ca8580b00284f972e4be255378e86bd2314bd9d7fcfb417541aea84b3c900aaa09690207a3e134868e0a282f7e6015df4daea84dbefe6395bef8fd9984f275b8d5838c0b8a8e5076aa407272b84f711f79b8f41e5a4951bd0b6943790de7c8de907c9d3ac58804422ab8e417a0c0b3bb13c493d0e5e8cd3dc2442a2a8e54e17f4888c96033419f08b521b2883adaf8a8b230e3b05cef3af62900021d4efd5bb0a538f4e881fdd872af6d6e1bd7515ee431b2d30f05208941c9f1f18b1d533563d3e64b119a4ce98530a271867e27014da9a01a52cda13ece9d32b6f3d21b70b25d4fa8104d9e15c55dba24d4e263c078abbc73ec21d3438b3fc2afe96f9a38c1027328c17f3a9b090d18ed317730839bb1c1d3507381ba7daab6ef8d1543826bdf70d9996bef7f42466bb5dc7582346ed3133a66f2c97ac4ab616520765ef7b45a2f5bdd841a8e35a1f5937c66d11c11f248693d5e350d0cb9e74c53b269cb9ff51dbb09b73356e24d87c3a8bd19e11d28cebf2e9e534d6c29caa5f57562fd8a825d78fe2040db13b975e7d2bd6389ec72ff246d4537a780513c4a8d932240abc2aebdab41d83dac2f2773bcc6cc93157136da845f5141370231f963a9c95b865b19bf4c174d88e14e6088b21206804d80cda109d58fc74f6cd5c9e114d01b6126c41b877a618108d0678d2caa8da498574ff1f42fdb466faa457e5c64b66b5ecb2df999b57f9bce9ffaf7bfbfe69fc4c8f235123c5fdef44c4cf82ff92ce6d32d9799dd774cd61e2f8dc8952274046a8657d16a8c21ede8d91505a6f668a4ce53c869116c542e2dd9a7e55182f7b604713acfbe13911c978120395362219ae69672f86cc157a8f2cade3bc53b1d3cfdeacff5b705f9a99790f5d20038c8c3eb872bd6620fd3d9db7752fa23568b414b392baa36fc83d6990bb70e6bc5331c5e70fe0baffb95ad52c0c3ae03dc0441ba64b7cd18f59296491573a180a38faa23920e71a00c64936cefe7489a245c846f4befeaa434e58a4a26798a1606f485c9d6492dd54a75987c711e5e03bb0c78ce9209757777b458aa09d384dc29063e49d04b3872f2084ef6dd196156adc29b9d109f3cffb93ec165c091861412f44a72aa1403d05e25e50e96bed27757fa798f7447ea667eb61a7351e6809bb1922762ba2e681f09a1e74aa3fdeae70ab7e14f8ac7b48ae4b0df6ef0899055ebbab9b8779afc4ef9af9b2aaf6e51b083e6bd4ba86ac36cee7cd552a9ba6c5009bd891b6dc46960a6843bef0246d247836c3696fec192c82b8997853ddc7371", 0x1000}, {&(0x7f0000001cc0)="9b7a94092f508bb9788f9f33753de1440ea7d93c69e509a266390d16616ca8a437d71fc0854c4b75e3d0d91b5e9a85acd3f1513d0ff359d0bd3695dcbefd22", 0x3f}], 0x5, &(0x7f0000001f80)=[{0x60, 0x10e, 0x1, "487a90e1f7c9fbb7730cf2f5e9b9bcacf226fcb83a7d4fb5b750b56187d17c8f4ba9bc1baf80abcf97c4333f5027d77d0b1f0949f7b6cfeb544d411f0fc54843991a9342ca404c1ed85f26"}, {0xa0, 0x10a, 0x0, "ce65c700beebdbdf122b28552b7eb1ac2397fb4f11919022594e9e7516ac7d8460690a807f7f68e7484f3c8b7e82aaadac39add7a3e776a79e4add69baeae341473bb9c49a04df724f8ec50e280f733f2ff582946d140507edc9b0273b895f68d3fe1c5ab7702c222df5683852b1d649531cc16d223a98c8f29696d7c8db80a046fec18dfc6d4574d3517fa8a58e07cd"}, {0x48, 0x104, 0x562c, "fec8b44ce3cc35480f31b8334a3f6e203277f9eb8ebba904fbce78107b96ef51f6d4aab309ba44b6ac68bf88f667b61cf14a68aa"}, {0x68, 0x88, 0x4, "e0aebef24bdbfb589f2f387071a167a37894e6a0741f3ad88c4689505f4a8da05c18146d21684df7a2e77043645bf3c041eea9ca07461a1faac7be60bc3b31679ffbc9e91e159f4946efaaf27807103913274bba"}, {0x98, 0x29, 0x80000000, "fe2996ad7d0b5f93e63aa6491ff54557f92e31cea7c6f1d338ecea600d0021ec70e33ddb5e389769df1011efeff7819177c55013f2b7aefcc8a7fabc7819af22f0ca4bd3984ccb5c97d2a9ea79767d53227045440d347a96e0a527b1c5dc0f08c41253702d7c4ab9e5e44caaeb5dc15cf46ff69090e0d67a51722482a23b8189dbe9b43ba64a"}], 0x248}, 0x800) (async) write$binfmt_elf32(r0, &(0x7f00000001c0)=ANY=[], 0xfffffd6d) (async) syz_usb_connect(0x6, 0x3e, &(0x7f0000000040)=ANY=[@ANYRESHEX=0x0, @ANYRES32=r0], 0x0) (async) syz_usb_connect(0x0, 0x24, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000b0cd6f10da0b3228fef4000000010902120001000000000904"], 0x0) (async) syz_usb_control_io(r2, 0x0, 0x0) (async) syz_usb_control_io$uac1(r2, 0x0, &(0x7f0000000340)={0x44, &(0x7f0000000140)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) (async) syz_usb_control_io$hid(r2, 0x0, 0x0) (async) syz_usb_control_io(r2, &(0x7f0000000380)={0x2c, &(0x7f00000004c0)={0x40, 0x21, 0xfc, {0xfc, 0xc, "5e92f8a59775f3c5074e1df8ff775f518790f2d24e69e4dc34eb31ae281d86ba5193a57376ec657ee216a26762ff55b8d4bf93092634bd290a33bbe617033951c2205f2ee7883e44e7aaf4b9c1c72310675b253469ff0f09395d1d0484d01c11a543d212d9b630c45336b182627acf95fef374f51a884d64ef613516f5e0ef049c74d359c0410c7258618e900c5b22eef14af0529326e85e23b79454514dd7170cb2d706f683aea24338db1def4cfc028761fd39f2f219bed051dee1e95ee338dbffdba359d02082daed3d2a418781637314d70f0d7c78fcd6529cd5898d6bfa4b896d723b2e8c9e255f24930377d9df853f77bc46f1351e3043"}}, &(0x7f0000000200)={0x0, 0x3, 0xf6, @string={0xf6, 0x3, "54e2905d3e3636ac1692ae2f551f9659b5b1431734da85a51ca7df929e9b8b6d271a62ab84e54673aa5f8c9c468ba128544f3090d0fadd9881c5dd7d1059fe698ce700ad2a253b394bdfbd128e7b71f00fab1035b70316677bb34014ffc8b0604e1323ffcb9eae0551c340c71fe557a97589cc0ff737b9b9e503d5a7c423bc824f7bd2f3c070f30b1631cd26e8023a29d9d23d92fcf64a705d4aed6b731c22b69a2aaf1c78448b27fbeb3a01ecc772a6524cb42a406917d937fa68e68b839bb98d42df30ed42fbd8bc4d6e747cf7688e212f923dfe452c0d3adaf6d3f594430d9d1340cdde13cba6961360a70605e790effbbe88"}}, &(0x7f0000000100)={0x0, 0xf, 0x1e, {0x5, 0xf, 0x1e, 0x3, [@wireless={0xb, 0x10, 0x1, 0x2, 0x4, 0xf9, 0x9, 0x1ff, 0x7f}, @wireless={0xb, 0x10, 0x1, 0x8, 0x50, 0xe6, 0x8, 0x401, 0x20}, @ptm_cap={0x3}]}}, &(0x7f0000000140)={0x20, 0x29, 0xf, {0xf, 0x29, 0x18, 0x4, 0x6, 0x6, "fcb418af", "e3913042"}}, &(0x7f0000000340)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x9, 0x0, 0x3f, 0x3, 0x9, 0xf62b, 0xc734}}}, &(0x7f0000000a00)={0x84, &(0x7f0000000600)={0xfca755385a5ae553, 0x5, 0x2c, "560f0fdc19f52d1c164aecb33dec3b8bebf9316c7a85358f57073fa72c3a40331ef650e4867754946159093e"}, &(0x7f0000000640)={0x0, 0xa, 0x1, 0x5}, &(0x7f0000000680)={0x0, 0x8, 0x1, 0x9}, &(0x7f00000006c0)={0x20, 0x0, 0x4, {0x0, 0x1}}, &(0x7f0000000700)={0x20, 0x0, 0x4, {0x20, 0x4}}, &(0x7f0000000740)={0x40, 0x7, 0x2, 0x1f}, &(0x7f0000000780)={0x40, 0x9, 0x1, 0x40}, &(0x7f00000007c0)={0x40, 0xb, 0x2, "0493"}, &(0x7f0000000800)={0x40, 0xf, 0x2, 0x5}, &(0x7f0000000840)={0x40, 0x13, 0x6, @random="dcfe9da1d3c5"}, &(0x7f0000000880)={0x40, 0x17, 0x6}, &(0x7f00000008c0)={0x40, 0x19, 0x2, '<\r'}, &(0x7f0000000900)={0x40, 0x1a, 0x2, 0x5}, &(0x7f0000000940)={0x40, 0x1c, 0x1}, &(0x7f0000000980)={0x40, 0x1e, 0x1, 0xc8}, &(0x7f00000009c0)={0x40, 0x21, 0x1, 0x2}}) (async) 22:45:50 executing program 5: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[], 0x0) [ 596.917702][T10921] usb 4-1: USB disconnect, device number 99 [ 597.281542][T12054] usb 1-1: new high-speed USB device number 76 using dummy_hcd [ 597.289240][ T3675] usb 6-1: new high-speed USB device number 73 using dummy_hcd 22:45:50 executing program 3: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000dcaaf0109904271050190000000109022c0001000000000904000001e30824000836060100000000092402020000000000090581db"], 0x0) [ 597.443005][T15222] UDC core: couldn't find an available UDC or it's busy: -16 [ 597.450419][T15222] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 597.501573][T12054] usb 1-1: device descriptor read/64, error 18 [ 597.507851][ T3675] usb 6-1: device descriptor read/64, error 18 [ 597.686314][T15222] UDC core: couldn't find an available UDC or it's busy: -16 [ 597.693928][T15222] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 597.741613][T12053] usb 4-1: new high-speed USB device number 100 using dummy_hcd [ 597.801777][T12054] usb 1-1: new high-speed USB device number 77 using dummy_hcd [ 597.809585][ T3675] usb 6-1: new high-speed USB device number 74 using dummy_hcd [ 598.011680][T12054] usb 1-1: device descriptor read/64, error 18 [ 598.017985][ T3675] usb 6-1: device descriptor read/64, error 18 [ 598.041580][T12053] usb 4-1: Using ep0 maxpacket: 16 [ 598.124497][T15222] UDC core: couldn't find an available UDC or it's busy: -16 [ 598.133517][T15222] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 598.142154][T12054] usb usb1-port1: attempt power cycle [ 598.148971][ T3675] usb usb6-port1: attempt power cycle [ 598.155131][T10813] gspca_nw80x: reg_w err -71 [ 598.161789][T10813] nw80x: probe of 3-1:0.0 failed with error -71 [ 598.171069][T10813] usb 3-1: USB disconnect, device number 9 [ 598.178060][T12053] usb 4-1: config 0 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 0, changing to 7 [ 598.189315][T12053] usb 4-1: config 0 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 598.201181][T12053] usb 4-1: New USB device found, idVendor=0499, idProduct=1027, bcdDevice=19.50 [ 598.212100][T12053] usb 4-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 598.234430][T12053] usb 4-1: config 0 descriptor?? [ 598.286488][T12053] snd-usb-audio: probe of 4-1:0.0 failed with error -12 [ 598.487053][T12053] usb 4-1: USB disconnect, device number 100 [ 598.591604][T12054] usb 1-1: new high-speed USB device number 78 using dummy_hcd [ 598.599361][ T3675] usb 6-1: new high-speed USB device number 75 using dummy_hcd 22:45:52 executing program 2: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x21, 0x5d, 0x4b, 0x40, 0x6a5, 0xd800, 0x8712, 0x0, 0x0, 0x0, 0x1, [{{0x9, 0x2, 0x12, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0xf9, 0x30, 0x5d}}]}}]}}, 0x0) syz_usb_control_io$printer(r0, 0x0, 0x0) (async) syz_usb_control_io$printer(r0, 0x0, &(0x7f00000007c0)={0x34, &(0x7f0000000080)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_connect(0x1, 0x432, &(0x7f0000000c00)={{0x12, 0x1, 0x40, 0x79, 0xee, 0x6f, 0x40, 0x12d1, 0xe2f, 0x79bf, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x420, 0x2, 0x5c, 0x1f, 0x0, 0x80, [{{0x9, 0x4, 0x9, 0x3, 0x7, 0xff, 0x2, 0x75, 0x6, [@uac_as={[@format_type_i_continuous={0xc, 0x24, 0x2, 0x1, 0xfb, 0x3, 0x80, 0x7, "85aa", "90dd"}, @format_type_i_discrete={0xd, 0x24, 0x2, 0x1, 0x9, 0x2, 0xf5, 0xff, "9614165e4a"}, @format_type_ii_discrete={0x11, 0x24, 0x2, 0x2, 0xf2, 0x1000, 0x2, "c14ae6818e5f896f"}, @format_type_ii_discrete={0xf, 0x24, 0x2, 0x2, 0x3, 0x3, 0x9, "001bdcbb37a9"}, @format_type_i_continuous={0xd, 0x24, 0x2, 0x1, 0x8, 0x2, 0x7, 0x81, "70aa", 'aBR'}]}], [{{0x9, 0x5, 0x1, 0x10, 0x400, 0x7, 0x7, 0x40}}, {{0x9, 0x5, 0xa, 0x2, 0x200, 0x90, 0x8, 0x0, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x20, 0xffff}, @uac_iso={0x7, 0x25, 0x1, 0x2, 0x0, 0xafc0}]}}, {{0x9, 0x5, 0xb, 0x0, 0x400, 0xe9, 0x40, 0x7, [@uac_iso={0x7, 0x25, 0x1, 0x82, 0x55, 0x27c}, @generic={0xe0, 0x30, "1c8e1310c2449d6149c1d44c38666713ff54bb73bc74da86b70b12727678f018f76476c70abfa094b533e91b5f3479f6005d3f3c1d1730ae2c661a0913ae2cbf545d1a357274cbf3e8d0eaf4ca65ba65876c0253d6f6c32c291a375ed2675af01a074d06c266d57dbf9fc83f0d60dcda497da1c24f85f0df12525901d96755d4c9b14a22ef849fd59a043c4459bf4c231996635c0b3b11012dac286235dc30d8d7dd4f47c46aea5287fb8753d5903c1497054804b0b1761a4056649a66c6c6eb90acee1df0339451b1db648bda7a286b28cfed860e74d3bcc2561ea82fbe"}]}}, {{0x9, 0x5, 0xf, 0x1, 0x8, 0x7e, 0x1, 0x81}}, {{0x9, 0x5, 0x1, 0x0, 0x3ff, 0xd5, 0x47, 0x81, [@generic={0x9f, 0x4, "300ec4f3874d4e65296e019ba0231407a6c397ced81a489b9da77caae8f1c6adf5573cdbd6c2db4966f18d6caca41b8b762e9ff92a56b1e2f1c41707ad9776aaaa5940407982c5160069093f9e86e8dd9b64236211ce2114cdad9b93642351ae0f6007503e2632e533acaae4b315d33ae932d5b6e1f77c025422b85df5d064774f4eb97a426857be71f7ea5ec6b77cebda95cf74e2e89527ee2a29d655"}, @generic={0x43, 0x7, "131e28109402c2b2fb3d695a4bce553a6b6f888864ebccf9c440822c8f1acc953344f10d87776bceffc38d18e044a24a8d47170dae0b9f344be2b05f6b54c199a4"}]}}, {{0x9, 0x5, 0xb, 0x10, 0x240, 0x20, 0x0, 0x3f}}, {{0x9, 0x5, 0xf, 0xc, 0x28, 0x6, 0x3, 0x0, [@uac_iso={0x7, 0x25, 0x1, 0x7, 0x8, 0x7f}]}}]}}, {{0x9, 0x4, 0xbe, 0xc8, 0x8, 0x61, 0x67, 0x5b, 0x19, [], [{{0x9, 0x5, 0x7, 0x4, 0x20, 0x20, 0x3, 0x2, [@generic={0x83, 0x21, "b1ae8bfe3764881d52689a3498b4f049720137a7cb99a52b10a0c4781d33fd7b73fcd9454de4a27fdc3ef5500a99942abcaba2fa4e2904ff52845a3c432fca1074c9e565c6b2926aaddfa0dbcbf5201d832ba4b354a3e5fc59cba0c2b95bff6bd3ecb2ae45946cf62fb1b05a064908ddd7e8dd68e47f42a703b66caa21a371be41"}, @uac_iso={0x7, 0x25, 0x1, 0x40, 0x7}]}}, {{0x9, 0x5, 0x5, 0x0, 0x8, 0xae, 0x8, 0x2, [@uac_iso={0x7, 0x25, 0x1, 0x3, 0xff, 0xdac}]}}, {{0x9, 0x5, 0x1, 0x4, 0x400, 0x0, 0x1, 0x7f}}, {{0x9, 0x5, 0x3, 0x0, 0x10, 0x4e, 0x5, 0x80}}, {{0x9, 0x5, 0xe, 0x8, 0x200, 0x1f, 0xff, 0x1}}, {{0x9, 0x5, 0x80, 0x0, 0x3ff, 0x1f, 0x20, 0x80, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x3d, 0x800}, @uac_iso={0x7, 0x25, 0x1, 0x2, 0x2, 0x200}]}}, {{0x9, 0x5, 0xf, 0x10, 0x10, 0x0, 0x98, 0x3, [@uac_iso={0x7, 0x25, 0x1, 0x3, 0x7, 0x1}, @generic={0xa1, 0x11, "3d16d3cabde82716ab9d6313a43aa5c0cf20b47d2b5045a3d789f6cefc5a8c515dff0904f68d260ed6f4ec1be68919f05aae1feab7b3355c395dc8bed0d3712451ed9ec1bd411290d276843e13525da75e78a8d4e7376dd26d535bc9e937d34504d7d90a4e9a7bb66a700fe42b78998d2a6db17638c96e0918c587362a6cd71fee570a3b12d356dd5f4cff5a6f1fb0bde6b520c80a2eac937c38370d6beca8"}]}}, {{0x9, 0x5, 0x9, 0x0, 0x3ff, 0x20, 0x3, 0x40, [@generic={0xe, 0x1, "cb8b9f299d753c083621d366"}, @generic={0x5, 0x21, 'e\\-'}]}}]}}]}}]}}, &(0x7f00000013c0)={0xa, &(0x7f0000001040)={0xa, 0x6, 0x250, 0x7, 0x7, 0x80, 0x20}, 0x7a, &(0x7f0000001100)={0x5, 0xf, 0x7a, 0x5, [@ss_cap={0xa, 0x10, 0x3, 0x0, 0x1, 0x7, 0x5, 0x6}, @ss_container_id={0x14, 0x10, 0x4, 0x7, "0088a743c9441a003cb59226fb0e7c31"}, @ext_cap={0x7, 0x10, 0x2, 0x2, 0x8, 0x5, 0x401}, @generic={0x4d, 0x10, 0xa, "ed0ce59224f79bd79d2ad4d76e2891788d5e57daeff6f024e329bec341c30ab2975c1e452fbc5b464b8dd5ada3a45316ba2ae0d50cd1ef25d7073fe9b36bad467f3dac71d73459370482"}, @ptm_cap={0x3}]}, 0x4, [{0x34, &(0x7f0000001080)=@string={0x34, 0x3, "d907aaf51b543873ddbcad9eb3151ee60c610e00036145ed87b0f06bc7f60dcb13a6a111df29bd26f1fd8db4c01d55a00726"}}, {0xcd, &(0x7f0000001180)=@string={0xcd, 0x3, "87024ec1ef93e7c8e1f45bf71eed4e02434c14d1ecae666cce0c1cb5a7f18009c24afbf58cfa494bde35486422c4599cc33140e96abae575aef0f117968b35d39e79b53373fca2b78c6efde39c84d7e17eeac01a7fca6de74bd9665ed6aba0978ab80f17a32709485beda748d4dbe87ceb340097ca9d79f07c7f91111ea421926675ceeb8b0d62679028b1a66245ac1903ee7077aff71e6075e8eaa938ee00f41b7150cd9a36af259920750e9fdedc45fdffc232e6006d12e68e9c0527fa70b0e31919cfbb2af0ec5ad29a"}}, {0x4, &(0x7f0000001280)=@lang_id={0x4, 0x3, 0x820}}, {0xcb, &(0x7f00000012c0)=@string={0xcb, 0x3, "1b173c26ad4daeccf55f870e5873170ec3f9495fa6ee386db5d87db7f5ba08314e2d3837ebc68f69ea65d0f948daed00bf77c4c0b7e3281703bfbcb5afe13d27caf533cd9c1092a0d42f798a15c2d0eaf7a9c1ee21cbdc7b37f3026e50df3d7a1e9ff81c8e4b24d1d89f684fd06986e0f6eeabd0c457bfa7d563a2b3e1c099cf2953e6055d6ded5c190e379b4c27753e44fdf906bdb1305edf18acb2cbe1e58716ca2f7e3e9651f61e132761e62a160478bf80ec5657725e716107450067c078e79588d4b27fe6ca73"}}]}) (async) syz_usb_control_io$hid(r0, 0x0, 0x0) (async, rerun: 64) r1 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000b0cd6f10da0b3228fef4000000010902120001000000000904"], 0x0) (rerun: 64) syz_usb_control_io(r1, 0x0, 0x0) (async) syz_usb_control_io$uac1(r1, 0x0, &(0x7f0000000340)={0x44, &(0x7f0000000140)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) (async, rerun: 64) syz_usb_control_io$hid(r1, 0x0, 0x0) (async, rerun: 64) syz_usb_control_io(r1, &(0x7f0000000240)={0x2c, &(0x7f0000000040)={0x20, 0xd, 0x8d, {0x8d, 0x22, "a548076222c5400ba28a585e57c044a4c27065996aa16d45ac86eab5ad7e6648eb751f33644a2849c1873377191bbdad0c8fb38eb6684a210544297074eb7bc12bde205a43aca1a78810284046b05c4bfe0083d66abd58f493974a5b127d9927450370189bb42121b2955a8374f6ea68709daa3eb3c31e126b76511cc8b81c720996dddef4ff69e2d25a37"}}, &(0x7f0000000100)={0x0, 0x3, 0x72, @string={0x72, 0x3, "c454a8c004993c096c480907702d9cec8d56911ad400195229f5123c493ac37c51c135e450a7536ae27902757bd620c0b76d32feee877e166100ea3fee2a3c7d358ec58aac1ec88895a9b6462c7d1d975190725e595f0946ce938a6edf27d5ed8e7ebd2ad074f1c04af95a2975cbcf58"}}, &(0x7f0000000180)={0x0, 0xf, 0xf, {0x5, 0xf, 0xf, 0x1, [@ss_cap={0xa, 0x10, 0x3, 0x0, 0x2, 0x9d, 0x5, 0x3}]}}, &(0x7f00000001c0)={0x20, 0x29, 0xf, {0xf, 0x29, 0x4, 0x9, 0x66, 0xb2, "8a61dfdc", "8d54157a"}}, &(0x7f0000000200)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x83, 0x80, 0x7, 0x80, 0x1f, 0x7, 0x1ff}}}, &(0x7f0000000800)={0x84, &(0x7f0000000280)={0x20, 0xa, 0xde, "8775e6de11a08eed2ce6e40af7256349334933d49f75b91dc9fe62eedb9e861f76041510218b7d28ba1c997604cbd759d9ddf165312c7e388f2517643b2a59f3b22ca838cfb5a2f97f187dc46b0cee6da5d8d0b2d620b600a5d10aab998c2d50fe8713b0f30a3ca7631e45a6adf8501aa03c8d8a03753f61d3b2da08ace58faa8fcd0d35ef720983a8bf818480b094dd7fd30c4a3b5ccebed9d5cb81a5f149238cf8a9a885ef9cc5297f218222b74896f4bc40f68eff73aa7373e2c5c92a9d29096183a61a0462b08a8727800788cfcc52fe81f0987421d8662b354debb7"}, &(0x7f0000000380)={0x0, 0xa, 0x1, 0x1}, &(0x7f00000003c0)={0x0, 0x8, 0x1, 0x73}, &(0x7f0000000400)={0x20, 0x0, 0x4, {0x3, 0x2}}, &(0x7f0000000440)={0x20, 0x0, 0x8, {0x2, 0x4, [0xffff]}}, &(0x7f0000000480)={0x40, 0x7, 0x2}, &(0x7f0000000500)={0x40, 0x9, 0x1, 0x33}, &(0x7f0000000540)={0x40, 0xb, 0x2, "b422"}, &(0x7f0000000580)={0x40, 0xf, 0x2}, &(0x7f00000005c0)={0x40, 0x13, 0x6, @remote}, &(0x7f0000000600)={0x40, 0x17, 0x6, @remote}, &(0x7f0000000640)={0x40, 0x19, 0x2, "c2d9"}, &(0x7f0000000680)={0x40, 0x1a, 0x2}, &(0x7f00000006c0)={0x40, 0x1c, 0x1, 0xd9}, &(0x7f0000000700)={0x40, 0x1e, 0x1, 0xeb}, &(0x7f0000000740)={0x40, 0x21, 0x1, 0x80}}) (async) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000004c0)={0x2c, &(0x7f0000000040)=ANY=[], 0x0, 0x0, 0x0, 0x0}) (async) syz_usb_control_io$cdc_ecm(r0, 0x0, &(0x7f00000010c0)={0x1c, &(0x7f0000000040)=ANY=[], 0x0, 0x0}) r2 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000b0cd6f10da0b3228fef4000000010902120001000000000904"], 0x0) syz_usb_control_io(r2, 0x0, 0x0) (async) syz_usb_control_io$uac1(r2, 0x0, &(0x7f0000000340)={0x44, &(0x7f0000000140)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r2, 0x0, 0x0) syz_usb_control_io$cdc_ncm(r2, &(0x7f0000000940)={0x14, &(0x7f00000008c0)={0x20, 0x4a4556c9b127bf2f, 0x56, {0x56, 0x24, "283adffaaafb734a09f37bdfb7b20375fd5b172d89d45e555ea17387be7980a44378ec2e97ef938c7ef23696a14ea2f6f3cb27e2fbb48807431a6faa1aa8833dead8eea982bbf1966c107789bbbf16dd7f123c27"}}, &(0x7f0000000780)={0x0, 0x3, 0x1a, {0x1a}}}, &(0x7f0000000b80)={0x44, &(0x7f0000000980)={0x0, 0x5, 0x3, "26ff9a"}, &(0x7f00000009c0)={0x0, 0xa, 0x1, 0xe3}, &(0x7f0000000a00)={0x0, 0x8, 0x1, 0x1}, &(0x7f0000000a40)={0x20, 0x80, 0x1c, {0x8, 0x4, 0x5, 0xd7, 0x5, 0x3ff, 0x4, 0x9, 0x20, 0xf801, 0x4, 0x9}}, &(0x7f0000000a80)={0x20, 0x85, 0x4, 0x1f}, &(0x7f0000000ac0)={0x20, 0x83, 0x2}, &(0x7f0000000b00)={0x20, 0x87, 0x2}, &(0x7f0000000b40)={0x20, 0x89, 0x2, 0x1}}) [ 598.801851][ T3675] usb 6-1: device descriptor read/8, error -61 [ 598.808221][T12054] usb 1-1: device descriptor read/8, error -61 22:45:52 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(0xffffffffffffffff, &(0x7f0000000500)='@', 0x1) ioctl$SNDCTL_DSP_SPEED(0xffffffffffffffff, 0xc0045002, &(0x7f0000000080)=0x20000003) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r1, &(0x7f0000000140)=ANY=[@ANYRESHEX=r1, @ANYRES16=r0, @ANYRES64, @ANYRESHEX=r0, @ANYRESOCT=r1], 0xfea7) (async) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x30, r1, 0x0) mmap(&(0x7f0000ffe000/0x1000)=nil, 0x1000, 0x100000c, 0x810, r1, 0x191f9000) write$dsp(r0, &(0x7f0000000200)="d7712b02982abbeebc276fabcb15a0e0ba418f2b12daf04681a4c3c23b8fa039ba6403ca7cdf45fc0900fbf6b9a34fb2fe413aaac44f8dc806a0eda9185a137ab8a2af4415755e00a3dd7f030f30b049a6b3924e78eccb74cc37209159275fe065252e274d39903a205d397ff5a7368a69770f5194ed67b872ef93540aef70dd4e04c66b0d9a385d6f81081cff22ab50f0c96ee9ec965c60bff2b7b3b9bbce5b13a1f0f07bcc52d2c65a68eb638a8888ec8fbd80ffbf4494170b5853de778c7d2737d322e1000000000051a688ccf29ef65ccffc10341d1496f3f4530f77c7b8c0f332bcb89840620587fb95800fefccd76ae70c35eb809815d19a3737e253bd31a2860f5e38f9ff6eb1bd01d76654dabbcdd8a9bcc816dfb6003ad9ecc74960388021d92561ba9e4a9a9d182fe785", 0x12f) (async) r2 = gettid() socketpair$unix(0x1, 0x5, 0x0, &(0x7f0000029000)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$int_in(r3, 0x5421, &(0x7f0000b28000)=0x3e) (async) fcntl$setsig(r3, 0xa, 0x12) (async) signalfd4(0xffffffffffffffff, &(0x7f0000006000)={[0xfffffffffffffffc]}, 0x8, 0x0) (async) epoll_create1(0x0) (async) poll(&(0x7f0000000100)=[{}, {r4}], 0x2, 0x603) (async) dup2(r3, r4) (async) fcntl$setown(r4, 0x8, r2) (async) tkill(r2, 0x14) (async) syz_open_procfs(0x0, &(0x7f00000000c0)='net/mcfilter\x00') 22:45:52 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(0xffffffffffffffff, &(0x7f0000000500)='@', 0x1) (async, rerun: 64) ioctl$SNDCTL_DSP_SPEED(0xffffffffffffffff, 0xc0045002, &(0x7f0000000080)=0x20000003) (async, rerun: 64) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r1, &(0x7f0000000140)=ANY=[@ANYRESHEX=r1, @ANYRES16=r0, @ANYRES64, @ANYRESHEX=r0, @ANYRESOCT=r1], 0xfea7) (async) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x30, r1, 0x0) (async, rerun: 64) mmap(&(0x7f0000ffe000/0x1000)=nil, 0x1000, 0x100000c, 0x810, r1, 0x191f9000) (rerun: 64) write$dsp(r0, &(0x7f0000000200)="d7712b02982abbeebc276fabcb15a0e0ba418f2b12daf04681a4c3c23b8fa039ba6403ca7cdf45fc0900fbf6b9a34fb2fe413aaac44f8dc806a0eda9185a137ab8a2af4415755e00a3dd7f030f30b049a6b3924e78eccb74cc37209159275fe065252e274d39903a205d397ff5a7368a69770f5194ed67b872ef93540aef70dd4e04c66b0d9a385d6f81081cff22ab50f0c96ee9ec965c60bff2b7b3b9bbce5b13a1f0f07bcc52d2c65a68eb638a8888ec8fbd80ffbf4494170b5853de778c7d2737d322e1000000000051a688ccf29ef65ccffc10341d1496f3f4530f77c7b8c0f332bcb89840620587fb95800fefccd76ae70c35eb809815d19a3737e253bd31a2860f5e38f9ff6eb1bd01d76654dabbcdd8a9bcc816dfb6003ad9ecc74960388021d92561ba9e4a9a9d182fe785", 0x12f) r2 = gettid() (async) socketpair$unix(0x1, 0x5, 0x0, &(0x7f0000029000)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$int_in(r3, 0x5421, &(0x7f0000b28000)=0x3e) fcntl$setsig(r3, 0xa, 0x12) (async) signalfd4(0xffffffffffffffff, &(0x7f0000006000)={[0xfffffffffffffffc]}, 0x8, 0x0) epoll_create1(0x0) (async) poll(&(0x7f0000000100)=[{}, {r4}], 0x2, 0x603) (async) dup2(r3, r4) (async) fcntl$setown(r4, 0x8, r2) (async) tkill(r2, 0x14) syz_open_procfs(0x0, &(0x7f00000000c0)='net/mcfilter\x00') [ 598.959190][ T3673] usb 3-1: new high-speed USB device number 10 using dummy_hcd 22:45:52 executing program 3: r0 = socket(0x1e, 0x1, 0x0) sendmsg(r0, &(0x7f0000000000)={&(0x7f0000000080)=@generic={0x10000000001e, "02ff0100000001000000000000000ae77f5bf86c48020002000000f1ffffff009a480075e6a50000de010300000000e4ff064b3f013a000000080200000000000000ac50d5fe32c4000000007fffffff6a008356edb9a6341c1fd45624281e00070ecddd0206c39750c40000fd00000908000000000b0000db000004da36"}, 0x80, 0x0}, 0x0) recvmmsg(r0, &(0x7f0000001f40)=[{{0x0, 0x0, &(0x7f0000000300)=[{&(0x7f0000000180)=""/105, 0x69}], 0x1, &(0x7f00000003c0)=""/250, 0xfa}}], 0x1, 0x0, 0x0) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r1, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r1, 0x0) sendmsg(r1, &(0x7f0000001d80)={&(0x7f0000000ac0)=@tipc=@name={0x1e, 0x2, 0x2, {{0x1, 0x1}, 0x4}}, 0x80, &(0x7f0000001d00)=[{&(0x7f0000000b40)="07e6b92408c0ad0c9c58ed97599b556b3e0f98506b9bbfb08fa2799500cd25cc9eab37a5fb7e8e8a5a1a3d4221b78b87015fd4ebf2c2b9c2091acab24731654362875d24833d1a27ed27ecb1ecc75e3305e89da767f95e7f7375b7241c5686c926a519633fe76ee395859d74222f8d5a9066cc47fd5b72e6b52ce523ccdaf58276f16311aebaafad57376c531dae9c65ec4d5ddd3fa0d0d85de7d0a6899842d1fd8435f615702da826542696637706c0a7c5bcdfbd1811dc659078e78542a1ceacb17f0f9c0cf1ab641de3d0be7f047314593797ada415e3d9b79fefafb2a23c5dd9b83a04d8e835bb7c9ce9c7", 0xed}, {&(0x7f0000000c40)="d1652244ddb93ca5b7cea5", 0xb}, {&(0x7f0000000c80)="2c310a11a16c062f580b311885e05e411c0d4983ec379f2a2dbb3129dfaea0ef68f541949a4c10578a837ac5df429174a39dcaf107d75f4e", 0x38}, {&(0x7f0000000cc0)="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", 0x1000}, {&(0x7f0000001cc0)="9b7a94092f508bb9788f9f33753de1440ea7d93c69e509a266390d16616ca8a437d71fc0854c4b75e3d0d91b5e9a85acd3f1513d0ff359d0bd3695dcbefd22", 0x3f}], 0x5, &(0x7f0000001f80)=[{0x60, 0x10e, 0x1, "487a90e1f7c9fbb7730cf2f5e9b9bcacf226fcb83a7d4fb5b750b56187d17c8f4ba9bc1baf80abcf97c4333f5027d77d0b1f0949f7b6cfeb544d411f0fc54843991a9342ca404c1ed85f26"}, {0xa0, 0x10a, 0x0, "ce65c700beebdbdf122b28552b7eb1ac2397fb4f11919022594e9e7516ac7d8460690a807f7f68e7484f3c8b7e82aaadac39add7a3e776a79e4add69baeae341473bb9c49a04df724f8ec50e280f733f2ff582946d140507edc9b0273b895f68d3fe1c5ab7702c222df5683852b1d649531cc16d223a98c8f29696d7c8db80a046fec18dfc6d4574d3517fa8a58e07cd"}, {0x48, 0x104, 0x562c, "fec8b44ce3cc35480f31b8334a3f6e203277f9eb8ebba904fbce78107b96ef51f6d4aab309ba44b6ac68bf88f667b61cf14a68aa"}, {0x68, 0x88, 0x4, "e0aebef24bdbfb589f2f387071a167a37894e6a0741f3ad88c4689505f4a8da05c18146d21684df7a2e77043645bf3c041eea9ca07461a1faac7be60bc3b31679ffbc9e91e159f4946efaaf27807103913274bba"}, {0x98, 0x29, 0x80000000, "fe2996ad7d0b5f93e63aa6491ff54557f92e31cea7c6f1d338ecea600d0021ec70e33ddb5e389769df1011efeff7819177c55013f2b7aefcc8a7fabc7819af22f0ca4bd3984ccb5c97d2a9ea79767d53227045440d347a96e0a527b1c5dc0f08c41253702d7c4ab9e5e44caaeb5dc15cf46ff69090e0d67a51722482a23b8189dbe9b43ba64a"}], 0x248}, 0x800) write$binfmt_elf32(r0, &(0x7f00000001c0)=ANY=[], 0xfffffd6d) syz_usb_connect(0x6, 0x3e, &(0x7f0000000040)=ANY=[@ANYRESHEX=0x0, @ANYRES32=r0], 0x0) r2 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000b0cd6f10da0b3228fef4000000010902120001000000000904"], 0x0) syz_usb_control_io(r2, 0x0, 0x0) syz_usb_control_io$uac1(r2, 0x0, &(0x7f0000000340)={0x44, &(0x7f0000000140)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r2, 0x0, 0x0) syz_usb_control_io(r2, &(0x7f0000000380)={0x2c, &(0x7f00000004c0)={0x40, 0x21, 0xfc, {0xfc, 0xc, "5e92f8a59775f3c5074e1df8ff775f518790f2d24e69e4dc34eb31ae281d86ba5193a57376ec657ee216a26762ff55b8d4bf93092634bd290a33bbe617033951c2205f2ee7883e44e7aaf4b9c1c72310675b253469ff0f09395d1d0484d01c11a543d212d9b630c45336b182627acf95fef374f51a884d64ef613516f5e0ef049c74d359c0410c7258618e900c5b22eef14af0529326e85e23b79454514dd7170cb2d706f683aea24338db1def4cfc028761fd39f2f219bed051dee1e95ee338dbffdba359d02082daed3d2a418781637314d70f0d7c78fcd6529cd5898d6bfa4b896d723b2e8c9e255f24930377d9df853f77bc46f1351e3043"}}, &(0x7f0000000200)={0x0, 0x3, 0xf6, @string={0xf6, 0x3, "54e2905d3e3636ac1692ae2f551f9659b5b1431734da85a51ca7df929e9b8b6d271a62ab84e54673aa5f8c9c468ba128544f3090d0fadd9881c5dd7d1059fe698ce700ad2a253b394bdfbd128e7b71f00fab1035b70316677bb34014ffc8b0604e1323ffcb9eae0551c340c71fe557a97589cc0ff737b9b9e503d5a7c423bc824f7bd2f3c070f30b1631cd26e8023a29d9d23d92fcf64a705d4aed6b731c22b69a2aaf1c78448b27fbeb3a01ecc772a6524cb42a406917d937fa68e68b839bb98d42df30ed42fbd8bc4d6e747cf7688e212f923dfe452c0d3adaf6d3f594430d9d1340cdde13cba6961360a70605e790effbbe88"}}, &(0x7f0000000100)={0x0, 0xf, 0x1e, {0x5, 0xf, 0x1e, 0x3, [@wireless={0xb, 0x10, 0x1, 0x2, 0x4, 0xf9, 0x9, 0x1ff, 0x7f}, @wireless={0xb, 0x10, 0x1, 0x8, 0x50, 0xe6, 0x8, 0x401, 0x20}, @ptm_cap={0x3}]}}, &(0x7f0000000140)={0x20, 0x29, 0xf, {0xf, 0x29, 0x18, 0x4, 0x6, 0x6, "fcb418af", "e3913042"}}, &(0x7f0000000340)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x9, 0x0, 0x3f, 0x3, 0x9, 0xf62b, 0xc734}}}, &(0x7f0000000a00)={0x84, &(0x7f0000000600)={0xfca755385a5ae553, 0x5, 0x2c, "560f0fdc19f52d1c164aecb33dec3b8bebf9316c7a85358f57073fa72c3a40331ef650e4867754946159093e"}, &(0x7f0000000640)={0x0, 0xa, 0x1, 0x5}, &(0x7f0000000680)={0x0, 0x8, 0x1, 0x9}, &(0x7f00000006c0)={0x20, 0x0, 0x4, {0x0, 0x1}}, &(0x7f0000000700)={0x20, 0x0, 0x4, {0x20, 0x4}}, &(0x7f0000000740)={0x40, 0x7, 0x2, 0x1f}, &(0x7f0000000780)={0x40, 0x9, 0x1, 0x40}, &(0x7f00000007c0)={0x40, 0xb, 0x2, "0493"}, &(0x7f0000000800)={0x40, 0xf, 0x2, 0x5}, &(0x7f0000000840)={0x40, 0x13, 0x6, @random="dcfe9da1d3c5"}, &(0x7f0000000880)={0x40, 0x17, 0x6}, &(0x7f00000008c0)={0x40, 0x19, 0x2, '<\r'}, &(0x7f0000000900)={0x40, 0x1a, 0x2, 0x5}, &(0x7f0000000940)={0x40, 0x1c, 0x1}, &(0x7f0000000980)={0x40, 0x1e, 0x1, 0xc8}, &(0x7f00000009c0)={0x40, 0x21, 0x1, 0x2}}) 22:45:52 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000000)="73710de35e3439faf232a5083ea401ec4ce5b14a03fbb896aa3668ec09407c78722e0b9f6dd85acc4fb7351c5cd24516f465ca18000c4853fb31ce8bb5e6af5490b939432d83340232a5f9beaf9c0df9a1b7c048becf10a91537ecc1ffc25e3206c573e948e74af67687eb3d34bc5447035a4bd9d9c16b664aeb4bde876d57cf6e8231b3dd32c9867ee429b863d6022580e2461d98b40a1d9f1e6976ad41247ed7cad63319033dd944dd16ebc8865ef1926ceb88b2e01d946d826ec5d6bad6c4eeff1053583567e67c206e251f7de2f355e16315093218581d77b2313a0dc4f7f62150da042e10d48d7126bf1f8fa6c746d72461a54079b5ebcc", 0xfa) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) write$dsp(r0, &(0x7f0000000140)="05", 0x1) [ 599.091644][T12054] usb 1-1: new high-speed USB device number 79 using dummy_hcd [ 599.099314][ T3675] usb 6-1: new high-speed USB device number 76 using dummy_hcd [ 599.301731][T12054] usb 1-1: device descriptor read/8, error -61 [ 599.307988][ T3675] usb 6-1: device descriptor read/8, error -61 [ 599.366112][ T3673] usb 3-1: New USB device found, idVendor=06a5, idProduct=d800, bcdDevice=87.12 [ 599.375227][ T3673] usb 3-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 599.387318][ T3673] usb 3-1: config 0 descriptor?? [ 599.421757][T12054] usb usb1-port1: unable to enumerate USB device [ 599.431647][ T3675] usb usb6-port1: unable to enumerate USB device [ 599.441646][T10921] usb 4-1: new high-speed USB device number 101 using dummy_hcd [ 599.443654][ T3673] gspca_main: nw80x-2.14.0 probing 06a5:d800 22:45:53 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000000)="73710de35e3439faf232a5083ea401ec4ce5b14a03fbb896aa3668ec09407c78722e0b9f6dd85acc4fb7351c5cd24516f465ca18000c4853fb31ce8bb5e6af5490b939432d83340232a5f9beaf9c0df9a1b7c048becf10a91537ecc1ffc25e3206c573e948e74af67687eb3d34bc5447035a4bd9d9c16b664aeb4bde876d57cf6e8231b3dd32c9867ee429b863d6022580e2461d98b40a1d9f1e6976ad41247ed7cad63319033dd944dd16ebc8865ef1926ceb88b2e01d946d826ec5d6bad6c4eeff1053583567e67c206e251f7de2f355e16315093218581d77b2313a0dc4f7f62150da042e10d48d7126bf1f8fa6c746d72461a54079b5ebcc", 0xfa) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) write$dsp(r0, &(0x7f0000000140)="05", 0x1) [ 599.631655][T10921] usb 4-1: device descriptor read/64, error 18 22:45:53 executing program 1: r0 = gettid() ioctl$int_in(0xffffffffffffffff, 0x5452, &(0x7f0000b28000)=0x3e) (async, rerun: 32) fcntl$setsig(0xffffffffffffffff, 0xa, 0x12) (rerun: 32) r1 = signalfd4(0xffffffffffffffff, &(0x7f0000006000)={[0xfffffffffffffffc]}, 0x8, 0x0) (async, rerun: 32) r2 = epoll_create1(0x0) (rerun: 32) epoll_ctl$EPOLL_CTL_ADD(0xffffffffffffffff, 0x1, r1, &(0x7f0000000040)={0x20000005}) (async) poll(&(0x7f0000000100)=[{r2}, {}], 0x2, 0x603) (async, rerun: 32) dup2(0xffffffffffffffff, 0xffffffffffffffff) (async, rerun: 32) fcntl$setown(0xffffffffffffffff, 0x8, 0x0) tkill(0x0, 0x14) (async) getpgid(0x0) (async) socketpair$unix(0x1, 0x0, 0x0, 0x0) (async) r3 = signalfd4(0xffffffffffffffff, &(0x7f0000006000), 0x8, 0x0) sendfile(r3, r3, &(0x7f0000000000)=0x9, 0x8) (async) r4 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r4, 0x1, r3, &(0x7f00000000c0)) (async, rerun: 64) sendmmsg$unix(r3, &(0x7f0000000000), 0x0, 0x24000010) (async, rerun: 64) poll(0x0, 0x0, 0x4) (async) dup2(0xffffffffffffffff, 0xffffffffffffffff) tkill(r0, 0x14) 22:45:53 executing program 1: r0 = gettid() ioctl$int_in(0xffffffffffffffff, 0x5452, &(0x7f0000b28000)=0x3e) (async) fcntl$setsig(0xffffffffffffffff, 0xa, 0x12) r1 = signalfd4(0xffffffffffffffff, &(0x7f0000006000)={[0xfffffffffffffffc]}, 0x8, 0x0) r2 = epoll_create1(0x0) (async) epoll_ctl$EPOLL_CTL_ADD(0xffffffffffffffff, 0x1, r1, &(0x7f0000000040)={0x20000005}) poll(&(0x7f0000000100)=[{r2}, {}], 0x2, 0x603) dup2(0xffffffffffffffff, 0xffffffffffffffff) (async) fcntl$setown(0xffffffffffffffff, 0x8, 0x0) (async, rerun: 64) tkill(0x0, 0x14) (rerun: 64) getpgid(0x0) socketpair$unix(0x1, 0x0, 0x0, 0x0) (async) r3 = signalfd4(0xffffffffffffffff, &(0x7f0000006000), 0x8, 0x0) sendfile(r3, r3, &(0x7f0000000000)=0x9, 0x8) (async, rerun: 64) r4 = epoll_create1(0x0) (rerun: 64) epoll_ctl$EPOLL_CTL_ADD(r4, 0x1, r3, &(0x7f00000000c0)) (async) sendmmsg$unix(r3, &(0x7f0000000000), 0x0, 0x24000010) poll(0x0, 0x0, 0x4) (async) dup2(0xffffffffffffffff, 0xffffffffffffffff) (async) tkill(r0, 0x14) 22:45:53 executing program 1: r0 = gettid() socketpair$unix(0x1, 0x0, 0x0, 0x0) r1 = signalfd4(0xffffffffffffffff, &(0x7f0000006000), 0x8, 0x0) r2 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r2, 0x1, r1, &(0x7f00000000c0)) poll(0x0, 0x0, 0x603) fsetxattr(r2, &(0x7f0000000000)=@random={'trusted.', '${.$\x00'}, &(0x7f0000000040)=':]%\x00', 0x4, 0x1) dup2(0xffffffffffffffff, 0xffffffffffffffff) tkill(r0, 0x14) 22:45:53 executing program 0: r0 = socket(0x1e, 0x1, 0x0) sendmsg(r0, &(0x7f0000000000)={&(0x7f0000000080)=@generic={0x10000000001e, "02ff0100000001000000000000000ae77f5bf86c48020002000000f1ffffff009a480075e6a50000de010300000000e4ff064b3f013a000000080200000000000000ac50d5fe32c4000000007fffffff6a008356edb9a6341c1fd45624281e00070ecddd0206c39750c40000fd00000908000000000b0000db000004da36"}, 0x80, 0x0}, 0x0) recvmmsg(r0, &(0x7f0000001f40)=[{{0x0, 0x0, &(0x7f0000000300)=[{&(0x7f0000000180)=""/105, 0x69}], 0x1, &(0x7f00000003c0)=""/250, 0xfa}}], 0x1, 0x0, 0x0) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r1, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r1, 0x0) sendmsg(r1, &(0x7f0000001d80)={&(0x7f0000000ac0)=@tipc=@name={0x1e, 0x2, 0x2, {{0x1, 0x1}, 0x4}}, 0x80, &(0x7f0000001d00)=[{&(0x7f0000000b40)="07e6b92408c0ad0c9c58ed97599b556b3e0f98506b9bbfb08fa2799500cd25cc9eab37a5fb7e8e8a5a1a3d4221b78b87015fd4ebf2c2b9c2091acab24731654362875d24833d1a27ed27ecb1ecc75e3305e89da767f95e7f7375b7241c5686c926a519633fe76ee395859d74222f8d5a9066cc47fd5b72e6b52ce523ccdaf58276f16311aebaafad57376c531dae9c65ec4d5ddd3fa0d0d85de7d0a6899842d1fd8435f615702da826542696637706c0a7c5bcdfbd1811dc659078e78542a1ceacb17f0f9c0cf1ab641de3d0be7f047314593797ada415e3d9b79fefafb2a23c5dd9b83a04d8e835bb7c9ce9c7", 0xed}, {&(0x7f0000000c40)="d1652244ddb93ca5b7cea5", 0xb}, {&(0x7f0000000c80)="2c310a11a16c062f580b311885e05e411c0d4983ec379f2a2dbb3129dfaea0ef68f541949a4c10578a837ac5df429174a39dcaf107d75f4e", 0x38}, {&(0x7f0000000cc0)="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", 0x1000}, {&(0x7f0000001cc0)="9b7a94092f508bb9788f9f33753de1440ea7d93c69e509a266390d16616ca8a437d71fc0854c4b75e3d0d91b5e9a85acd3f1513d0ff359d0bd3695dcbefd22", 0x3f}], 0x5, &(0x7f0000001f80)=[{0x60, 0x10e, 0x1, "487a90e1f7c9fbb7730cf2f5e9b9bcacf226fcb83a7d4fb5b750b56187d17c8f4ba9bc1baf80abcf97c4333f5027d77d0b1f0949f7b6cfeb544d411f0fc54843991a9342ca404c1ed85f26"}, {0xa0, 0x10a, 0x0, "ce65c700beebdbdf122b28552b7eb1ac2397fb4f11919022594e9e7516ac7d8460690a807f7f68e7484f3c8b7e82aaadac39add7a3e776a79e4add69baeae341473bb9c49a04df724f8ec50e280f733f2ff582946d140507edc9b0273b895f68d3fe1c5ab7702c222df5683852b1d649531cc16d223a98c8f29696d7c8db80a046fec18dfc6d4574d3517fa8a58e07cd"}, {0x48, 0x104, 0x562c, "fec8b44ce3cc35480f31b8334a3f6e203277f9eb8ebba904fbce78107b96ef51f6d4aab309ba44b6ac68bf88f667b61cf14a68aa"}, {0x68, 0x88, 0x4, "e0aebef24bdbfb589f2f387071a167a37894e6a0741f3ad88c4689505f4a8da05c18146d21684df7a2e77043645bf3c041eea9ca07461a1faac7be60bc3b31679ffbc9e91e159f4946efaaf27807103913274bba"}, {0x98, 0x29, 0x80000000, "fe2996ad7d0b5f93e63aa6491ff54557f92e31cea7c6f1d338ecea600d0021ec70e33ddb5e389769df1011efeff7819177c55013f2b7aefcc8a7fabc7819af22f0ca4bd3984ccb5c97d2a9ea79767d53227045440d347a96e0a527b1c5dc0f08c41253702d7c4ab9e5e44caaeb5dc15cf46ff69090e0d67a51722482a23b8189dbe9b43ba64a"}], 0x248}, 0x800) write$binfmt_elf32(r0, &(0x7f00000001c0)=ANY=[], 0xfffffd6d) syz_usb_connect(0x6, 0x3e, &(0x7f0000000040)=ANY=[@ANYRESHEX=0x0, @ANYRES32=r0], 0x0) r2 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000b0cd6f10da0b3228fef4000000010902120001000000000904"], 0x0) syz_usb_control_io(r2, 0x0, 0x0) syz_usb_control_io$uac1(r2, 0x0, &(0x7f0000000340)={0x44, &(0x7f0000000140)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r2, 0x0, 0x0) syz_usb_control_io(r2, &(0x7f0000000380)={0x2c, &(0x7f00000004c0)={0x40, 0x21, 0xfc, {0xfc, 0xc, "5e92f8a59775f3c5074e1df8ff775f518790f2d24e69e4dc34eb31ae281d86ba5193a57376ec657ee216a26762ff55b8d4bf93092634bd290a33bbe617033951c2205f2ee7883e44e7aaf4b9c1c72310675b253469ff0f09395d1d0484d01c11a543d212d9b630c45336b182627acf95fef374f51a884d64ef613516f5e0ef049c74d359c0410c7258618e900c5b22eef14af0529326e85e23b79454514dd7170cb2d706f683aea24338db1def4cfc028761fd39f2f219bed051dee1e95ee338dbffdba359d02082daed3d2a418781637314d70f0d7c78fcd6529cd5898d6bfa4b896d723b2e8c9e255f24930377d9df853f77bc46f1351e3043"}}, &(0x7f0000000200)={0x0, 0x3, 0xf6, @string={0xf6, 0x3, "54e2905d3e3636ac1692ae2f551f9659b5b1431734da85a51ca7df929e9b8b6d271a62ab84e54673aa5f8c9c468ba128544f3090d0fadd9881c5dd7d1059fe698ce700ad2a253b394bdfbd128e7b71f00fab1035b70316677bb34014ffc8b0604e1323ffcb9eae0551c340c71fe557a97589cc0ff737b9b9e503d5a7c423bc824f7bd2f3c070f30b1631cd26e8023a29d9d23d92fcf64a705d4aed6b731c22b69a2aaf1c78448b27fbeb3a01ecc772a6524cb42a406917d937fa68e68b839bb98d42df30ed42fbd8bc4d6e747cf7688e212f923dfe452c0d3adaf6d3f594430d9d1340cdde13cba6961360a70605e790effbbe88"}}, &(0x7f0000000100)={0x0, 0xf, 0x1e, {0x5, 0xf, 0x1e, 0x3, [@wireless={0xb, 0x10, 0x1, 0x2, 0x4, 0xf9, 0x9, 0x1ff, 0x7f}, @wireless={0xb, 0x10, 0x1, 0x8, 0x50, 0xe6, 0x8, 0x401, 0x20}, @ptm_cap={0x3}]}}, &(0x7f0000000140)={0x20, 0x29, 0xf, {0xf, 0x29, 0x18, 0x4, 0x6, 0x6, "fcb418af", "e3913042"}}, &(0x7f0000000340)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x9, 0x0, 0x3f, 0x3, 0x9, 0xf62b, 0xc734}}}, &(0x7f0000000a00)={0x84, &(0x7f0000000600)={0xfca755385a5ae553, 0x5, 0x2c, "560f0fdc19f52d1c164aecb33dec3b8bebf9316c7a85358f57073fa72c3a40331ef650e4867754946159093e"}, &(0x7f0000000640)={0x0, 0xa, 0x1, 0x5}, &(0x7f0000000680)={0x0, 0x8, 0x1, 0x9}, &(0x7f00000006c0)={0x20, 0x0, 0x4, {0x0, 0x1}}, &(0x7f0000000700)={0x20, 0x0, 0x4, {0x20, 0x4}}, &(0x7f0000000740)={0x40, 0x7, 0x2, 0x1f}, &(0x7f0000000780)={0x40, 0x9, 0x1, 0x40}, &(0x7f00000007c0)={0x40, 0xb, 0x2, "0493"}, &(0x7f0000000800)={0x40, 0xf, 0x2, 0x5}, &(0x7f0000000840)={0x40, 0x13, 0x6, @random="dcfe9da1d3c5"}, &(0x7f0000000880)={0x40, 0x17, 0x6}, &(0x7f00000008c0)={0x40, 0x19, 0x2, '<\r'}, &(0x7f0000000900)={0x40, 0x1a, 0x2, 0x5}, &(0x7f0000000940)={0x40, 0x1c, 0x1}, &(0x7f0000000980)={0x40, 0x1e, 0x1, 0xc8}, &(0x7f00000009c0)={0x40, 0x21, 0x1, 0x2}}) socket(0x1e, 0x1, 0x0) (async) sendmsg(r0, &(0x7f0000000000)={&(0x7f0000000080)=@generic={0x10000000001e, "02ff0100000001000000000000000ae77f5bf86c48020002000000f1ffffff009a480075e6a50000de010300000000e4ff064b3f013a000000080200000000000000ac50d5fe32c4000000007fffffff6a008356edb9a6341c1fd45624281e00070ecddd0206c39750c40000fd00000908000000000b0000db000004da36"}, 0x80, 0x0}, 0x0) (async) recvmmsg(r0, &(0x7f0000001f40)=[{{0x0, 0x0, &(0x7f0000000300)=[{&(0x7f0000000180)=""/105, 0x69}], 0x1, &(0x7f00000003c0)=""/250, 0xfa}}], 0x1, 0x0, 0x0) (async) openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) (async) write$binfmt_script(r1, &(0x7f0000000040)=ANY=[], 0xfea7) (async) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r1, 0x0) (async) sendmsg(r1, &(0x7f0000001d80)={&(0x7f0000000ac0)=@tipc=@name={0x1e, 0x2, 0x2, {{0x1, 0x1}, 0x4}}, 0x80, &(0x7f0000001d00)=[{&(0x7f0000000b40)="07e6b92408c0ad0c9c58ed97599b556b3e0f98506b9bbfb08fa2799500cd25cc9eab37a5fb7e8e8a5a1a3d4221b78b87015fd4ebf2c2b9c2091acab24731654362875d24833d1a27ed27ecb1ecc75e3305e89da767f95e7f7375b7241c5686c926a519633fe76ee395859d74222f8d5a9066cc47fd5b72e6b52ce523ccdaf58276f16311aebaafad57376c531dae9c65ec4d5ddd3fa0d0d85de7d0a6899842d1fd8435f615702da826542696637706c0a7c5bcdfbd1811dc659078e78542a1ceacb17f0f9c0cf1ab641de3d0be7f047314593797ada415e3d9b79fefafb2a23c5dd9b83a04d8e835bb7c9ce9c7", 0xed}, {&(0x7f0000000c40)="d1652244ddb93ca5b7cea5", 0xb}, {&(0x7f0000000c80)="2c310a11a16c062f580b311885e05e411c0d4983ec379f2a2dbb3129dfaea0ef68f541949a4c10578a837ac5df429174a39dcaf107d75f4e", 0x38}, {&(0x7f0000000cc0)="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", 0x1000}, {&(0x7f0000001cc0)="9b7a94092f508bb9788f9f33753de1440ea7d93c69e509a266390d16616ca8a437d71fc0854c4b75e3d0d91b5e9a85acd3f1513d0ff359d0bd3695dcbefd22", 0x3f}], 0x5, &(0x7f0000001f80)=[{0x60, 0x10e, 0x1, "487a90e1f7c9fbb7730cf2f5e9b9bcacf226fcb83a7d4fb5b750b56187d17c8f4ba9bc1baf80abcf97c4333f5027d77d0b1f0949f7b6cfeb544d411f0fc54843991a9342ca404c1ed85f26"}, {0xa0, 0x10a, 0x0, "ce65c700beebdbdf122b28552b7eb1ac2397fb4f11919022594e9e7516ac7d8460690a807f7f68e7484f3c8b7e82aaadac39add7a3e776a79e4add69baeae341473bb9c49a04df724f8ec50e280f733f2ff582946d140507edc9b0273b895f68d3fe1c5ab7702c222df5683852b1d649531cc16d223a98c8f29696d7c8db80a046fec18dfc6d4574d3517fa8a58e07cd"}, {0x48, 0x104, 0x562c, "fec8b44ce3cc35480f31b8334a3f6e203277f9eb8ebba904fbce78107b96ef51f6d4aab309ba44b6ac68bf88f667b61cf14a68aa"}, {0x68, 0x88, 0x4, "e0aebef24bdbfb589f2f387071a167a37894e6a0741f3ad88c4689505f4a8da05c18146d21684df7a2e77043645bf3c041eea9ca07461a1faac7be60bc3b31679ffbc9e91e159f4946efaaf27807103913274bba"}, {0x98, 0x29, 0x80000000, "fe2996ad7d0b5f93e63aa6491ff54557f92e31cea7c6f1d338ecea600d0021ec70e33ddb5e389769df1011efeff7819177c55013f2b7aefcc8a7fabc7819af22f0ca4bd3984ccb5c97d2a9ea79767d53227045440d347a96e0a527b1c5dc0f08c41253702d7c4ab9e5e44caaeb5dc15cf46ff69090e0d67a51722482a23b8189dbe9b43ba64a"}], 0x248}, 0x800) (async) write$binfmt_elf32(r0, &(0x7f00000001c0)=ANY=[], 0xfffffd6d) (async) syz_usb_connect(0x6, 0x3e, &(0x7f0000000040)=ANY=[@ANYRESHEX=0x0, @ANYRES32=r0], 0x0) (async) syz_usb_connect(0x0, 0x24, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000b0cd6f10da0b3228fef4000000010902120001000000000904"], 0x0) (async) syz_usb_control_io(r2, 0x0, 0x0) (async) syz_usb_control_io$uac1(r2, 0x0, &(0x7f0000000340)={0x44, &(0x7f0000000140)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) (async) syz_usb_control_io$hid(r2, 0x0, 0x0) (async) syz_usb_control_io(r2, &(0x7f0000000380)={0x2c, &(0x7f00000004c0)={0x40, 0x21, 0xfc, {0xfc, 0xc, "5e92f8a59775f3c5074e1df8ff775f518790f2d24e69e4dc34eb31ae281d86ba5193a57376ec657ee216a26762ff55b8d4bf93092634bd290a33bbe617033951c2205f2ee7883e44e7aaf4b9c1c72310675b253469ff0f09395d1d0484d01c11a543d212d9b630c45336b182627acf95fef374f51a884d64ef613516f5e0ef049c74d359c0410c7258618e900c5b22eef14af0529326e85e23b79454514dd7170cb2d706f683aea24338db1def4cfc028761fd39f2f219bed051dee1e95ee338dbffdba359d02082daed3d2a418781637314d70f0d7c78fcd6529cd5898d6bfa4b896d723b2e8c9e255f24930377d9df853f77bc46f1351e3043"}}, &(0x7f0000000200)={0x0, 0x3, 0xf6, @string={0xf6, 0x3, "54e2905d3e3636ac1692ae2f551f9659b5b1431734da85a51ca7df929e9b8b6d271a62ab84e54673aa5f8c9c468ba128544f3090d0fadd9881c5dd7d1059fe698ce700ad2a253b394bdfbd128e7b71f00fab1035b70316677bb34014ffc8b0604e1323ffcb9eae0551c340c71fe557a97589cc0ff737b9b9e503d5a7c423bc824f7bd2f3c070f30b1631cd26e8023a29d9d23d92fcf64a705d4aed6b731c22b69a2aaf1c78448b27fbeb3a01ecc772a6524cb42a406917d937fa68e68b839bb98d42df30ed42fbd8bc4d6e747cf7688e212f923dfe452c0d3adaf6d3f594430d9d1340cdde13cba6961360a70605e790effbbe88"}}, &(0x7f0000000100)={0x0, 0xf, 0x1e, {0x5, 0xf, 0x1e, 0x3, [@wireless={0xb, 0x10, 0x1, 0x2, 0x4, 0xf9, 0x9, 0x1ff, 0x7f}, @wireless={0xb, 0x10, 0x1, 0x8, 0x50, 0xe6, 0x8, 0x401, 0x20}, @ptm_cap={0x3}]}}, &(0x7f0000000140)={0x20, 0x29, 0xf, {0xf, 0x29, 0x18, 0x4, 0x6, 0x6, "fcb418af", "e3913042"}}, &(0x7f0000000340)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x9, 0x0, 0x3f, 0x3, 0x9, 0xf62b, 0xc734}}}, &(0x7f0000000a00)={0x84, &(0x7f0000000600)={0xfca755385a5ae553, 0x5, 0x2c, "560f0fdc19f52d1c164aecb33dec3b8bebf9316c7a85358f57073fa72c3a40331ef650e4867754946159093e"}, &(0x7f0000000640)={0x0, 0xa, 0x1, 0x5}, &(0x7f0000000680)={0x0, 0x8, 0x1, 0x9}, &(0x7f00000006c0)={0x20, 0x0, 0x4, {0x0, 0x1}}, &(0x7f0000000700)={0x20, 0x0, 0x4, {0x20, 0x4}}, &(0x7f0000000740)={0x40, 0x7, 0x2, 0x1f}, &(0x7f0000000780)={0x40, 0x9, 0x1, 0x40}, &(0x7f00000007c0)={0x40, 0xb, 0x2, "0493"}, &(0x7f0000000800)={0x40, 0xf, 0x2, 0x5}, &(0x7f0000000840)={0x40, 0x13, 0x6, @random="dcfe9da1d3c5"}, &(0x7f0000000880)={0x40, 0x17, 0x6}, &(0x7f00000008c0)={0x40, 0x19, 0x2, '<\r'}, &(0x7f0000000900)={0x40, 0x1a, 0x2, 0x5}, &(0x7f0000000940)={0x40, 0x1c, 0x1}, &(0x7f0000000980)={0x40, 0x1e, 0x1, 0xc8}, &(0x7f00000009c0)={0x40, 0x21, 0x1, 0x2}}) (async) [ 599.892620][T15238] UDC core: couldn't find an available UDC or it's busy: -16 [ 599.892760][T15271] UDC core: couldn't find an available UDC or it's busy: -16 [ 599.908306][T10921] usb 4-1: new high-speed USB device number 102 using dummy_hcd [ 599.918613][T15271] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 599.926906][T15271] UDC core: couldn't find an available UDC or it's busy: -16 [ 599.930065][T15238] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 22:45:53 executing program 5: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[], 0x0) [ 599.936084][T15271] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 599.949903][T15271] UDC core: couldn't find an available UDC or it's busy: -16 [ 599.959205][T15271] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 599.973712][T15271] UDC core: couldn't find an available UDC or it's busy: -16 [ 599.981205][T15271] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 22:45:53 executing program 2: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x21, 0x5d, 0x4b, 0x40, 0x6a5, 0xd800, 0x8712, 0x0, 0x0, 0x0, 0x1, [{{0x9, 0x2, 0x12, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0xf9, 0x30, 0x5d}}]}}]}}, 0x0) syz_usb_control_io$printer(r0, 0x0, 0x0) (async, rerun: 64) syz_usb_control_io$printer(r0, 0x0, &(0x7f00000007c0)={0x34, &(0x7f0000000080)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0}) (async, rerun: 64) syz_usb_connect(0x1, 0x432, &(0x7f0000000c00)={{0x12, 0x1, 0x40, 0x79, 0xee, 0x6f, 0x40, 0x12d1, 0xe2f, 0x79bf, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x420, 0x2, 0x5c, 0x1f, 0x0, 0x80, [{{0x9, 0x4, 0x9, 0x3, 0x7, 0xff, 0x2, 0x75, 0x6, [@uac_as={[@format_type_i_continuous={0xc, 0x24, 0x2, 0x1, 0xfb, 0x3, 0x80, 0x7, "85aa", "90dd"}, @format_type_i_discrete={0xd, 0x24, 0x2, 0x1, 0x9, 0x2, 0xf5, 0xff, "9614165e4a"}, @format_type_ii_discrete={0x11, 0x24, 0x2, 0x2, 0xf2, 0x1000, 0x2, "c14ae6818e5f896f"}, @format_type_ii_discrete={0xf, 0x24, 0x2, 0x2, 0x3, 0x3, 0x9, "001bdcbb37a9"}, @format_type_i_continuous={0xd, 0x24, 0x2, 0x1, 0x8, 0x2, 0x7, 0x81, "70aa", 'aBR'}]}], [{{0x9, 0x5, 0x1, 0x10, 0x400, 0x7, 0x7, 0x40}}, {{0x9, 0x5, 0xa, 0x2, 0x200, 0x90, 0x8, 0x0, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x20, 0xffff}, @uac_iso={0x7, 0x25, 0x1, 0x2, 0x0, 0xafc0}]}}, {{0x9, 0x5, 0xb, 0x0, 0x400, 0xe9, 0x40, 0x7, [@uac_iso={0x7, 0x25, 0x1, 0x82, 0x55, 0x27c}, @generic={0xe0, 0x30, "1c8e1310c2449d6149c1d44c38666713ff54bb73bc74da86b70b12727678f018f76476c70abfa094b533e91b5f3479f6005d3f3c1d1730ae2c661a0913ae2cbf545d1a357274cbf3e8d0eaf4ca65ba65876c0253d6f6c32c291a375ed2675af01a074d06c266d57dbf9fc83f0d60dcda497da1c24f85f0df12525901d96755d4c9b14a22ef849fd59a043c4459bf4c231996635c0b3b11012dac286235dc30d8d7dd4f47c46aea5287fb8753d5903c1497054804b0b1761a4056649a66c6c6eb90acee1df0339451b1db648bda7a286b28cfed860e74d3bcc2561ea82fbe"}]}}, {{0x9, 0x5, 0xf, 0x1, 0x8, 0x7e, 0x1, 0x81}}, {{0x9, 0x5, 0x1, 0x0, 0x3ff, 0xd5, 0x47, 0x81, [@generic={0x9f, 0x4, "300ec4f3874d4e65296e019ba0231407a6c397ced81a489b9da77caae8f1c6adf5573cdbd6c2db4966f18d6caca41b8b762e9ff92a56b1e2f1c41707ad9776aaaa5940407982c5160069093f9e86e8dd9b64236211ce2114cdad9b93642351ae0f6007503e2632e533acaae4b315d33ae932d5b6e1f77c025422b85df5d064774f4eb97a426857be71f7ea5ec6b77cebda95cf74e2e89527ee2a29d655"}, @generic={0x43, 0x7, "131e28109402c2b2fb3d695a4bce553a6b6f888864ebccf9c440822c8f1acc953344f10d87776bceffc38d18e044a24a8d47170dae0b9f344be2b05f6b54c199a4"}]}}, {{0x9, 0x5, 0xb, 0x10, 0x240, 0x20, 0x0, 0x3f}}, {{0x9, 0x5, 0xf, 0xc, 0x28, 0x6, 0x3, 0x0, [@uac_iso={0x7, 0x25, 0x1, 0x7, 0x8, 0x7f}]}}]}}, {{0x9, 0x4, 0xbe, 0xc8, 0x8, 0x61, 0x67, 0x5b, 0x19, [], [{{0x9, 0x5, 0x7, 0x4, 0x20, 0x20, 0x3, 0x2, [@generic={0x83, 0x21, "b1ae8bfe3764881d52689a3498b4f049720137a7cb99a52b10a0c4781d33fd7b73fcd9454de4a27fdc3ef5500a99942abcaba2fa4e2904ff52845a3c432fca1074c9e565c6b2926aaddfa0dbcbf5201d832ba4b354a3e5fc59cba0c2b95bff6bd3ecb2ae45946cf62fb1b05a064908ddd7e8dd68e47f42a703b66caa21a371be41"}, @uac_iso={0x7, 0x25, 0x1, 0x40, 0x7}]}}, {{0x9, 0x5, 0x5, 0x0, 0x8, 0xae, 0x8, 0x2, [@uac_iso={0x7, 0x25, 0x1, 0x3, 0xff, 0xdac}]}}, {{0x9, 0x5, 0x1, 0x4, 0x400, 0x0, 0x1, 0x7f}}, {{0x9, 0x5, 0x3, 0x0, 0x10, 0x4e, 0x5, 0x80}}, {{0x9, 0x5, 0xe, 0x8, 0x200, 0x1f, 0xff, 0x1}}, {{0x9, 0x5, 0x80, 0x0, 0x3ff, 0x1f, 0x20, 0x80, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x3d, 0x800}, @uac_iso={0x7, 0x25, 0x1, 0x2, 0x2, 0x200}]}}, {{0x9, 0x5, 0xf, 0x10, 0x10, 0x0, 0x98, 0x3, [@uac_iso={0x7, 0x25, 0x1, 0x3, 0x7, 0x1}, @generic={0xa1, 0x11, "3d16d3cabde82716ab9d6313a43aa5c0cf20b47d2b5045a3d789f6cefc5a8c515dff0904f68d260ed6f4ec1be68919f05aae1feab7b3355c395dc8bed0d3712451ed9ec1bd411290d276843e13525da75e78a8d4e7376dd26d535bc9e937d34504d7d90a4e9a7bb66a700fe42b78998d2a6db17638c96e0918c587362a6cd71fee570a3b12d356dd5f4cff5a6f1fb0bde6b520c80a2eac937c38370d6beca8"}]}}, {{0x9, 0x5, 0x9, 0x0, 0x3ff, 0x20, 0x3, 0x40, [@generic={0xe, 0x1, "cb8b9f299d753c083621d366"}, @generic={0x5, 0x21, 'e\\-'}]}}]}}]}}]}}, &(0x7f00000013c0)={0xa, &(0x7f0000001040)={0xa, 0x6, 0x250, 0x7, 0x7, 0x80, 0x20}, 0x7a, &(0x7f0000001100)={0x5, 0xf, 0x7a, 0x5, [@ss_cap={0xa, 0x10, 0x3, 0x0, 0x1, 0x7, 0x5, 0x6}, @ss_container_id={0x14, 0x10, 0x4, 0x7, "0088a743c9441a003cb59226fb0e7c31"}, @ext_cap={0x7, 0x10, 0x2, 0x2, 0x8, 0x5, 0x401}, @generic={0x4d, 0x10, 0xa, "ed0ce59224f79bd79d2ad4d76e2891788d5e57daeff6f024e329bec341c30ab2975c1e452fbc5b464b8dd5ada3a45316ba2ae0d50cd1ef25d7073fe9b36bad467f3dac71d73459370482"}, @ptm_cap={0x3}]}, 0x4, [{0x34, &(0x7f0000001080)=@string={0x34, 0x3, "d907aaf51b543873ddbcad9eb3151ee60c610e00036145ed87b0f06bc7f60dcb13a6a111df29bd26f1fd8db4c01d55a00726"}}, {0xcd, &(0x7f0000001180)=@string={0xcd, 0x3, "87024ec1ef93e7c8e1f45bf71eed4e02434c14d1ecae666cce0c1cb5a7f18009c24afbf58cfa494bde35486422c4599cc33140e96abae575aef0f117968b35d39e79b53373fca2b78c6efde39c84d7e17eeac01a7fca6de74bd9665ed6aba0978ab80f17a32709485beda748d4dbe87ceb340097ca9d79f07c7f91111ea421926675ceeb8b0d62679028b1a66245ac1903ee7077aff71e6075e8eaa938ee00f41b7150cd9a36af259920750e9fdedc45fdffc232e6006d12e68e9c0527fa70b0e31919cfbb2af0ec5ad29a"}}, {0x4, &(0x7f0000001280)=@lang_id={0x4, 0x3, 0x820}}, {0xcb, &(0x7f00000012c0)=@string={0xcb, 0x3, "1b173c26ad4daeccf55f870e5873170ec3f9495fa6ee386db5d87db7f5ba08314e2d3837ebc68f69ea65d0f948daed00bf77c4c0b7e3281703bfbcb5afe13d27caf533cd9c1092a0d42f798a15c2d0eaf7a9c1ee21cbdc7b37f3026e50df3d7a1e9ff81c8e4b24d1d89f684fd06986e0f6eeabd0c457bfa7d563a2b3e1c099cf2953e6055d6ded5c190e379b4c27753e44fdf906bdb1305edf18acb2cbe1e58716ca2f7e3e9651f61e132761e62a160478bf80ec5657725e716107450067c078e79588d4b27fe6ca73"}}]}) syz_usb_control_io$hid(r0, 0x0, 0x0) (async) r1 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000b0cd6f10da0b3228fef4000000010902120001000000000904"], 0x0) syz_usb_control_io(r1, 0x0, 0x0) (async) syz_usb_control_io$uac1(r1, 0x0, &(0x7f0000000340)={0x44, &(0x7f0000000140)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r1, 0x0, 0x0) (async) syz_usb_control_io(r1, &(0x7f0000000240)={0x2c, &(0x7f0000000040)={0x20, 0xd, 0x8d, {0x8d, 0x22, "a548076222c5400ba28a585e57c044a4c27065996aa16d45ac86eab5ad7e6648eb751f33644a2849c1873377191bbdad0c8fb38eb6684a210544297074eb7bc12bde205a43aca1a78810284046b05c4bfe0083d66abd58f493974a5b127d9927450370189bb42121b2955a8374f6ea68709daa3eb3c31e126b76511cc8b81c720996dddef4ff69e2d25a37"}}, &(0x7f0000000100)={0x0, 0x3, 0x72, @string={0x72, 0x3, "c454a8c004993c096c480907702d9cec8d56911ad400195229f5123c493ac37c51c135e450a7536ae27902757bd620c0b76d32feee877e166100ea3fee2a3c7d358ec58aac1ec88895a9b6462c7d1d975190725e595f0946ce938a6edf27d5ed8e7ebd2ad074f1c04af95a2975cbcf58"}}, &(0x7f0000000180)={0x0, 0xf, 0xf, {0x5, 0xf, 0xf, 0x1, [@ss_cap={0xa, 0x10, 0x3, 0x0, 0x2, 0x9d, 0x5, 0x3}]}}, &(0x7f00000001c0)={0x20, 0x29, 0xf, {0xf, 0x29, 0x4, 0x9, 0x66, 0xb2, "8a61dfdc", "8d54157a"}}, &(0x7f0000000200)={0x20, 0x2a, 0xc, {0xc, 0x2a, 0x83, 0x80, 0x7, 0x80, 0x1f, 0x7, 0x1ff}}}, &(0x7f0000000800)={0x84, &(0x7f0000000280)={0x20, 0xa, 0xde, "8775e6de11a08eed2ce6e40af7256349334933d49f75b91dc9fe62eedb9e861f76041510218b7d28ba1c997604cbd759d9ddf165312c7e388f2517643b2a59f3b22ca838cfb5a2f97f187dc46b0cee6da5d8d0b2d620b600a5d10aab998c2d50fe8713b0f30a3ca7631e45a6adf8501aa03c8d8a03753f61d3b2da08ace58faa8fcd0d35ef720983a8bf818480b094dd7fd30c4a3b5ccebed9d5cb81a5f149238cf8a9a885ef9cc5297f218222b74896f4bc40f68eff73aa7373e2c5c92a9d29096183a61a0462b08a8727800788cfcc52fe81f0987421d8662b354debb7"}, &(0x7f0000000380)={0x0, 0xa, 0x1, 0x1}, &(0x7f00000003c0)={0x0, 0x8, 0x1, 0x73}, &(0x7f0000000400)={0x20, 0x0, 0x4, {0x3, 0x2}}, &(0x7f0000000440)={0x20, 0x0, 0x8, {0x2, 0x4, [0xffff]}}, &(0x7f0000000480)={0x40, 0x7, 0x2}, &(0x7f0000000500)={0x40, 0x9, 0x1, 0x33}, &(0x7f0000000540)={0x40, 0xb, 0x2, "b422"}, &(0x7f0000000580)={0x40, 0xf, 0x2}, &(0x7f00000005c0)={0x40, 0x13, 0x6, @remote}, &(0x7f0000000600)={0x40, 0x17, 0x6, @remote}, &(0x7f0000000640)={0x40, 0x19, 0x2, "c2d9"}, &(0x7f0000000680)={0x40, 0x1a, 0x2}, &(0x7f00000006c0)={0x40, 0x1c, 0x1, 0xd9}, &(0x7f0000000700)={0x40, 0x1e, 0x1, 0xeb}, &(0x7f0000000740)={0x40, 0x21, 0x1, 0x80}}) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000004c0)={0x2c, &(0x7f0000000040)=ANY=[], 0x0, 0x0, 0x0, 0x0}) (async) syz_usb_control_io$cdc_ecm(r0, 0x0, &(0x7f00000010c0)={0x1c, &(0x7f0000000040)=ANY=[], 0x0, 0x0}) r2 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000b0cd6f10da0b3228fef4000000010902120001000000000904"], 0x0) syz_usb_control_io(r2, 0x0, 0x0) syz_usb_control_io$uac1(r2, 0x0, &(0x7f0000000340)={0x44, &(0x7f0000000140)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) (async) syz_usb_control_io$hid(r2, 0x0, 0x0) (async, rerun: 64) syz_usb_control_io$cdc_ncm(r2, &(0x7f0000000940)={0x14, &(0x7f00000008c0)={0x20, 0x4a4556c9b127bf2f, 0x56, {0x56, 0x24, "283adffaaafb734a09f37bdfb7b20375fd5b172d89d45e555ea17387be7980a44378ec2e97ef938c7ef23696a14ea2f6f3cb27e2fbb48807431a6faa1aa8833dead8eea982bbf1966c107789bbbf16dd7f123c27"}}, &(0x7f0000000780)={0x0, 0x3, 0x1a, {0x1a}}}, &(0x7f0000000b80)={0x44, &(0x7f0000000980)={0x0, 0x5, 0x3, "26ff9a"}, &(0x7f00000009c0)={0x0, 0xa, 0x1, 0xe3}, &(0x7f0000000a00)={0x0, 0x8, 0x1, 0x1}, &(0x7f0000000a40)={0x20, 0x80, 0x1c, {0x8, 0x4, 0x5, 0xd7, 0x5, 0x3ff, 0x4, 0x9, 0x20, 0xf801, 0x4, 0x9}}, &(0x7f0000000a80)={0x20, 0x85, 0x4, 0x1f}, &(0x7f0000000ac0)={0x20, 0x83, 0x2}, &(0x7f0000000b00)={0x20, 0x87, 0x2}, &(0x7f0000000b40)={0x20, 0x89, 0x2, 0x1}}) (rerun: 64) [ 600.081894][ T3673] gspca_nw80x: reg_r err -71 [ 600.089983][ T3673] nw80x: probe of 3-1:0.0 failed with error -71 [ 600.101867][T10921] usb 4-1: device descriptor read/64, error 18 [ 600.127373][ T3673] usb 3-1: USB disconnect, device number 10 22:45:53 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000000)="73710de35e3439faf232a5083ea401ec4ce5b14a03fbb896aa3668ec09407c78722e0b9f6dd85acc4fb7351c5cd24516f465ca18000c4853fb31ce8bb5e6af5490b939432d83340232a5f9beaf9c0df9a1b7c048becf10a91537ecc1ffc25e3206c573e948e74af67687eb3d34bc5447035a4bd9d9c16b664aeb4bde876d57cf6e8231b3dd32c9867ee429b863d6022580e2461d98b40a1d9f1e6976ad41247ed7cad63319033dd944dd16ebc8865ef1926ceb88b2e01d946d826ec5d6bad6c4eeff1053583567e67c206e251f7de2f355e16315093218581d77b2313a0dc4f7f62150da042e10d48d7126bf1f8fa6c746d72461a54079b5ebcc", 0xfa) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) write$dsp(r0, &(0x7f0000000140)="05", 0x1) openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) (async) write$dsp(r0, &(0x7f0000000000)="73710de35e3439faf232a5083ea401ec4ce5b14a03fbb896aa3668ec09407c78722e0b9f6dd85acc4fb7351c5cd24516f465ca18000c4853fb31ce8bb5e6af5490b939432d83340232a5f9beaf9c0df9a1b7c048becf10a91537ecc1ffc25e3206c573e948e74af67687eb3d34bc5447035a4bd9d9c16b664aeb4bde876d57cf6e8231b3dd32c9867ee429b863d6022580e2461d98b40a1d9f1e6976ad41247ed7cad63319033dd944dd16ebc8865ef1926ceb88b2e01d946d826ec5d6bad6c4eeff1053583567e67c206e251f7de2f355e16315093218581d77b2313a0dc4f7f62150da042e10d48d7126bf1f8fa6c746d72461a54079b5ebcc", 0xfa) (async) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async) write$dsp(r0, &(0x7f0000000140)="05", 0x1) (async) [ 600.221856][T10921] usb usb4-port1: attempt power cycle [ 600.401683][ T3675] usb 1-1: new high-speed USB device number 80 using dummy_hcd [ 600.456836][ T3677] usb 6-1: new high-speed USB device number 77 using dummy_hcd [ 600.521523][ T3673] usb 3-1: new high-speed USB device number 11 using dummy_hcd [ 600.611491][ T3675] usb 1-1: device descriptor read/64, error 18 [ 600.641591][T10921] usb 4-1: new high-speed USB device number 103 using dummy_hcd [ 600.651609][ T3677] usb 6-1: device descriptor read/64, error 18 22:45:54 executing program 4: r0 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r0, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r0, 0x0) r1 = syz_open_dev$audion(&(0x7f0000000000), 0xaba, 0x105000) ioctl$VT_WAITACTIVE(r1, 0x5607) r2 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r2, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(r2, 0xc0045002, &(0x7f00000004c0)=0x20000000) write$dsp(r2, &(0x7f0000000140)="05", 0x1) [ 600.811574][T10921] usb 4-1: device descriptor read/8, error -61 22:45:54 executing program 4: r0 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r0, &(0x7f0000000040)=ANY=[], 0xfea7) (async) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r0, 0x0) (async) r1 = syz_open_dev$audion(&(0x7f0000000000), 0xaba, 0x105000) ioctl$VT_WAITACTIVE(r1, 0x5607) (async) r2 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r2, &(0x7f0000000500)=' ', 0x1) (async) ioctl$SNDCTL_DSP_SPEED(r2, 0xc0045002, &(0x7f00000004c0)=0x20000000) (async) write$dsp(r2, &(0x7f0000000140)="05", 0x1) [ 600.891634][ T3675] usb 1-1: new high-speed USB device number 81 using dummy_hcd [ 600.922440][ T3677] usb 6-1: new high-speed USB device number 78 using dummy_hcd [ 600.922664][ T3673] usb 3-1: New USB device found, idVendor=06a5, idProduct=d800, bcdDevice=87.12 [ 600.939228][ T3673] usb 3-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 600.956461][ T3673] usb 3-1: config 0 descriptor?? [ 601.029713][ T3673] gspca_main: nw80x-2.14.0 probing 06a5:d800 [ 601.081531][T10921] usb 4-1: new high-speed USB device number 104 using dummy_hcd [ 601.091717][ T3675] usb 1-1: device descriptor read/64, error 18 [ 601.121520][ T3677] usb 6-1: device descriptor read/64, error 18 [ 601.223055][ T3675] usb usb1-port1: attempt power cycle [ 601.224038][T15294] UDC core: couldn't find an available UDC or it's busy: -16 [ 601.238753][T15294] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 601.246527][ T3677] usb usb6-port1: attempt power cycle [ 601.252090][T10921] usb 4-1: device descriptor read/8, error -61 [ 601.291558][ T3673] gspca_nw80x: reg_r err -71 [ 601.296469][ T3673] nw80x: probe of 3-1:0.0 failed with error -71 [ 601.305007][ T3673] usb 3-1: USB disconnect, device number 11 [ 601.372149][T10921] usb usb4-port1: unable to enumerate USB device [ 601.641665][ T3675] usb 1-1: new high-speed USB device number 82 using dummy_hcd [ 601.661713][ T3677] usb 6-1: new high-speed USB device number 79 using dummy_hcd [ 601.831698][ T3677] usb 6-1: device descriptor read/8, error -61 [ 601.851680][ T3675] usb 1-1: device descriptor read/8, error -61 22:45:55 executing program 3: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000dcaaf0109904271050190000000109022c0001000000000904000001e30824000836060100000000092402020000000000090581db7c"], 0x0) syz_usb_connect(0x0, 0x36, &(0x7f0000000040)={{0x12, 0x1, 0x250, 0x7d, 0x8e, 0x14, 0xff, 0x12d1, 0x7b8b, 0x5bcc, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x0, 0x1f, 0x4f027a34bffb199, 0x7f, [{{0x9, 0x4, 0xdd, 0x6, 0x1, 0xff, 0x1, 0x65, 0x0, [@uac_control={{0xa, 0x24, 0x1, 0x0, 0x4}}], [{{0x9, 0x5, 0x80, 0x0, 0x200, 0x0, 0x3, 0x1f}}]}}]}}]}}, &(0x7f0000000440)={0xa, &(0x7f0000000080)={0xa, 0x6, 0x110, 0x7f, 0x4, 0x7, 0xff, 0x7}, 0x3f, &(0x7f00000000c0)={0x5, 0xf, 0x3f, 0x4, [@wireless={0xb, 0x10, 0x1, 0xc, 0xb, 0x3, 0x1, 0x7, 0x3f}, @ptm_cap={0x3}, @ss_container_id={0x14, 0x10, 0x4, 0xfe, "307de6bcd33543e31289efc75dd8a3ae"}, @ssp_cap={0x18, 0x10, 0xa, 0x1, 0x3, 0x9, 0xf00, 0x2, [0x3fcf, 0x0, 0xffc000]}]}, 0xa, [{0x4, &(0x7f0000000100)=@lang_id={0x4, 0x3, 0x78}}, {0x59, &(0x7f0000000140)=@string={0x59, 0x3, "a35f3d24bc5b204f4f18fdd8cb14df452c759bc9d06ddbf7438958fb6da51da1b9db8a77f6c6c48b02d1abd06966ca61c71bcdc94cc466e21336fa02fa81345cfde0e2784312d1f5a497d2cf38e234e8b568c527035e25"}}, {0x4, &(0x7f00000001c0)=@lang_id={0x4, 0x3, 0x4}}, {0x4, &(0x7f0000000200)=@lang_id={0x4, 0x3, 0x80c}}, {0x57, &(0x7f0000000240)=@string={0x57, 0x3, "99d944958e9aab7fb4585a6459afbea3ac2dcdd3901419cbba1a49cd3363e8a062f3d11929463e84bb9ac4243f581f5f208f27619629b9a49c560039e9722eaf396eb76511bedd6c95c0a4db7f2fdffa801796b073"}}, {0x4, &(0x7f00000002c0)=@lang_id={0x4, 0x3, 0x445}}, {0x4, &(0x7f0000000300)=@lang_id={0x4, 0x3, 0x440a}}, {0x4, &(0x7f0000000340)=@lang_id={0x4}}, {0x4, &(0x7f0000000380)=@lang_id={0x4, 0x3, 0x400a}}, {0x80, &(0x7f00000003c0)=@string={0x80, 0x3, "a44c2217ca29310d42f2d2a26f8bd81e79a1d34cfb44cbc622330c8c5f2edbc59a974d224775390cf42435936ce0e0743b076aa7f31acc1974af7a1b4dbfdec67933d8f555784ef02435ff06191a8ca39be5143094cc0f54bfbb94dc4bdba497c4f5d380fb044d3f81ac3e3ac934c797bfca378d31301812cde4f0071770"}}]}) 22:45:55 executing program 4: r0 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r0, &(0x7f0000000040)=ANY=[], 0xfea7) (async) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r0, 0x0) r1 = syz_open_dev$audion(&(0x7f0000000000), 0xaba, 0x105000) ioctl$VT_WAITACTIVE(r1, 0x5607) r2 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r2, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(r2, 0xc0045002, &(0x7f00000004c0)=0x20000000) (async) write$dsp(r2, &(0x7f0000000140)="05", 0x1) 22:45:55 executing program 2: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x21, 0x5d, 0x4b, 0x40, 0x6a5, 0xd800, 0x8712, 0x0, 0x0, 0x0, 0x1, [{{0x9, 0x2, 0x12, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0xf9, 0x30, 0x5d}}]}}]}}, 0x0) syz_usb_control_io$printer(r0, 0x0, 0x0) syz_usb_control_io$printer(r0, 0x0, &(0x7f00000007c0)={0x34, &(0x7f0000000080)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, 0x0, 0x0) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000004c0)={0x2c, &(0x7f0000000040)=ANY=[], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, &(0x7f0000000200)={0x24, &(0x7f0000000040)={0x317e34273e965f72, 0x6, 0xcd, {0xcd, 0x1, "9c449ef1d88846d19a3622c15a5668a4d6ab93b400655364985f5c470958190df8987f8ec26c0fe2fc469a473fb571328f18814f0741a956f9a18b7bb9ce3b77922be7d8470c3637b376e509ddb523fd21cf3dc0257ab35ebba63488eab39ebda02b4e8ffe9065dc842aa33597f6d211253ab65a5c65f074d15380980a4841fc7b5b3c5e5d5a4b6d39d6dbab130916bc65a3b167a162a7a758dc2fd3f4ff78e2615bab93e244674a51e5fc7a27b07204ece3a7320fdabc797363622f730486b84e04beacf11653f9b2b767"}}, &(0x7f0000000140)={0x0, 0x3, 0xb, @string={0xb, 0x3, "65da131493b940e7a6"}}, &(0x7f0000000180)={0x0, 0x22, 0xc, {[@main=@item_4={0x3, 0x0, 0x9, "45dc258a"}, @local=@item_012={0x1, 0x2, 0xa, "cf"}, @main=@item_4={0x3, 0x0, 0xa, "2a67c86a"}]}}, &(0x7f00000001c0)={0x0, 0x21, 0x9, {0x9, 0x21, 0xec3f, 0xfa, 0x1, {0x22, 0x48d}}}}, &(0x7f0000000380)={0x2c, &(0x7f0000000240)={0x0, 0x16, 0x11, "6e2d97f51e35f2082f71db85de9e263686"}, &(0x7f0000000280)={0x0, 0xa, 0x1, 0x8}, &(0x7f00000002c0)={0x0, 0x8, 0x1, 0x81}, &(0x7f0000000300)={0x20, 0x1, 0x13, "b7df7c89265f2046605665aa4fc239de523c5c"}, &(0x7f0000000340)={0x20, 0x3, 0x1, 0x6}}) syz_usb_control_io$cdc_ecm(r0, 0x0, &(0x7f00000010c0)={0x1c, &(0x7f0000000040)=ANY=[], 0x0, 0x0}) r1 = syz_usb_connect(0x4, 0xbb1, &(0x7f0000001100)={{0x12, 0x1, 0x310, 0x6e, 0xde, 0xa2, 0x8, 0x106c, 0x3712, 0x5240, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0xb9f, 0x3, 0x2, 0x81, 0x20, 0x1, [{{0x9, 0x4, 0x1e, 0xed, 0xb, 0xff, 0x0, 0x0, 0x1f, [@uac_as={[@format_type_i_discrete={0x8, 0x24, 0x2, 0x1, 0x8, 0x2, 0x80, 0x90}, @as_header={0x7, 0x24, 0x1, 0x0, 0x8, 0x4}, @format_type_i_discrete={0xd, 0x24, 0x2, 0x1, 0x3, 0x4, 0x3f, 0xfb, "2eb59c208c"}, @format_type_i_continuous={0xb, 0x24, 0x2, 0x1, 0x4, 0x4, 0x20, 0x7, "", "7bb729"}]}, @uac_as={[@format_type_ii_discrete={0xe, 0x24, 0x2, 0x2, 0x0, 0xff4d, 0xb2, "1483c79029"}]}], [{{0x9, 0x5, 0x9, 0x3, 0x60, 0x20, 0x9, 0x9, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x7, 0x401}]}}, {{0x9, 0x5, 0x7, 0x0, 0x3ff, 0x81, 0x7, 0x9, [@generic={0xae, 0xe, "cd29d06b893dceba8b45b7c0680d743d74d6440750777d76f477780f202383222dab3a90ff4cb1d6a2001f1d6f6e2d195be4816ace2eef55c333dc610e99fc756772e1f9099a057bee1570c5368dd092db97fe5874dc4fe3087b272efa9c514a9d0e6f2eeab41b3d2b5b8d68eddefefc01a99b996f6fe215629cbcd7f114359eb1ab2c936058ed681f50b045c6e6f0c52cf2ca8634052d8b3d69396c034d6bcc18594e27e48568c3cc270105"}]}}, {{0x9, 0x5, 0xd, 0x0, 0x3ff, 0x0, 0x7f, 0x49, [@uac_iso={0x7, 0x25, 0x1, 0x80, 0x6, 0x60}]}}, {{0x9, 0x5, 0x0, 0x10, 0x40, 0x4, 0x80, 0x4, [@generic={0x27, 0x2, "a431df2bb6c09176d79ebc54cddeb96fe5bd0180e797a235db35ece0dd8f8bd65b237a1b06"}]}}, {{0x9, 0x5, 0xf, 0x10, 0x20, 0x7, 0x40, 0x8, [@generic={0xf9, 0x24, "3c38c01fcf0a109a108395f6e2966c40efd2b1f9caccba2a5d76a316605eced1a9c3451189d8a2a05e24eda573f4126cc2d9297d7b426a2b7bdaaf4b5820f69ce8723e673130889d9f704922ef8d6d86289122a349261da507aa24dfcfc7fccf75d2d12301bb58e34c7a8231be2febe93a2e5427a4255dd15396ce8a2d0d3a70833014151665c0160639d2385b1c398ff895a8548f4a078c8b2535f674a29aed37e1872efbc2cc9dce6b8a1e0b833287b5fb2837d16b51b12e41fb2231705ae0767cd25097f77797d95f3b28978bfbf5de9ae06950363ac9c95eff1eb967721e98579a8f2233f536b7cde7d0de2892078a283dec1061cd"}]}}, {{0x9, 0x5, 0x8, 0x0, 0x8, 0x5, 0x9, 0x3, [@generic={0x4d, 0xb, "f702b3dbae1dec0cde4c3788594dcb664a3dbfdd6ba538ad846bd1ac3a639fdbbbe3b949fa926b04147a9adf08cabeb8c6804ff1383abddb75a05f7351bbae757e7cf65a65278e234de5c0"}]}}, {{0x9, 0x5, 0x5, 0x10, 0x8, 0x2, 0x81, 0x7, [@uac_iso={0x7, 0x25, 0x1, 0x3, 0xe0, 0x7}, @generic={0xab, 0x11, "fe83e642aee626ca48e2b17c8e4b80c6cb87d667212b72048532be5331bc8230fedf5dda4d19ea9419ef414bf053063f27fb5ad4d8888e6f00b17d739385da34b488b0629a475f366defb1a3a2133f0a8aab3af0ccf0b8e2ed9c41d2e3da92cee08186e5295c4b81fedee941675c1191f05d373e05287a0c403533c63642c3a5a9f2a17d5c6eb2a13d44c2f64618d4923eb209416627e56d06a49153d48975f260b58a8cc90f4dfb81"}]}}, {{0x9, 0x5, 0xe, 0xc, 0x3ff, 0x4, 0x7f, 0x1, [@uac_iso={0x7, 0x25, 0x1, 0x2, 0x6, 0x5}, @uac_iso={0x7, 0x25, 0x1, 0x2, 0x1f, 0x2}]}}, {{0x9, 0x5, 0xa, 0x0, 0x10, 0x4, 0x7, 0x4, [@uac_iso={0x7, 0x25, 0x1, 0x2, 0x6, 0x101}]}}, {{0x9, 0x5, 0x3, 0x3, 0x8, 0x8, 0x7, 0x3, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x9c, 0x5}, @uac_iso={0x7, 0x25, 0x1, 0x80, 0x6}]}}, {{0x9, 0x5, 0xb, 0x10, 0x400, 0xfc, 0x0, 0x7, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x4, 0x8}]}}]}}, {{0x9, 0x4, 0x4, 0x1, 0x1, 0x7d, 0x57, 0xaa, 0x40, [@uac_control={{0xa, 0x24, 0x1, 0x7, 0x4}, [@input_terminal={0xc, 0x24, 0x2, 0x5, 0x100, 0x5, 0x3f, 0x5, 0x3, 0x36}, @input_terminal={0xc, 0x24, 0x2, 0x5, 0x1ff, 0x4, 0x1, 0x1, 0x81, 0x5}, @extension_unit={0x7, 0x24, 0x8, 0x6, 0x5, 0x4}, @mixer_unit={0xa, 0x24, 0x4, 0x5, 0x50, "1069212849"}, @output_terminal={0x9, 0x24, 0x3, 0x4, 0x302, 0x3, 0x4, 0x20}, @processing_unit={0xb, 0x24, 0x7, 0x5, 0x6, 0x81, "df50cbec"}]}], [{{0x9, 0x5, 0x2, 0x0, 0x8, 0x3, 0x80, 0x4, [@generic={0x59, 0x9, "61960bfae1b6f6d7a129c7ecf28cc9607918deee62400810646d9fc4631304e138f6f14134a66e512dc9166b1c3fe7f4efb9159e658719891c7d0ab866a66e3cc5cafbfe036e1dd3e8acdc7fb24055d040cb97cf339d5e"}]}}]}}, {{0x9, 0x4, 0x24, 0x81, 0xf, 0xff, 0x59, 0x39, 0x22, [@hid_hid={0x9, 0x21, 0x7f, 0x7, 0x1, {0x22, 0x5bb}}], [{{0x9, 0x5, 0xf, 0x8, 0xde6438dd53450986, 0x6, 0x1, 0xd4, [@generic={0xa7, 0x30, "a43a37d9bd13070536f6fdbd52092d613b995467fa2607555f9276b8de81b29498bed47257343ce75da309383966d918bd6839ee055c0ce5363e8f792189457419344df6a9e3db7e3009829bd753f7012777234de30f1c4c929c18b4efe4828ad4045b083ce948170c133e3118b5e3433d60bdb9c4cd01372e6535694d5e1077aabe04c7eef0db36fed32c46590ae3d94819e3b3a0a2223b713998529f188ba890c4572ca3"}]}}, {{0x9, 0x5, 0x2, 0x3, 0x208, 0x9, 0x8, 0xe0, [@generic={0x6e, 0x24, "c4d945c16f5094c40da81b67003702c05df19fc23ecd8dd0ca17dec42e2aaef98fe4478dee9e0168d78f2806830010a1927a0c646be752891d1a953ee72a5fb15a42e826bf910b6f82911ce06feb1d63b60a32167efd2ad133e370665670e995c303723fe233d6db35fb79d9"}]}}, {{0x9, 0x5, 0xa, 0x0, 0x20, 0xeb, 0x0, 0x1, [@uac_iso={0x7, 0x25, 0x1, 0x80, 0x1, 0x3}]}}, {{0x9, 0x5, 0xf, 0xd, 0x40, 0xed, 0x5d, 0x4, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0xc4, 0x7}]}}, {{0x9, 0x5, 0x1, 0x1, 0x10, 0x2, 0x0, 0x5, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x0, 0x2}, @generic={0x9b, 0x8, "1bdd96c49871b99da82f99b66abd3cd1fbed9d008ba1addcef4e5f251224bed19fc67d4ff2a2fced4333c27c87990b5b1c6a73f2cffcc20e874991be0e24370650f0cd762f0ea3e6dc065dcb821a5c50a5851a71d115638ba0a2aa8015e2f18b2045e03bc17dc9d172d633855d788b556eebc5275a87a3e37919e982b27761b820e113520cfb155dfe12d468673a5a7ad22f21153c376c06d5"}]}}, {{0x9, 0x5, 0x9, 0x10, 0x40, 0xff, 0xe9, 0xff, [@generic={0xf0, 0x1, "04149583c1bb03134cf4d98034dc2795567c861ecb37fecbf2a6b36d8b0895c306e625ebbf7c0dadea0e1d209b2009704f25e2acbede2c33a2c96b6c9a6e6eb83470ddc7bd4e86f0315ce4fa59de858e85dc36c6d49a642d04e395b895e392209f1ee6e8be069ba37ce658e527be8c1322c321a2dee635d4883037861280dab3dc71457456e0d3608e522e5fb9fb14f90b357766106443cea61f0a50a82c1abc63df9ffbc35c1a84e9376eb3e45a63b06de6bec18d6a63ece397f044cb14738980030f85e6553ebb0210424482d13ab2fdf4c1f71979140844eae764652cadb59f876cac2101cad9d2c4b9cd70df"}]}}, {{0x9, 0x5, 0x5, 0x2, 0x200, 0x7, 0x2, 0x9, [@generic={0xc8, 0x7, "466a4e955ac3ff1d14454a67f6b05ac4036bb4d0cf8bf1cdd00980c4be05e3ed99e68e3c166409f08b912bca42ae265cbcec616b2e75ce485c412727f9a261be936936a7c4c674923ee16a7ab40eb004e960c1652f64e3d3461370376fe723812be77a67b9386829bbd0c24c7a1b6cc858ececa9b6b52ed45e9dd28f34bb3262aa7718860336f0e68c298d293d15d5d9aabda3a73004b993dd8410dd4622a31ab8bcee804dd8b3ab79a9fc5c2d848420e0ff6089baaed19e26686a31fca0e77fe67b4f00d194"}]}}, {{0x9, 0x5, 0x2, 0x10, 0x20, 0xfc, 0x6, 0xff, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x7}]}}, {{0x9, 0x5, 0x8, 0x10, 0x3ff, 0x7f, 0xf9, 0x19, [@generic={0xe5, 0xc, "c111f17dad84c1708289e618a7ec3cd6615152a8a31ac8ffdccb4cbe945b072012a05ab25846049845343447bc6bb3a2b502006f60cad21a7442c3a8feba6880c988de33baebae9035455a65e7df306763afc5edc7dec3787de688110c652636451614caeee2e89a24dc177dcf986795e2617727083f29a229ea971b55df4352bce118e90e88831c9a635e87f3697bcb68e797c11ac8732ab5c3bad7a3d73f547456276002e184ec119743a4200368a1e3a62656a0f1437c7b9b5b58c0c457b267ce2d22a970ee755ecbcc3d9f9313ca22c42591de17ad70cfc714a8c66d602b52458e"}]}}, {{0x9, 0x5, 0x9, 0x0, 0x3ff, 0x3f, 0xe0, 0x5, [@uac_iso={0x7, 0x25, 0x1, 0x3, 0x1c, 0x3}]}}, {{0x9, 0x5, 0x0, 0x0, 0x200, 0x6, 0x81, 0x1, [@generic={0xce, 0x31, "f5b29366153454c0987dc2278dd7fae796baac113d597d689dddcd299ab19b085538b00f36b76b223434b846b286e4a76516cc164d22ca2f27d0a73f9431910ff7e1c879e8fe0d36dd3ea39f4f02e28b60bd54cdc219a5b8e4fbc28263c396332083c420ec23ce5f9eaffb08f889b096abe0aa343e5c0fa77e3026e9ad7dfdf0b469842f4dd7b996764243f9e5d4ac76df261274dec4fa4798f4f8bb4ce685c44e09896fe4d098cd5dff49366f2439508a87840329144513d7cbef8a08f51f0f269d40f2c68693147b35cb8e"}]}}, {{0x9, 0x5, 0x0, 0x0, 0x400, 0x4, 0x8c, 0xc0, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x6, 0x6}]}}, {{0x9, 0x5, 0x1, 0xc, 0x3ff, 0xba, 0x80, 0xaf, [@generic={0x101, 0x3, "5c617a85c172b1d460725443ddd08183008699c9d7432c2985e49ca0c339ddad0469211d56cd246552882b996f40c32f7c6e1253cc787ae9abff1d8b320e649dc3e6bc022d7c33f1b69a649355bd92fa14cc951821cccce653c8b56c9bf8ed6a4c390e76d661764168542116b728b0de0743cf021f28ad917dd9609b01b5f5c163fee24eee13455e27db6868ba69fe2bf26c8de131a76a4160f62e2776baa28b6c7fa3669ea228eec7a87e283bdc938c41ab157b60bfdfb0cab5998a6e1fc9cf7d348e1796bcb38f2406697fde1471c15ba64913875e5e0987337bb5283ad64a7141aae52b69d43deb8cbe4d2ad4116954eae75f704dd3a8ae92bf0bdd09cf"}, @uac_iso={0x7, 0x25, 0x1, 0x1, 0x3, 0x3f}]}}, {{0x9, 0x5, 0x6, 0x0, 0x1af, 0xfd, 0xe1, 0xff, [@generic={0x52, 0xb, "ab4f49cb636744494a3fd96594ba2665d28f455ea40f039545cf51d6ec49d99c8cb94e1b9ad793b5430481fad9fbb2eb3342cada0222a3eccd7ea1a8c03ab0b0fdbaedaf79facfbe7a9d84eb10e7ea90"}]}}, {{0x9, 0x5, 0x4, 0x1, 0x3ff, 0x88, 0x1f, 0x9, [@uac_iso={0x7, 0x25, 0x1, 0x80, 0x3, 0xfd28}]}}]}}]}}]}}, &(0x7f0000000600)={0xa, &(0x7f00000003c0)={0xa, 0x6, 0x250, 0x3f, 0x20, 0x40, 0x40, 0xd8}, 0x10, &(0x7f0000000400)={0x5, 0xf, 0x10, 0x1, [@wireless={0xb, 0x10, 0x1, 0x8, 0x36, 0x1f, 0x1f, 0x20, 0x20}]}, 0x5, [{0x4, &(0x7f0000000440)=@lang_id={0x4, 0x3, 0xc01}}, {0x71, &(0x7f0000000500)=@string={0x71, 0x3, "37b44743240ccacf8cd51919aabc931c42643ba96658290f35e83c2c1b296cf299252787a0f5db6b7268fcf908cb2f1b6919bfe168a1541da67a9d8c97cd9b2cdace9f4e74e476efddf52ec07514021840cd7ae4a5b46e878b4a62817f6244a34abe8b234ad47fb8027bbb051df1ee"}}, {0x4, &(0x7f0000000480)=@lang_id={0x4, 0x3, 0x403}}, {0x4, &(0x7f0000000580)=@lang_id={0x4, 0x3, 0x180c}}, {0x16, &(0x7f00000005c0)=@string={0x16, 0x3, "29f6364e1cdd2fcbfe22f15df2e87d689221a206"}}]}) syz_usb_control_io$hid(r1, &(0x7f0000000900)={0x24, &(0x7f0000000680)={0x0, 0x7, 0xec, {0xec, 0x26, "3860e2899ca84620aef7a5d64c9b519343a9c8f94d3978ec2520da7fec499cb8d95c1ca918ec0704f54aa55f20674dd2b320ebca11e34f2d5c52ec5fe6a8dc13bcb1701175c4561c5e1ab4a952fcbb82123051969c4edc316717336f917e688d2c2f8e451e3d4ce482f2f8eccbfc744f55798f59e4a9b5f38ca6baf0cdbfbfcf6bd561c92bda202dc27c59e51f83e2329cb4d1c252b628acab96ab6fc7aba427acfa38dcec42964a9dbdb0d957213f78ff4e7a96d266334c74eb1213978ee0a751d13830ed235b17dc98f01a909630ff099febc79e0ddaa450a66ca94cf3ee447968d1b671ffcddbe9b9"}}, &(0x7f0000000800)={0x0, 0x3, 0xba, @string={0xba, 0x3, "621aed7d3189f1773dae66d1d4c8922d3ef436f6c4d3f6486e59b4116706c70b767cc403a849cb7d95f8d5287ea0b6e5a128def72fe1bca1772bc78fb8220a8a537013a89900c2f103ce4610fe9944059fa2c1e67bfb6feab4d7b8b69f11a81895771d113cc40931a2acb906901b3675afb1810f6b45b8f6c87dcf6dc058b933bd40566966da61d7f040ce8b01db932761be6a6239d2c794c6350761f9f8a390e4812f20510edde44ff90b5e08cdf9e880c4277216cf185e"}}, &(0x7f0000000780)={0x0, 0x22, 0x1b, {[@main=@item_4={0x3, 0x0, 0xc, "ea6f9133"}, @local=@item_012={0x2, 0x2, 0x4, "e935"}, @local=@item_4={0x3, 0x2, 0x0, "fa48fbd3"}, @local=@item_4={0x3, 0x2, 0x7, "fc0db804"}, @main=@item_012={0x1, 0x0, 0xa, "e1"}, @local=@item_4={0x3, 0x2, 0x9, "80851115"}, @global=@item_012={0x1, 0x1, 0x0, '`'}]}}, &(0x7f00000008c0)={0x0, 0x21, 0x9, {0x9, 0x21, 0xc4, 0x8, 0x1, {0x22, 0x9bd}}}}, &(0x7f0000000b80)={0x2c, &(0x7f0000000940)={0x60, 0xb, 0x9d, "bfec6d754eb8edaa0a88f3e692b5af815698e86c257808003daa04e7a0f623c5ce585c992479b9f5ea5850f559a7aee50a2adf9a5190532b6dc9b959797b5da5bda41ce9574e590af5720d195d008153e166cc3ae52dab682866da81a935741d21f4f118226748a1d4b74d95f241961564f9436aadb4b104887bfbb116bd0e64ab4ac6c97a41785385392e543b8509addd1808ac4e54583823c09063c5"}, &(0x7f0000000a00)={0x0, 0xa, 0x1, 0x5}, &(0x7f0000000a40)={0x0, 0x8, 0x1, 0x9e}, &(0x7f0000000a80)={0x20, 0x1, 0xa4, "86efad75416d78679d24e564bf2bed9cfb0d0b090a9bd8f2148bbec8102d8049f181ee1b5bebff703a00abd092ed74f1b2d82532504a08053085ebffd1647ed968c865dd77f62b34f3fcaa14c1d7c85332056b715f2a00944a4b6d6e5c2b149cd48645199bd62622e9a4195b6ca2e064c33ea0f894474e3efa419a2d7b9f3bde65ca190e3db110ab8c7f810061d415f901f179639f23b5c3d0310a110ef29750a95d1a46"}, &(0x7f0000000b40)={0x20, 0x3, 0x1}}) 22:45:55 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) ioctl$SNDCTL_DSP_SETFMT(r0, 0xc0045005, &(0x7f0000000000)=0x7) write$dsp(r0, &(0x7f0000000140)="05", 0x1) 22:45:55 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) ioctl$SNDCTL_DSP_SETFMT(r0, 0xc0045005, &(0x7f0000000000)=0x7) write$dsp(r0, &(0x7f0000000140)="05", 0x1) openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) (async) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) (async) ioctl$SNDCTL_DSP_SETFMT(r0, 0xc0045005, &(0x7f0000000000)=0x7) (async) write$dsp(r0, &(0x7f0000000140)="05", 0x1) (async) [ 602.101514][ T3677] usb 6-1: new high-speed USB device number 80 using dummy_hcd [ 602.131680][ T3675] usb 1-1: new high-speed USB device number 83 using dummy_hcd [ 602.281664][ T3677] usb 6-1: device descriptor read/8, error -61 [ 602.311922][ T3675] usb 1-1: device descriptor read/8, error -61 [ 602.361470][T10920] usb 3-1: new high-speed USB device number 12 using dummy_hcd [ 602.401903][ T3677] usb usb6-port1: unable to enumerate USB device [ 602.431608][ T3675] usb usb1-port1: unable to enumerate USB device [ 602.551562][ T3673] usb 4-1: new high-speed USB device number 105 using dummy_hcd [ 602.721683][T10920] usb 3-1: New USB device found, idVendor=06a5, idProduct=d800, bcdDevice=87.12 [ 602.730761][T10920] usb 3-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 602.750330][T10920] usb 3-1: config 0 descriptor?? [ 602.794846][T10920] gspca_main: nw80x-2.14.0 probing 06a5:d800 [ 602.831493][ T3673] usb 4-1: Using ep0 maxpacket: 16 22:45:56 executing program 1: r0 = gettid() (async) socketpair$unix(0x1, 0x0, 0x0, 0x0) r1 = signalfd4(0xffffffffffffffff, &(0x7f0000006000), 0x8, 0x0) r2 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r2, 0x1, r1, &(0x7f00000000c0)) (async) poll(0x0, 0x0, 0x603) fsetxattr(r2, &(0x7f0000000000)=@random={'trusted.', '${.$\x00'}, &(0x7f0000000040)=':]%\x00', 0x4, 0x1) dup2(0xffffffffffffffff, 0xffffffffffffffff) tkill(r0, 0x14) 22:45:56 executing program 0: pipe2(&(0x7f0000000040)={0xffffffffffffffff}, 0x84880) sendmsg$IPSET_CMD_GET_BYINDEX(r0, &(0x7f0000000180)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x200000}, 0xc, &(0x7f0000000140)={&(0x7f00000001c0)=ANY=[@ANYBLOB="4c0000000f0601020000000000000000030000050500010007000000050001000700000006000b000100000005000100070000000005000100070000000500010007000000548816ee87513e75b486dd31d5e5ca9b46306668a1f74ebe00336fc6afbe13cbf78b36564c6a218f47725988a8fb6f326b3e8d6d973df449b07dfd372d7c308e420bb6a42ea49b78f80c46246f440d7a4835b7ceeaec39739b84fce653d7c9bab91edc307f24f8780b74d33bb133075868b79882cb0296c6db8cba"], 0x4c}, 0x1, 0x0, 0x0, 0x4008000}, 0x4000) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r1, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r1, 0x0) r2 = syz_genetlink_get_family_id$tipc2(&(0x7f0000000100), r0) sendmsg$TIPC_NL_PUBL_GET(r1, &(0x7f0000000440)={&(0x7f00000000c0)={0x10, 0x0, 0x0, 0x400}, 0xc, &(0x7f0000000400)={&(0x7f0000000280)={0x17c, r2, 0x400, 0x70bd28, 0x25dfdbfb, {}, [@TIPC_NLA_PUBL={0xc, 0x3, 0x0, 0x1, [@TIPC_NLA_PUBL_TYPE={0x8, 0x1, 0x1000}]}, @TIPC_NLA_SOCK={0xb4, 0x2, 0x0, 0x1, [@TIPC_NLA_SOCK_ADDR={0x8, 0x1, 0x1}, @TIPC_NLA_SOCK_REF={0x8, 0x2, 0x1f}, @TIPC_NLA_SOCK_CON={0x4c, 0x3, 0x0, 0x1, [@TIPC_NLA_CON_FLAG={0x8, 0x1, 0x21}, @TIPC_NLA_CON_FLAG={0x8, 0x1, 0x5}, @TIPC_NLA_CON_FLAG={0x8}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0x9}, @TIPC_NLA_CON_FLAG={0x8, 0x1, 0xfffffeff}, @TIPC_NLA_CON_FLAG={0x8}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0xc645}, @TIPC_NLA_CON_FLAG={0x8, 0x1, 0x9}, @TIPC_NLA_CON_FLAG={0x8, 0x1, 0x6bd}]}, @TIPC_NLA_SOCK_HAS_PUBL={0x4}, @TIPC_NLA_SOCK_ADDR={0x8, 0x1, 0x2}, @TIPC_NLA_SOCK_CON={0x44, 0x3, 0x0, 0x1, [@TIPC_NLA_CON_FLAG={0x8, 0x1, 0x200}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0xfffffff8}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0xffff}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0x6}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0x1}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0x3}, @TIPC_NLA_CON_FLAG={0x8, 0x1, 0x3f}, @TIPC_NLA_CON_FLAG={0x8, 0x1, 0x1ff}]}, @TIPC_NLA_SOCK_HAS_PUBL={0x4}]}, @TIPC_NLA_MON={0xc, 0x9, 0x0, 0x1, [@TIPC_NLA_MON_REF={0x8, 0x2, 0x819f}]}, @TIPC_NLA_NET={0x30, 0x7, 0x0, 0x1, [@TIPC_NLA_NET_NODEID_W1={0xc, 0x4, 0xb86f}, @TIPC_NLA_NET_ADDR={0x8, 0x2, 0x4b76}, @TIPC_NLA_NET_NODEID={0xc, 0x3, 0xff}, @TIPC_NLA_NET_NODEID={0xc}]}, @TIPC_NLA_MEDIA={0x6c, 0x5, 0x0, 0x1, [@TIPC_NLA_MEDIA_PROP={0x34, 0x2, 0x0, 0x1, [@TIPC_NLA_PROP_TOL={0x8, 0x2, 0x19c}, @TIPC_NLA_PROP_MTU={0x8, 0x4, 0x4}, @TIPC_NLA_PROP_TOL={0x8, 0x2, 0x6}, @TIPC_NLA_PROP_MTU={0x8, 0x4, 0x101}, @TIPC_NLA_PROP_TOL={0x8, 0x2, 0x4}, @TIPC_NLA_PROP_TOL={0x8, 0x2, 0xe47a}]}, @TIPC_NLA_MEDIA_NAME={0x8, 0x1, 'eth\x00'}, @TIPC_NLA_MEDIA_NAME={0x7, 0x1, 'ib\x00'}, @TIPC_NLA_MEDIA_NAME={0x8, 0x1, 'eth\x00'}, @TIPC_NLA_MEDIA_NAME={0x7, 0x1, 'ib\x00'}, @TIPC_NLA_MEDIA_PROP={0x14, 0x2, 0x0, 0x1, [@TIPC_NLA_PROP_MTU={0x8, 0x4, 0xa9}, @TIPC_NLA_PROP_TOL={0x8}]}]}]}, 0x17c}, 0x1, 0x0, 0x0, 0x4044010}, 0x40845) syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000dcaaf0109904271050190000000109022c0001000000000904000001e30824000836060100000000092402020000000000090581db7c"], 0x0) [ 602.971742][ T3673] usb 4-1: config 0 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 0, changing to 7 [ 602.988344][ T3673] usb 4-1: New USB device found, idVendor=0499, idProduct=1027, bcdDevice=19.50 [ 603.003179][ T3673] usb 4-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 22:45:56 executing program 5: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[], 0x0) 22:45:56 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) ioctl$SNDCTL_DSP_SETFMT(r0, 0xc0045005, &(0x7f0000000000)=0x7) write$dsp(r0, &(0x7f0000000140)="05", 0x1) openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) (async) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) (async) ioctl$SNDCTL_DSP_SETFMT(r0, 0xc0045005, &(0x7f0000000000)=0x7) (async) write$dsp(r0, &(0x7f0000000140)="05", 0x1) (async) [ 603.023970][ T3673] usb 4-1: config 0 descriptor?? 22:45:56 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) read$dsp(r0, &(0x7f0000000040)=""/60, 0x3c) write$dsp(r0, &(0x7f0000000140)="05", 0x1) ioctl$AUTOFS_DEV_IOCTL_EXPIRE(0xffffffffffffffff, 0xc018937c, &(0x7f0000000000)={{0x1, 0x1, 0x18, r0}, './file0\x00'}) ioctl$SNDCTL_DSP_SYNC(r1, 0x5001, 0x0) 22:45:56 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) (async) read$dsp(r0, &(0x7f0000000040)=""/60, 0x3c) write$dsp(r0, &(0x7f0000000140)="05", 0x1) (async) ioctl$AUTOFS_DEV_IOCTL_EXPIRE(0xffffffffffffffff, 0xc018937c, &(0x7f0000000000)={{0x1, 0x1, 0x18, r0}, './file0\x00'}) ioctl$SNDCTL_DSP_SYNC(r1, 0x5001, 0x0) 22:45:56 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) read$dsp(r0, &(0x7f0000000040)=""/60, 0x3c) write$dsp(r0, &(0x7f0000000140)="05", 0x1) ioctl$AUTOFS_DEV_IOCTL_EXPIRE(0xffffffffffffffff, 0xc018937c, &(0x7f0000000000)={{0x1, 0x1, 0x18, r0}, './file0\x00'}) ioctl$SNDCTL_DSP_SYNC(r1, 0x5001, 0x0) openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) (async) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) (async) read$dsp(r0, &(0x7f0000000040)=""/60, 0x3c) (async) write$dsp(r0, &(0x7f0000000140)="05", 0x1) (async) ioctl$AUTOFS_DEV_IOCTL_EXPIRE(0xffffffffffffffff, 0xc018937c, &(0x7f0000000000)={{0x1, 0x1, 0x18, r0}, './file0\x00'}) (async) ioctl$SNDCTL_DSP_SYNC(r1, 0x5001, 0x0) (async) [ 603.308879][T15303] UDC core: couldn't find an available UDC or it's busy: -16 [ 603.316517][T15303] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 603.327789][ T3673] usb 4-1: USB disconnect, device number 105 [ 603.471595][T12054] usb 1-1: new high-speed USB device number 84 using dummy_hcd [ 603.551563][ T3675] usb 6-1: new high-speed USB device number 81 using dummy_hcd [ 603.681497][T12054] usb 1-1: device descriptor read/64, error 18 [ 603.751450][ T3675] usb 6-1: device descriptor read/64, error 18 22:45:57 executing program 3: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000dcaaf0109904271050190000000109022c0001000000000904000001e30824000836060100000000092402020000000000090581db7c"], 0x0) (async) syz_usb_connect(0x0, 0x36, &(0x7f0000000040)={{0x12, 0x1, 0x250, 0x7d, 0x8e, 0x14, 0xff, 0x12d1, 0x7b8b, 0x5bcc, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x0, 0x1f, 0x4f027a34bffb199, 0x7f, [{{0x9, 0x4, 0xdd, 0x6, 0x1, 0xff, 0x1, 0x65, 0x0, [@uac_control={{0xa, 0x24, 0x1, 0x0, 0x4}}], [{{0x9, 0x5, 0x80, 0x0, 0x200, 0x0, 0x3, 0x1f}}]}}]}}]}}, &(0x7f0000000440)={0xa, &(0x7f0000000080)={0xa, 0x6, 0x110, 0x7f, 0x4, 0x7, 0xff, 0x7}, 0x3f, &(0x7f00000000c0)={0x5, 0xf, 0x3f, 0x4, [@wireless={0xb, 0x10, 0x1, 0xc, 0xb, 0x3, 0x1, 0x7, 0x3f}, @ptm_cap={0x3}, @ss_container_id={0x14, 0x10, 0x4, 0xfe, "307de6bcd33543e31289efc75dd8a3ae"}, @ssp_cap={0x18, 0x10, 0xa, 0x1, 0x3, 0x9, 0xf00, 0x2, [0x3fcf, 0x0, 0xffc000]}]}, 0xa, [{0x4, &(0x7f0000000100)=@lang_id={0x4, 0x3, 0x78}}, {0x59, &(0x7f0000000140)=@string={0x59, 0x3, "a35f3d24bc5b204f4f18fdd8cb14df452c759bc9d06ddbf7438958fb6da51da1b9db8a77f6c6c48b02d1abd06966ca61c71bcdc94cc466e21336fa02fa81345cfde0e2784312d1f5a497d2cf38e234e8b568c527035e25"}}, {0x4, &(0x7f00000001c0)=@lang_id={0x4, 0x3, 0x4}}, {0x4, &(0x7f0000000200)=@lang_id={0x4, 0x3, 0x80c}}, {0x57, &(0x7f0000000240)=@string={0x57, 0x3, "99d944958e9aab7fb4585a6459afbea3ac2dcdd3901419cbba1a49cd3363e8a062f3d11929463e84bb9ac4243f581f5f208f27619629b9a49c560039e9722eaf396eb76511bedd6c95c0a4db7f2fdffa801796b073"}}, {0x4, &(0x7f00000002c0)=@lang_id={0x4, 0x3, 0x445}}, {0x4, &(0x7f0000000300)=@lang_id={0x4, 0x3, 0x440a}}, {0x4, &(0x7f0000000340)=@lang_id={0x4}}, {0x4, &(0x7f0000000380)=@lang_id={0x4, 0x3, 0x400a}}, {0x80, &(0x7f00000003c0)=@string={0x80, 0x3, "a44c2217ca29310d42f2d2a26f8bd81e79a1d34cfb44cbc622330c8c5f2edbc59a974d224775390cf42435936ce0e0743b076aa7f31acc1974af7a1b4dbfdec67933d8f555784ef02435ff06191a8ca39be5143094cc0f54bfbb94dc4bdba497c4f5d380fb044d3f81ac3e3ac934c797bfca378d31301812cde4f0071770"}}]}) 22:45:57 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(0xffffffffffffffff, 0xc0045002, &(0x7f0000000000)=0x4) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r1, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r1, 0x0) ioctl$SNDCTL_DSP_SETTRIGGER(r1, 0x40045010, &(0x7f0000000040)=0xdc38) ioctl$AUTOFS_DEV_IOCTL_SETPIPEFD(0xffffffffffffffff, 0xc0189378, &(0x7f00000001c0)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32=r0, @ANYRES32=0xffffffffffffffff, @ANYBLOB="000000002e2f6665696c65300090a4410bab389f144b80247f135ce587379a0c494d256ebc7041d23c713521a6881d2a56bd4489b9c3e1f69eacda04130f563582110661b3f515cb08f16dae940e34cf6612db1d3038134b4f368ad42b705a5500c6d336c960e3fc2add6ab0ae3a193c10058f4b67e1518a81b55e9abc73bab21a4ca9632d3cf55642845b5bcaa03c940796c03bb66655772f6e47664f453d59cb1423ea2cb11b338b74548bdc897b034200631283d09282354265f4bf1153440d4e4c8c09e58fb7717375d8c664cb12f40cd42d1931809c0789169da11291f629cad4af99cb99398ec8a7dd335065c9d7032590d997cb501f4f18680eccecc70758ad31fa990091a3b94651cb27fde902e17aa302ccc26ae440043125ae66a93ee76ba2e93d3e2aadc38fe2745b000000"]) ioctl$SNDCTL_DSP_SPEED(r2, 0xc0045002, &(0x7f00000004c0)=0x1ffffffc) write$dsp(r0, &(0x7f0000000140)="05", 0x1) [ 603.871234][T15340] UDC core: couldn't find an available UDC or it's busy: -16 [ 603.882499][T15340] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 603.971582][T12054] usb 1-1: new high-speed USB device number 85 using dummy_hcd [ 604.041498][ T3675] usb 6-1: new high-speed USB device number 82 using dummy_hcd [ 604.131585][T10921] usb 4-1: new high-speed USB device number 106 using dummy_hcd [ 604.181477][T12054] usb 1-1: device descriptor read/64, error 18 [ 604.231455][ T3675] usb 6-1: device descriptor read/64, error 18 [ 604.302291][T12054] usb usb1-port1: attempt power cycle [ 604.331522][T10920] gspca_nw80x: reg_w err -71 [ 604.336385][T10920] nw80x: probe of 3-1:0.0 failed with error -71 [ 604.350352][T10920] usb 3-1: USB disconnect, device number 12 [ 604.361883][ T3675] usb usb6-port1: attempt power cycle [ 604.371517][T10921] usb 4-1: Invalid ep0 maxpacket: 512 [ 604.521530][T10921] usb 4-1: new high-speed USB device number 107 using dummy_hcd [ 604.731490][T12054] usb 1-1: new high-speed USB device number 86 using dummy_hcd [ 604.761572][T10921] usb 4-1: Invalid ep0 maxpacket: 512 [ 604.768834][T10921] usb usb4-port1: attempt power cycle 22:45:58 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async) ioctl$SNDCTL_DSP_SPEED(0xffffffffffffffff, 0xc0045002, &(0x7f0000000000)=0x4) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r1, &(0x7f0000000040)=ANY=[], 0xfea7) (async) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r1, 0x0) (async, rerun: 64) ioctl$SNDCTL_DSP_SETTRIGGER(r1, 0x40045010, &(0x7f0000000040)=0xdc38) (rerun: 64) ioctl$AUTOFS_DEV_IOCTL_SETPIPEFD(0xffffffffffffffff, 0xc0189378, &(0x7f00000001c0)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32=r0, @ANYRES32=0xffffffffffffffff, @ANYBLOB="000000002e2f6665696c65300090a4410bab389f144b80247f135ce587379a0c494d256ebc7041d23c713521a6881d2a56bd4489b9c3e1f69eacda04130f563582110661b3f515cb08f16dae940e34cf6612db1d3038134b4f368ad42b705a5500c6d336c960e3fc2add6ab0ae3a193c10058f4b67e1518a81b55e9abc73bab21a4ca9632d3cf55642845b5bcaa03c940796c03bb66655772f6e47664f453d59cb1423ea2cb11b338b74548bdc897b034200631283d09282354265f4bf1153440d4e4c8c09e58fb7717375d8c664cb12f40cd42d1931809c0789169da11291f629cad4af99cb99398ec8a7dd335065c9d7032590d997cb501f4f18680eccecc70758ad31fa990091a3b94651cb27fde902e17aa302ccc26ae440043125ae66a93ee76ba2e93d3e2aadc38fe2745b000000"]) (async) ioctl$SNDCTL_DSP_SPEED(r2, 0xc0045002, &(0x7f00000004c0)=0x1ffffffc) (async) write$dsp(r0, &(0x7f0000000140)="05", 0x1) 22:45:58 executing program 2: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x21, 0x5d, 0x4b, 0x40, 0x6a5, 0xd800, 0x8712, 0x0, 0x0, 0x0, 0x1, [{{0x9, 0x2, 0x12, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0xf9, 0x30, 0x5d}}]}}]}}, 0x0) syz_usb_control_io$printer(r0, 0x0, 0x0) (async, rerun: 32) syz_usb_control_io$printer(r0, 0x0, &(0x7f00000007c0)={0x34, &(0x7f0000000080)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0}) (rerun: 32) syz_usb_control_io$hid(r0, 0x0, 0x0) (async) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000004c0)={0x2c, &(0x7f0000000040)=ANY=[], 0x0, 0x0, 0x0, 0x0}) (async, rerun: 64) syz_usb_control_io$hid(r0, &(0x7f0000000200)={0x24, &(0x7f0000000040)={0x317e34273e965f72, 0x6, 0xcd, {0xcd, 0x1, "9c449ef1d88846d19a3622c15a5668a4d6ab93b400655364985f5c470958190df8987f8ec26c0fe2fc469a473fb571328f18814f0741a956f9a18b7bb9ce3b77922be7d8470c3637b376e509ddb523fd21cf3dc0257ab35ebba63488eab39ebda02b4e8ffe9065dc842aa33597f6d211253ab65a5c65f074d15380980a4841fc7b5b3c5e5d5a4b6d39d6dbab130916bc65a3b167a162a7a758dc2fd3f4ff78e2615bab93e244674a51e5fc7a27b07204ece3a7320fdabc797363622f730486b84e04beacf11653f9b2b767"}}, &(0x7f0000000140)={0x0, 0x3, 0xb, @string={0xb, 0x3, "65da131493b940e7a6"}}, &(0x7f0000000180)={0x0, 0x22, 0xc, {[@main=@item_4={0x3, 0x0, 0x9, "45dc258a"}, @local=@item_012={0x1, 0x2, 0xa, "cf"}, @main=@item_4={0x3, 0x0, 0xa, "2a67c86a"}]}}, &(0x7f00000001c0)={0x0, 0x21, 0x9, {0x9, 0x21, 0xec3f, 0xfa, 0x1, {0x22, 0x48d}}}}, &(0x7f0000000380)={0x2c, &(0x7f0000000240)={0x0, 0x16, 0x11, "6e2d97f51e35f2082f71db85de9e263686"}, &(0x7f0000000280)={0x0, 0xa, 0x1, 0x8}, &(0x7f00000002c0)={0x0, 0x8, 0x1, 0x81}, &(0x7f0000000300)={0x20, 0x1, 0x13, "b7df7c89265f2046605665aa4fc239de523c5c"}, &(0x7f0000000340)={0x20, 0x3, 0x1, 0x6}}) (async, rerun: 64) syz_usb_control_io$cdc_ecm(r0, 0x0, &(0x7f00000010c0)={0x1c, &(0x7f0000000040)=ANY=[], 0x0, 0x0}) (async) r1 = syz_usb_connect(0x4, 0xbb1, &(0x7f0000001100)={{0x12, 0x1, 0x310, 0x6e, 0xde, 0xa2, 0x8, 0x106c, 0x3712, 0x5240, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0xb9f, 0x3, 0x2, 0x81, 0x20, 0x1, [{{0x9, 0x4, 0x1e, 0xed, 0xb, 0xff, 0x0, 0x0, 0x1f, [@uac_as={[@format_type_i_discrete={0x8, 0x24, 0x2, 0x1, 0x8, 0x2, 0x80, 0x90}, @as_header={0x7, 0x24, 0x1, 0x0, 0x8, 0x4}, @format_type_i_discrete={0xd, 0x24, 0x2, 0x1, 0x3, 0x4, 0x3f, 0xfb, "2eb59c208c"}, @format_type_i_continuous={0xb, 0x24, 0x2, 0x1, 0x4, 0x4, 0x20, 0x7, "", "7bb729"}]}, @uac_as={[@format_type_ii_discrete={0xe, 0x24, 0x2, 0x2, 0x0, 0xff4d, 0xb2, "1483c79029"}]}], [{{0x9, 0x5, 0x9, 0x3, 0x60, 0x20, 0x9, 0x9, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x7, 0x401}]}}, {{0x9, 0x5, 0x7, 0x0, 0x3ff, 0x81, 0x7, 0x9, [@generic={0xae, 0xe, "cd29d06b893dceba8b45b7c0680d743d74d6440750777d76f477780f202383222dab3a90ff4cb1d6a2001f1d6f6e2d195be4816ace2eef55c333dc610e99fc756772e1f9099a057bee1570c5368dd092db97fe5874dc4fe3087b272efa9c514a9d0e6f2eeab41b3d2b5b8d68eddefefc01a99b996f6fe215629cbcd7f114359eb1ab2c936058ed681f50b045c6e6f0c52cf2ca8634052d8b3d69396c034d6bcc18594e27e48568c3cc270105"}]}}, {{0x9, 0x5, 0xd, 0x0, 0x3ff, 0x0, 0x7f, 0x49, [@uac_iso={0x7, 0x25, 0x1, 0x80, 0x6, 0x60}]}}, {{0x9, 0x5, 0x0, 0x10, 0x40, 0x4, 0x80, 0x4, [@generic={0x27, 0x2, "a431df2bb6c09176d79ebc54cddeb96fe5bd0180e797a235db35ece0dd8f8bd65b237a1b06"}]}}, {{0x9, 0x5, 0xf, 0x10, 0x20, 0x7, 0x40, 0x8, [@generic={0xf9, 0x24, "3c38c01fcf0a109a108395f6e2966c40efd2b1f9caccba2a5d76a316605eced1a9c3451189d8a2a05e24eda573f4126cc2d9297d7b426a2b7bdaaf4b5820f69ce8723e673130889d9f704922ef8d6d86289122a349261da507aa24dfcfc7fccf75d2d12301bb58e34c7a8231be2febe93a2e5427a4255dd15396ce8a2d0d3a70833014151665c0160639d2385b1c398ff895a8548f4a078c8b2535f674a29aed37e1872efbc2cc9dce6b8a1e0b833287b5fb2837d16b51b12e41fb2231705ae0767cd25097f77797d95f3b28978bfbf5de9ae06950363ac9c95eff1eb967721e98579a8f2233f536b7cde7d0de2892078a283dec1061cd"}]}}, {{0x9, 0x5, 0x8, 0x0, 0x8, 0x5, 0x9, 0x3, [@generic={0x4d, 0xb, "f702b3dbae1dec0cde4c3788594dcb664a3dbfdd6ba538ad846bd1ac3a639fdbbbe3b949fa926b04147a9adf08cabeb8c6804ff1383abddb75a05f7351bbae757e7cf65a65278e234de5c0"}]}}, {{0x9, 0x5, 0x5, 0x10, 0x8, 0x2, 0x81, 0x7, [@uac_iso={0x7, 0x25, 0x1, 0x3, 0xe0, 0x7}, @generic={0xab, 0x11, "fe83e642aee626ca48e2b17c8e4b80c6cb87d667212b72048532be5331bc8230fedf5dda4d19ea9419ef414bf053063f27fb5ad4d8888e6f00b17d739385da34b488b0629a475f366defb1a3a2133f0a8aab3af0ccf0b8e2ed9c41d2e3da92cee08186e5295c4b81fedee941675c1191f05d373e05287a0c403533c63642c3a5a9f2a17d5c6eb2a13d44c2f64618d4923eb209416627e56d06a49153d48975f260b58a8cc90f4dfb81"}]}}, {{0x9, 0x5, 0xe, 0xc, 0x3ff, 0x4, 0x7f, 0x1, [@uac_iso={0x7, 0x25, 0x1, 0x2, 0x6, 0x5}, @uac_iso={0x7, 0x25, 0x1, 0x2, 0x1f, 0x2}]}}, {{0x9, 0x5, 0xa, 0x0, 0x10, 0x4, 0x7, 0x4, [@uac_iso={0x7, 0x25, 0x1, 0x2, 0x6, 0x101}]}}, {{0x9, 0x5, 0x3, 0x3, 0x8, 0x8, 0x7, 0x3, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x9c, 0x5}, @uac_iso={0x7, 0x25, 0x1, 0x80, 0x6}]}}, {{0x9, 0x5, 0xb, 0x10, 0x400, 0xfc, 0x0, 0x7, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x4, 0x8}]}}]}}, {{0x9, 0x4, 0x4, 0x1, 0x1, 0x7d, 0x57, 0xaa, 0x40, [@uac_control={{0xa, 0x24, 0x1, 0x7, 0x4}, [@input_terminal={0xc, 0x24, 0x2, 0x5, 0x100, 0x5, 0x3f, 0x5, 0x3, 0x36}, @input_terminal={0xc, 0x24, 0x2, 0x5, 0x1ff, 0x4, 0x1, 0x1, 0x81, 0x5}, @extension_unit={0x7, 0x24, 0x8, 0x6, 0x5, 0x4}, @mixer_unit={0xa, 0x24, 0x4, 0x5, 0x50, "1069212849"}, @output_terminal={0x9, 0x24, 0x3, 0x4, 0x302, 0x3, 0x4, 0x20}, @processing_unit={0xb, 0x24, 0x7, 0x5, 0x6, 0x81, "df50cbec"}]}], [{{0x9, 0x5, 0x2, 0x0, 0x8, 0x3, 0x80, 0x4, [@generic={0x59, 0x9, "61960bfae1b6f6d7a129c7ecf28cc9607918deee62400810646d9fc4631304e138f6f14134a66e512dc9166b1c3fe7f4efb9159e658719891c7d0ab866a66e3cc5cafbfe036e1dd3e8acdc7fb24055d040cb97cf339d5e"}]}}]}}, {{0x9, 0x4, 0x24, 0x81, 0xf, 0xff, 0x59, 0x39, 0x22, [@hid_hid={0x9, 0x21, 0x7f, 0x7, 0x1, {0x22, 0x5bb}}], [{{0x9, 0x5, 0xf, 0x8, 0xde6438dd53450986, 0x6, 0x1, 0xd4, [@generic={0xa7, 0x30, "a43a37d9bd13070536f6fdbd52092d613b995467fa2607555f9276b8de81b29498bed47257343ce75da309383966d918bd6839ee055c0ce5363e8f792189457419344df6a9e3db7e3009829bd753f7012777234de30f1c4c929c18b4efe4828ad4045b083ce948170c133e3118b5e3433d60bdb9c4cd01372e6535694d5e1077aabe04c7eef0db36fed32c46590ae3d94819e3b3a0a2223b713998529f188ba890c4572ca3"}]}}, {{0x9, 0x5, 0x2, 0x3, 0x208, 0x9, 0x8, 0xe0, [@generic={0x6e, 0x24, "c4d945c16f5094c40da81b67003702c05df19fc23ecd8dd0ca17dec42e2aaef98fe4478dee9e0168d78f2806830010a1927a0c646be752891d1a953ee72a5fb15a42e826bf910b6f82911ce06feb1d63b60a32167efd2ad133e370665670e995c303723fe233d6db35fb79d9"}]}}, {{0x9, 0x5, 0xa, 0x0, 0x20, 0xeb, 0x0, 0x1, [@uac_iso={0x7, 0x25, 0x1, 0x80, 0x1, 0x3}]}}, {{0x9, 0x5, 0xf, 0xd, 0x40, 0xed, 0x5d, 0x4, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0xc4, 0x7}]}}, {{0x9, 0x5, 0x1, 0x1, 0x10, 0x2, 0x0, 0x5, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x0, 0x2}, @generic={0x9b, 0x8, "1bdd96c49871b99da82f99b66abd3cd1fbed9d008ba1addcef4e5f251224bed19fc67d4ff2a2fced4333c27c87990b5b1c6a73f2cffcc20e874991be0e24370650f0cd762f0ea3e6dc065dcb821a5c50a5851a71d115638ba0a2aa8015e2f18b2045e03bc17dc9d172d633855d788b556eebc5275a87a3e37919e982b27761b820e113520cfb155dfe12d468673a5a7ad22f21153c376c06d5"}]}}, {{0x9, 0x5, 0x9, 0x10, 0x40, 0xff, 0xe9, 0xff, [@generic={0xf0, 0x1, "04149583c1bb03134cf4d98034dc2795567c861ecb37fecbf2a6b36d8b0895c306e625ebbf7c0dadea0e1d209b2009704f25e2acbede2c33a2c96b6c9a6e6eb83470ddc7bd4e86f0315ce4fa59de858e85dc36c6d49a642d04e395b895e392209f1ee6e8be069ba37ce658e527be8c1322c321a2dee635d4883037861280dab3dc71457456e0d3608e522e5fb9fb14f90b357766106443cea61f0a50a82c1abc63df9ffbc35c1a84e9376eb3e45a63b06de6bec18d6a63ece397f044cb14738980030f85e6553ebb0210424482d13ab2fdf4c1f71979140844eae764652cadb59f876cac2101cad9d2c4b9cd70df"}]}}, {{0x9, 0x5, 0x5, 0x2, 0x200, 0x7, 0x2, 0x9, [@generic={0xc8, 0x7, "466a4e955ac3ff1d14454a67f6b05ac4036bb4d0cf8bf1cdd00980c4be05e3ed99e68e3c166409f08b912bca42ae265cbcec616b2e75ce485c412727f9a261be936936a7c4c674923ee16a7ab40eb004e960c1652f64e3d3461370376fe723812be77a67b9386829bbd0c24c7a1b6cc858ececa9b6b52ed45e9dd28f34bb3262aa7718860336f0e68c298d293d15d5d9aabda3a73004b993dd8410dd4622a31ab8bcee804dd8b3ab79a9fc5c2d848420e0ff6089baaed19e26686a31fca0e77fe67b4f00d194"}]}}, {{0x9, 0x5, 0x2, 0x10, 0x20, 0xfc, 0x6, 0xff, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x7}]}}, {{0x9, 0x5, 0x8, 0x10, 0x3ff, 0x7f, 0xf9, 0x19, [@generic={0xe5, 0xc, "c111f17dad84c1708289e618a7ec3cd6615152a8a31ac8ffdccb4cbe945b072012a05ab25846049845343447bc6bb3a2b502006f60cad21a7442c3a8feba6880c988de33baebae9035455a65e7df306763afc5edc7dec3787de688110c652636451614caeee2e89a24dc177dcf986795e2617727083f29a229ea971b55df4352bce118e90e88831c9a635e87f3697bcb68e797c11ac8732ab5c3bad7a3d73f547456276002e184ec119743a4200368a1e3a62656a0f1437c7b9b5b58c0c457b267ce2d22a970ee755ecbcc3d9f9313ca22c42591de17ad70cfc714a8c66d602b52458e"}]}}, {{0x9, 0x5, 0x9, 0x0, 0x3ff, 0x3f, 0xe0, 0x5, [@uac_iso={0x7, 0x25, 0x1, 0x3, 0x1c, 0x3}]}}, {{0x9, 0x5, 0x0, 0x0, 0x200, 0x6, 0x81, 0x1, [@generic={0xce, 0x31, "f5b29366153454c0987dc2278dd7fae796baac113d597d689dddcd299ab19b085538b00f36b76b223434b846b286e4a76516cc164d22ca2f27d0a73f9431910ff7e1c879e8fe0d36dd3ea39f4f02e28b60bd54cdc219a5b8e4fbc28263c396332083c420ec23ce5f9eaffb08f889b096abe0aa343e5c0fa77e3026e9ad7dfdf0b469842f4dd7b996764243f9e5d4ac76df261274dec4fa4798f4f8bb4ce685c44e09896fe4d098cd5dff49366f2439508a87840329144513d7cbef8a08f51f0f269d40f2c68693147b35cb8e"}]}}, {{0x9, 0x5, 0x0, 0x0, 0x400, 0x4, 0x8c, 0xc0, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x6, 0x6}]}}, {{0x9, 0x5, 0x1, 0xc, 0x3ff, 0xba, 0x80, 0xaf, [@generic={0x101, 0x3, "5c617a85c172b1d460725443ddd08183008699c9d7432c2985e49ca0c339ddad0469211d56cd246552882b996f40c32f7c6e1253cc787ae9abff1d8b320e649dc3e6bc022d7c33f1b69a649355bd92fa14cc951821cccce653c8b56c9bf8ed6a4c390e76d661764168542116b728b0de0743cf021f28ad917dd9609b01b5f5c163fee24eee13455e27db6868ba69fe2bf26c8de131a76a4160f62e2776baa28b6c7fa3669ea228eec7a87e283bdc938c41ab157b60bfdfb0cab5998a6e1fc9cf7d348e1796bcb38f2406697fde1471c15ba64913875e5e0987337bb5283ad64a7141aae52b69d43deb8cbe4d2ad4116954eae75f704dd3a8ae92bf0bdd09cf"}, @uac_iso={0x7, 0x25, 0x1, 0x1, 0x3, 0x3f}]}}, {{0x9, 0x5, 0x6, 0x0, 0x1af, 0xfd, 0xe1, 0xff, [@generic={0x52, 0xb, "ab4f49cb636744494a3fd96594ba2665d28f455ea40f039545cf51d6ec49d99c8cb94e1b9ad793b5430481fad9fbb2eb3342cada0222a3eccd7ea1a8c03ab0b0fdbaedaf79facfbe7a9d84eb10e7ea90"}]}}, {{0x9, 0x5, 0x4, 0x1, 0x3ff, 0x88, 0x1f, 0x9, [@uac_iso={0x7, 0x25, 0x1, 0x80, 0x3, 0xfd28}]}}]}}]}}]}}, &(0x7f0000000600)={0xa, &(0x7f00000003c0)={0xa, 0x6, 0x250, 0x3f, 0x20, 0x40, 0x40, 0xd8}, 0x10, &(0x7f0000000400)={0x5, 0xf, 0x10, 0x1, [@wireless={0xb, 0x10, 0x1, 0x8, 0x36, 0x1f, 0x1f, 0x20, 0x20}]}, 0x5, [{0x4, &(0x7f0000000440)=@lang_id={0x4, 0x3, 0xc01}}, {0x71, &(0x7f0000000500)=@string={0x71, 0x3, "37b44743240ccacf8cd51919aabc931c42643ba96658290f35e83c2c1b296cf299252787a0f5db6b7268fcf908cb2f1b6919bfe168a1541da67a9d8c97cd9b2cdace9f4e74e476efddf52ec07514021840cd7ae4a5b46e878b4a62817f6244a34abe8b234ad47fb8027bbb051df1ee"}}, {0x4, &(0x7f0000000480)=@lang_id={0x4, 0x3, 0x403}}, {0x4, &(0x7f0000000580)=@lang_id={0x4, 0x3, 0x180c}}, {0x16, &(0x7f00000005c0)=@string={0x16, 0x3, "29f6364e1cdd2fcbfe22f15df2e87d689221a206"}}]}) syz_usb_control_io$hid(r1, &(0x7f0000000900)={0x24, &(0x7f0000000680)={0x0, 0x7, 0xec, {0xec, 0x26, "3860e2899ca84620aef7a5d64c9b519343a9c8f94d3978ec2520da7fec499cb8d95c1ca918ec0704f54aa55f20674dd2b320ebca11e34f2d5c52ec5fe6a8dc13bcb1701175c4561c5e1ab4a952fcbb82123051969c4edc316717336f917e688d2c2f8e451e3d4ce482f2f8eccbfc744f55798f59e4a9b5f38ca6baf0cdbfbfcf6bd561c92bda202dc27c59e51f83e2329cb4d1c252b628acab96ab6fc7aba427acfa38dcec42964a9dbdb0d957213f78ff4e7a96d266334c74eb1213978ee0a751d13830ed235b17dc98f01a909630ff099febc79e0ddaa450a66ca94cf3ee447968d1b671ffcddbe9b9"}}, &(0x7f0000000800)={0x0, 0x3, 0xba, @string={0xba, 0x3, "621aed7d3189f1773dae66d1d4c8922d3ef436f6c4d3f6486e59b4116706c70b767cc403a849cb7d95f8d5287ea0b6e5a128def72fe1bca1772bc78fb8220a8a537013a89900c2f103ce4610fe9944059fa2c1e67bfb6feab4d7b8b69f11a81895771d113cc40931a2acb906901b3675afb1810f6b45b8f6c87dcf6dc058b933bd40566966da61d7f040ce8b01db932761be6a6239d2c794c6350761f9f8a390e4812f20510edde44ff90b5e08cdf9e880c4277216cf185e"}}, &(0x7f0000000780)={0x0, 0x22, 0x1b, {[@main=@item_4={0x3, 0x0, 0xc, "ea6f9133"}, @local=@item_012={0x2, 0x2, 0x4, "e935"}, @local=@item_4={0x3, 0x2, 0x0, "fa48fbd3"}, @local=@item_4={0x3, 0x2, 0x7, "fc0db804"}, @main=@item_012={0x1, 0x0, 0xa, "e1"}, @local=@item_4={0x3, 0x2, 0x9, "80851115"}, @global=@item_012={0x1, 0x1, 0x0, '`'}]}}, &(0x7f00000008c0)={0x0, 0x21, 0x9, {0x9, 0x21, 0xc4, 0x8, 0x1, {0x22, 0x9bd}}}}, &(0x7f0000000b80)={0x2c, &(0x7f0000000940)={0x60, 0xb, 0x9d, "bfec6d754eb8edaa0a88f3e692b5af815698e86c257808003daa04e7a0f623c5ce585c992479b9f5ea5850f559a7aee50a2adf9a5190532b6dc9b959797b5da5bda41ce9574e590af5720d195d008153e166cc3ae52dab682866da81a935741d21f4f118226748a1d4b74d95f241961564f9436aadb4b104887bfbb116bd0e64ab4ac6c97a41785385392e543b8509addd1808ac4e54583823c09063c5"}, &(0x7f0000000a00)={0x0, 0xa, 0x1, 0x5}, &(0x7f0000000a40)={0x0, 0x8, 0x1, 0x9e}, &(0x7f0000000a80)={0x20, 0x1, 0xa4, "86efad75416d78679d24e564bf2bed9cfb0d0b090a9bd8f2148bbec8102d8049f181ee1b5bebff703a00abd092ed74f1b2d82532504a08053085ebffd1647ed968c865dd77f62b34f3fcaa14c1d7c85332056b715f2a00944a4b6d6e5c2b149cd48645199bd62622e9a4195b6ca2e064c33ea0f894474e3efa419a2d7b9f3bde65ca190e3db110ab8c7f810061d415f901f179639f23b5c3d0310a110ef29750a95d1a46"}, &(0x7f0000000b40)={0x20, 0x3, 0x1}}) [ 604.791552][ T3675] usb 6-1: new high-speed USB device number 83 using dummy_hcd 22:45:58 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) (async) ioctl$SNDCTL_DSP_SPEED(0xffffffffffffffff, 0xc0045002, &(0x7f0000000000)=0x4) (async) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r1, &(0x7f0000000040)=ANY=[], 0xfea7) (async) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r1, 0x0) ioctl$SNDCTL_DSP_SETTRIGGER(r1, 0x40045010, &(0x7f0000000040)=0xdc38) (async, rerun: 64) ioctl$AUTOFS_DEV_IOCTL_SETPIPEFD(0xffffffffffffffff, 0xc0189378, &(0x7f00000001c0)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32=r0, @ANYRES32=0xffffffffffffffff, @ANYBLOB="000000002e2f6665696c65300090a4410bab389f144b80247f135ce587379a0c494d256ebc7041d23c713521a6881d2a56bd4489b9c3e1f69eacda04130f563582110661b3f515cb08f16dae940e34cf6612db1d3038134b4f368ad42b705a5500c6d336c960e3fc2add6ab0ae3a193c10058f4b67e1518a81b55e9abc73bab21a4ca9632d3cf55642845b5bcaa03c940796c03bb66655772f6e47664f453d59cb1423ea2cb11b338b74548bdc897b034200631283d09282354265f4bf1153440d4e4c8c09e58fb7717375d8c664cb12f40cd42d1931809c0789169da11291f629cad4af99cb99398ec8a7dd335065c9d7032590d997cb501f4f18680eccecc70758ad31fa990091a3b94651cb27fde902e17aa302ccc26ae440043125ae66a93ee76ba2e93d3e2aadc38fe2745b000000"]) (async, rerun: 64) ioctl$SNDCTL_DSP_SPEED(r2, 0xc0045002, &(0x7f00000004c0)=0x1ffffffc) (async) write$dsp(r0, &(0x7f0000000140)="05", 0x1) [ 604.921739][T12054] usb 1-1: device descriptor read/8, error -61 [ 604.981641][ T3675] usb 6-1: device descriptor read/8, error -61 [ 605.111904][ T3673] usb 3-1: new high-speed USB device number 13 using dummy_hcd [ 605.181582][T10921] usb 4-1: new high-speed USB device number 108 using dummy_hcd [ 605.201775][T12054] usb 1-1: new high-speed USB device number 87 using dummy_hcd [ 605.271632][T10921] usb 4-1: Invalid ep0 maxpacket: 512 [ 605.281554][ T3675] usb 6-1: new high-speed USB device number 84 using dummy_hcd [ 605.406822][T12054] usb 1-1: device descriptor read/8, error -61 [ 605.422571][T10921] usb 4-1: new high-speed USB device number 109 using dummy_hcd [ 605.471660][ T3675] usb 6-1: device descriptor read/8, error -61 [ 605.501620][ T3673] usb 3-1: New USB device found, idVendor=06a5, idProduct=d800, bcdDevice=87.12 [ 605.510852][ T3673] usb 3-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 605.511654][T10921] usb 4-1: Invalid ep0 maxpacket: 512 [ 605.521386][ T3673] usb 3-1: config 0 descriptor?? [ 605.537816][T10921] usb usb4-port1: unable to enumerate USB device [ 605.539859][T12054] usb usb1-port1: unable to enumerate USB device [ 605.564449][ T3673] gspca_main: nw80x-2.14.0 probing 06a5:d800 [ 605.591678][ T3675] usb usb6-port1: unable to enumerate USB device 22:45:59 executing program 1: r0 = gettid() socketpair$unix(0x1, 0x0, 0x0, 0x0) r1 = signalfd4(0xffffffffffffffff, &(0x7f0000006000), 0x8, 0x0) r2 = epoll_create1(0x0) epoll_ctl$EPOLL_CTL_ADD(r2, 0x1, r1, &(0x7f00000000c0)) poll(0x0, 0x0, 0x603) fsetxattr(r2, &(0x7f0000000000)=@random={'trusted.', '${.$\x00'}, &(0x7f0000000040)=':]%\x00', 0x4, 0x1) dup2(0xffffffffffffffff, 0xffffffffffffffff) tkill(r0, 0x14) gettid() (async) socketpair$unix(0x1, 0x0, 0x0, 0x0) (async) signalfd4(0xffffffffffffffff, &(0x7f0000006000), 0x8, 0x0) (async) epoll_create1(0x0) (async) epoll_ctl$EPOLL_CTL_ADD(r2, 0x1, r1, &(0x7f00000000c0)) (async) poll(0x0, 0x0, 0x603) (async) fsetxattr(r2, &(0x7f0000000000)=@random={'trusted.', '${.$\x00'}, &(0x7f0000000040)=':]%\x00', 0x4, 0x1) (async) dup2(0xffffffffffffffff, 0xffffffffffffffff) (async) tkill(r0, 0x14) (async) 22:45:59 executing program 0: pipe2(&(0x7f0000000040)={0xffffffffffffffff}, 0x84880) sendmsg$IPSET_CMD_GET_BYINDEX(r0, &(0x7f0000000180)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x200000}, 0xc, &(0x7f0000000140)={&(0x7f00000001c0)=ANY=[@ANYBLOB="4c0000000f0601020000000000000000030000050500010007000000050001000700000006000b000100000005000100070000000005000100070000000500010007000000548816ee87513e75b486dd31d5e5ca9b46306668a1f74ebe00336fc6afbe13cbf78b36564c6a218f47725988a8fb6f326b3e8d6d973df449b07dfd372d7c308e420bb6a42ea49b78f80c46246f440d7a4835b7ceeaec39739b84fce653d7c9bab91edc307f24f8780b74d33bb133075868b79882cb0296c6db8cba"], 0x4c}, 0x1, 0x0, 0x0, 0x4008000}, 0x4000) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r1, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r1, 0x0) r2 = syz_genetlink_get_family_id$tipc2(&(0x7f0000000100), r0) sendmsg$TIPC_NL_PUBL_GET(r1, &(0x7f0000000440)={&(0x7f00000000c0)={0x10, 0x0, 0x0, 0x400}, 0xc, &(0x7f0000000400)={&(0x7f0000000280)={0x17c, r2, 0x400, 0x70bd28, 0x25dfdbfb, {}, [@TIPC_NLA_PUBL={0xc, 0x3, 0x0, 0x1, [@TIPC_NLA_PUBL_TYPE={0x8, 0x1, 0x1000}]}, @TIPC_NLA_SOCK={0xb4, 0x2, 0x0, 0x1, [@TIPC_NLA_SOCK_ADDR={0x8, 0x1, 0x1}, @TIPC_NLA_SOCK_REF={0x8, 0x2, 0x1f}, @TIPC_NLA_SOCK_CON={0x4c, 0x3, 0x0, 0x1, [@TIPC_NLA_CON_FLAG={0x8, 0x1, 0x21}, @TIPC_NLA_CON_FLAG={0x8, 0x1, 0x5}, @TIPC_NLA_CON_FLAG={0x8}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0x9}, @TIPC_NLA_CON_FLAG={0x8, 0x1, 0xfffffeff}, @TIPC_NLA_CON_FLAG={0x8}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0xc645}, @TIPC_NLA_CON_FLAG={0x8, 0x1, 0x9}, @TIPC_NLA_CON_FLAG={0x8, 0x1, 0x6bd}]}, @TIPC_NLA_SOCK_HAS_PUBL={0x4}, @TIPC_NLA_SOCK_ADDR={0x8, 0x1, 0x2}, @TIPC_NLA_SOCK_CON={0x44, 0x3, 0x0, 0x1, [@TIPC_NLA_CON_FLAG={0x8, 0x1, 0x200}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0xfffffff8}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0xffff}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0x6}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0x1}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0x3}, @TIPC_NLA_CON_FLAG={0x8, 0x1, 0x3f}, @TIPC_NLA_CON_FLAG={0x8, 0x1, 0x1ff}]}, @TIPC_NLA_SOCK_HAS_PUBL={0x4}]}, @TIPC_NLA_MON={0xc, 0x9, 0x0, 0x1, [@TIPC_NLA_MON_REF={0x8, 0x2, 0x819f}]}, @TIPC_NLA_NET={0x30, 0x7, 0x0, 0x1, [@TIPC_NLA_NET_NODEID_W1={0xc, 0x4, 0xb86f}, @TIPC_NLA_NET_ADDR={0x8, 0x2, 0x4b76}, @TIPC_NLA_NET_NODEID={0xc, 0x3, 0xff}, @TIPC_NLA_NET_NODEID={0xc}]}, @TIPC_NLA_MEDIA={0x6c, 0x5, 0x0, 0x1, [@TIPC_NLA_MEDIA_PROP={0x34, 0x2, 0x0, 0x1, [@TIPC_NLA_PROP_TOL={0x8, 0x2, 0x19c}, @TIPC_NLA_PROP_MTU={0x8, 0x4, 0x4}, @TIPC_NLA_PROP_TOL={0x8, 0x2, 0x6}, @TIPC_NLA_PROP_MTU={0x8, 0x4, 0x101}, @TIPC_NLA_PROP_TOL={0x8, 0x2, 0x4}, @TIPC_NLA_PROP_TOL={0x8, 0x2, 0xe47a}]}, @TIPC_NLA_MEDIA_NAME={0x8, 0x1, 'eth\x00'}, @TIPC_NLA_MEDIA_NAME={0x7, 0x1, 'ib\x00'}, @TIPC_NLA_MEDIA_NAME={0x8, 0x1, 'eth\x00'}, @TIPC_NLA_MEDIA_NAME={0x7, 0x1, 'ib\x00'}, @TIPC_NLA_MEDIA_PROP={0x14, 0x2, 0x0, 0x1, [@TIPC_NLA_PROP_MTU={0x8, 0x4, 0xa9}, @TIPC_NLA_PROP_TOL={0x8}]}]}]}, 0x17c}, 0x1, 0x0, 0x0, 0x4044010}, 0x40845) syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000dcaaf0109904271050190000000109022c0001000000000904000001e30824000836060100000000092402020000000000090581db7c"], 0x0) pipe2(&(0x7f0000000040), 0x84880) (async) sendmsg$IPSET_CMD_GET_BYINDEX(r0, &(0x7f0000000180)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x200000}, 0xc, &(0x7f0000000140)={&(0x7f00000001c0)=ANY=[@ANYBLOB="4c0000000f0601020000000000000000030000050500010007000000050001000700000006000b000100000005000100070000000005000100070000000500010007000000548816ee87513e75b486dd31d5e5ca9b46306668a1f74ebe00336fc6afbe13cbf78b36564c6a218f47725988a8fb6f326b3e8d6d973df449b07dfd372d7c308e420bb6a42ea49b78f80c46246f440d7a4835b7ceeaec39739b84fce653d7c9bab91edc307f24f8780b74d33bb133075868b79882cb0296c6db8cba"], 0x4c}, 0x1, 0x0, 0x0, 0x4008000}, 0x4000) (async) openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) (async) write$binfmt_script(r1, &(0x7f0000000040)=ANY=[], 0xfea7) (async) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r1, 0x0) (async) syz_genetlink_get_family_id$tipc2(&(0x7f0000000100), r0) (async) sendmsg$TIPC_NL_PUBL_GET(r1, &(0x7f0000000440)={&(0x7f00000000c0)={0x10, 0x0, 0x0, 0x400}, 0xc, &(0x7f0000000400)={&(0x7f0000000280)={0x17c, r2, 0x400, 0x70bd28, 0x25dfdbfb, {}, [@TIPC_NLA_PUBL={0xc, 0x3, 0x0, 0x1, [@TIPC_NLA_PUBL_TYPE={0x8, 0x1, 0x1000}]}, @TIPC_NLA_SOCK={0xb4, 0x2, 0x0, 0x1, [@TIPC_NLA_SOCK_ADDR={0x8, 0x1, 0x1}, @TIPC_NLA_SOCK_REF={0x8, 0x2, 0x1f}, @TIPC_NLA_SOCK_CON={0x4c, 0x3, 0x0, 0x1, [@TIPC_NLA_CON_FLAG={0x8, 0x1, 0x21}, @TIPC_NLA_CON_FLAG={0x8, 0x1, 0x5}, @TIPC_NLA_CON_FLAG={0x8}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0x9}, @TIPC_NLA_CON_FLAG={0x8, 0x1, 0xfffffeff}, @TIPC_NLA_CON_FLAG={0x8}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0xc645}, @TIPC_NLA_CON_FLAG={0x8, 0x1, 0x9}, @TIPC_NLA_CON_FLAG={0x8, 0x1, 0x6bd}]}, @TIPC_NLA_SOCK_HAS_PUBL={0x4}, @TIPC_NLA_SOCK_ADDR={0x8, 0x1, 0x2}, @TIPC_NLA_SOCK_CON={0x44, 0x3, 0x0, 0x1, [@TIPC_NLA_CON_FLAG={0x8, 0x1, 0x200}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0xfffffff8}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0xffff}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0x6}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0x1}, @TIPC_NLA_CON_NODE={0x8, 0x2, 0x3}, @TIPC_NLA_CON_FLAG={0x8, 0x1, 0x3f}, @TIPC_NLA_CON_FLAG={0x8, 0x1, 0x1ff}]}, @TIPC_NLA_SOCK_HAS_PUBL={0x4}]}, @TIPC_NLA_MON={0xc, 0x9, 0x0, 0x1, [@TIPC_NLA_MON_REF={0x8, 0x2, 0x819f}]}, @TIPC_NLA_NET={0x30, 0x7, 0x0, 0x1, [@TIPC_NLA_NET_NODEID_W1={0xc, 0x4, 0xb86f}, @TIPC_NLA_NET_ADDR={0x8, 0x2, 0x4b76}, @TIPC_NLA_NET_NODEID={0xc, 0x3, 0xff}, @TIPC_NLA_NET_NODEID={0xc}]}, @TIPC_NLA_MEDIA={0x6c, 0x5, 0x0, 0x1, [@TIPC_NLA_MEDIA_PROP={0x34, 0x2, 0x0, 0x1, [@TIPC_NLA_PROP_TOL={0x8, 0x2, 0x19c}, @TIPC_NLA_PROP_MTU={0x8, 0x4, 0x4}, @TIPC_NLA_PROP_TOL={0x8, 0x2, 0x6}, @TIPC_NLA_PROP_MTU={0x8, 0x4, 0x101}, @TIPC_NLA_PROP_TOL={0x8, 0x2, 0x4}, @TIPC_NLA_PROP_TOL={0x8, 0x2, 0xe47a}]}, @TIPC_NLA_MEDIA_NAME={0x8, 0x1, 'eth\x00'}, @TIPC_NLA_MEDIA_NAME={0x7, 0x1, 'ib\x00'}, @TIPC_NLA_MEDIA_NAME={0x8, 0x1, 'eth\x00'}, @TIPC_NLA_MEDIA_NAME={0x7, 0x1, 'ib\x00'}, @TIPC_NLA_MEDIA_PROP={0x14, 0x2, 0x0, 0x1, [@TIPC_NLA_PROP_MTU={0x8, 0x4, 0xa9}, @TIPC_NLA_PROP_TOL={0x8}]}]}]}, 0x17c}, 0x1, 0x0, 0x0, 0x4044010}, 0x40845) (async) syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB="12010000dcaaf0109904271050190000000109022c0001000000000904000001e30824000836060100000000092402020000000000090581db7c"], 0x0) (async) 22:45:59 executing program 5: syz_usb_connect(0x0, 0x3e, &(0x7f0000000000)=ANY=[@ANYBLOB], 0x0) 22:45:59 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) ioctl$SNDCTL_DSP_SYNC(r0, 0x5001, 0x0) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r1, &(0x7f0000000040)=ANY=[], 0xfea7) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r1, 0x0) write$dsp(r1, &(0x7f0000000000)="9505632fd9561c3a8b68ee", 0x39) ioctl$SNDCTL_DSP_SYNC(r0, 0x5001, 0x0) 22:45:59 executing program 4: r0 = openat$audio1(0xffffffffffffff9c, &(0x7f0000000100), 0xa0e02, 0x0) ioctl$SNDCTL_DSP_SYNC(r0, 0x5001, 0x0) (async) write$dsp(r0, &(0x7f0000000500)=' ', 0x1) ioctl$SNDCTL_DSP_SPEED(r0, 0xc0045002, &(0x7f00000004c0)=0x20000000) r1 = openat$cgroup_ro(0xffffffffffffff9c, &(0x7f0000000500)='freezer.self_freezing\x00', 0x275a, 0x0) write$binfmt_script(r1, &(0x7f0000000040)=ANY=[], 0xfea7) (async) mmap(&(0x7f0000000000/0x3000)=nil, 0x3000, 0x1, 0x10012, r1, 0x0) write$dsp(r1, &(0x7f0000000000)="9505632fd9561c3a8b68ee", 0x39) ioctl$SNDCTL_DSP_SYNC(r0, 0x5001, 0x0) 22:45:59 executing program 2: r0 = syz_usb_connect(0x0, 0x24, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x21, 0x5d, 0x4b, 0x40, 0x6a5, 0xd800, 0x8712, 0x0, 0x0, 0x0, 0x1, [{{0x9, 0x2, 0x12, 0x1, 0x0, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0xf9, 0x30, 0x5d}}]}}]}}, 0x0) syz_usb_control_io$printer(r0, 0x0, 0x0) (async) syz_usb_control_io$printer(r0, 0x0, &(0x7f00000007c0)={0x34, &(0x7f0000000080)=ANY=[], 0x0, 0x0, 0x0, 0x0, 0x0}) (async) syz_usb_control_io$hid(r0, 0x0, 0x0) (async) syz_usb_control_io$hid(r0, 0x0, &(0x7f00000004c0)={0x2c, &(0x7f0000000040)=ANY=[], 0x0, 0x0, 0x0, 0x0}) syz_usb_control_io$hid(r0, &(0x7f0000000200)={0x24, &(0x7f0000000040)={0x317e34273e965f72, 0x6, 0xcd, {0xcd, 0x1, "9c449ef1d88846d19a3622c15a5668a4d6ab93b400655364985f5c470958190df8987f8ec26c0fe2fc469a473fb571328f18814f0741a956f9a18b7bb9ce3b77922be7d8470c3637b376e509ddb523fd21cf3dc0257ab35ebba63488eab39ebda02b4e8ffe9065dc842aa33597f6d211253ab65a5c65f074d15380980a4841fc7b5b3c5e5d5a4b6d39d6dbab130916bc65a3b167a162a7a758dc2fd3f4ff78e2615bab93e244674a51e5fc7a27b07204ece3a7320fdabc797363622f730486b84e04beacf11653f9b2b767"}}, &(0x7f0000000140)={0x0, 0x3, 0xb, @string={0xb, 0x3, "65da131493b940e7a6"}}, &(0x7f0000000180)={0x0, 0x22, 0xc, {[@main=@item_4={0x3, 0x0, 0x9, "45dc258a"}, @local=@item_012={0x1, 0x2, 0xa, "cf"}, @main=@item_4={0x3, 0x0, 0xa, "2a67c86a"}]}}, &(0x7f00000001c0)={0x0, 0x21, 0x9, {0x9, 0x21, 0xec3f, 0xfa, 0x1, {0x22, 0x48d}}}}, &(0x7f0000000380)={0x2c, &(0x7f0000000240)={0x0, 0x16, 0x11, "6e2d97f51e35f2082f71db85de9e263686"}, &(0x7f0000000280)={0x0, 0xa, 0x1, 0x8}, &(0x7f00000002c0)={0x0, 0x8, 0x1, 0x81}, &(0x7f0000000300)={0x20, 0x1, 0x13, "b7df7c89265f2046605665aa4fc239de523c5c"}, &(0x7f0000000340)={0x20, 0x3, 0x1, 0x6}}) (async) syz_usb_control_io$cdc_ecm(r0, 0x0, &(0x7f00000010c0)={0x1c, &(0x7f0000000040)=ANY=[], 0x0, 0x0}) r1 = syz_usb_connect(0x4, 0xbb1, &(0x7f0000001100)={{0x12, 0x1, 0x310, 0x6e, 0xde, 0xa2, 0x8, 0x106c, 0x3712, 0x5240, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0xb9f, 0x3, 0x2, 0x81, 0x20, 0x1, [{{0x9, 0x4, 0x1e, 0xed, 0xb, 0xff, 0x0, 0x0, 0x1f, [@uac_as={[@format_type_i_discrete={0x8, 0x24, 0x2, 0x1, 0x8, 0x2, 0x80, 0x90}, @as_header={0x7, 0x24, 0x1, 0x0, 0x8, 0x4}, @format_type_i_discrete={0xd, 0x24, 0x2, 0x1, 0x3, 0x4, 0x3f, 0xfb, "2eb59c208c"}, @format_type_i_continuous={0xb, 0x24, 0x2, 0x1, 0x4, 0x4, 0x20, 0x7, "", "7bb729"}]}, @uac_as={[@format_type_ii_discrete={0xe, 0x24, 0x2, 0x2, 0x0, 0xff4d, 0xb2, "1483c79029"}]}], [{{0x9, 0x5, 0x9, 0x3, 0x60, 0x20, 0x9, 0x9, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x7, 0x401}]}}, {{0x9, 0x5, 0x7, 0x0, 0x3ff, 0x81, 0x7, 0x9, [@generic={0xae, 0xe, "cd29d06b893dceba8b45b7c0680d743d74d6440750777d76f477780f202383222dab3a90ff4cb1d6a2001f1d6f6e2d195be4816ace2eef55c333dc610e99fc756772e1f9099a057bee1570c5368dd092db97fe5874dc4fe3087b272efa9c514a9d0e6f2eeab41b3d2b5b8d68eddefefc01a99b996f6fe215629cbcd7f114359eb1ab2c936058ed681f50b045c6e6f0c52cf2ca8634052d8b3d69396c034d6bcc18594e27e48568c3cc270105"}]}}, {{0x9, 0x5, 0xd, 0x0, 0x3ff, 0x0, 0x7f, 0x49, [@uac_iso={0x7, 0x25, 0x1, 0x80, 0x6, 0x60}]}}, {{0x9, 0x5, 0x0, 0x10, 0x40, 0x4, 0x80, 0x4, [@generic={0x27, 0x2, "a431df2bb6c09176d79ebc54cddeb96fe5bd0180e797a235db35ece0dd8f8bd65b237a1b06"}]}}, {{0x9, 0x5, 0xf, 0x10, 0x20, 0x7, 0x40, 0x8, [@generic={0xf9, 0x24, "3c38c01fcf0a109a108395f6e2966c40efd2b1f9caccba2a5d76a316605eced1a9c3451189d8a2a05e24eda573f4126cc2d9297d7b426a2b7bdaaf4b5820f69ce8723e673130889d9f704922ef8d6d86289122a349261da507aa24dfcfc7fccf75d2d12301bb58e34c7a8231be2febe93a2e5427a4255dd15396ce8a2d0d3a70833014151665c0160639d2385b1c398ff895a8548f4a078c8b2535f674a29aed37e1872efbc2cc9dce6b8a1e0b833287b5fb2837d16b51b12e41fb2231705ae0767cd25097f77797d95f3b28978bfbf5de9ae06950363ac9c95eff1eb967721e98579a8f2233f536b7cde7d0de2892078a283dec1061cd"}]}}, {{0x9, 0x5, 0x8, 0x0, 0x8, 0x5, 0x9, 0x3, [@generic={0x4d, 0xb, "f702b3dbae1dec0cde4c3788594dcb664a3dbfdd6ba538ad846bd1ac3a639fdbbbe3b949fa926b04147a9adf08cabeb8c6804ff1383abddb75a05f7351bbae757e7cf65a65278e234de5c0"}]}}, {{0x9, 0x5, 0x5, 0x10, 0x8, 0x2, 0x81, 0x7, [@uac_iso={0x7, 0x25, 0x1, 0x3, 0xe0, 0x7}, @generic={0xab, 0x11, "fe83e642aee626ca48e2b17c8e4b80c6cb87d667212b72048532be5331bc8230fedf5dda4d19ea9419ef414bf053063f27fb5ad4d8888e6f00b17d739385da34b488b0629a475f366defb1a3a2133f0a8aab3af0ccf0b8e2ed9c41d2e3da92cee08186e5295c4b81fedee941675c1191f05d373e05287a0c403533c63642c3a5a9f2a17d5c6eb2a13d44c2f64618d4923eb209416627e56d06a49153d48975f260b58a8cc90f4dfb81"}]}}, {{0x9, 0x5, 0xe, 0xc, 0x3ff, 0x4, 0x7f, 0x1, [@uac_iso={0x7, 0x25, 0x1, 0x2, 0x6, 0x5}, @uac_iso={0x7, 0x25, 0x1, 0x2, 0x1f, 0x2}]}}, {{0x9, 0x5, 0xa, 0x0, 0x10, 0x4, 0x7, 0x4, [@uac_iso={0x7, 0x25, 0x1, 0x2, 0x6, 0x101}]}}, {{0x9, 0x5, 0x3, 0x3, 0x8, 0x8, 0x7, 0x3, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x9c, 0x5}, @uac_iso={0x7, 0x25, 0x1, 0x80, 0x6}]}}, {{0x9, 0x5, 0xb, 0x10, 0x400, 0xfc, 0x0, 0x7, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x4, 0x8}]}}]}}, {{0x9, 0x4, 0x4, 0x1, 0x1, 0x7d, 0x57, 0xaa, 0x40, [@uac_control={{0xa, 0x24, 0x1, 0x7, 0x4}, [@input_terminal={0xc, 0x24, 0x2, 0x5, 0x100, 0x5, 0x3f, 0x5, 0x3, 0x36}, @input_terminal={0xc, 0x24, 0x2, 0x5, 0x1ff, 0x4, 0x1, 0x1, 0x81, 0x5}, @extension_unit={0x7, 0x24, 0x8, 0x6, 0x5, 0x4}, @mixer_unit={0xa, 0x24, 0x4, 0x5, 0x50, "1069212849"}, @output_terminal={0x9, 0x24, 0x3, 0x4, 0x302, 0x3, 0x4, 0x20}, @processing_unit={0xb, 0x24, 0x7, 0x5, 0x6, 0x81, "df50cbec"}]}], [{{0x9, 0x5, 0x2, 0x0, 0x8, 0x3, 0x80, 0x4, [@generic={0x59, 0x9, "61960bfae1b6f6d7a129c7ecf28cc9607918deee62400810646d9fc4631304e138f6f14134a66e512dc9166b1c3fe7f4efb9159e658719891c7d0ab866a66e3cc5cafbfe036e1dd3e8acdc7fb24055d040cb97cf339d5e"}]}}]}}, {{0x9, 0x4, 0x24, 0x81, 0xf, 0xff, 0x59, 0x39, 0x22, [@hid_hid={0x9, 0x21, 0x7f, 0x7, 0x1, {0x22, 0x5bb}}], [{{0x9, 0x5, 0xf, 0x8, 0xde6438dd53450986, 0x6, 0x1, 0xd4, [@generic={0xa7, 0x30, "a43a37d9bd13070536f6fdbd52092d613b995467fa2607555f9276b8de81b29498bed47257343ce75da309383966d918bd6839ee055c0ce5363e8f792189457419344df6a9e3db7e3009829bd753f7012777234de30f1c4c929c18b4efe4828ad4045b083ce948170c133e3118b5e3433d60bdb9c4cd01372e6535694d5e1077aabe04c7eef0db36fed32c46590ae3d94819e3b3a0a2223b713998529f188ba890c4572ca3"}]}}, {{0x9, 0x5, 0x2, 0x3, 0x208, 0x9, 0x8, 0xe0, [@generic={0x6e, 0x24, "c4d945c16f5094c40da81b67003702c05df19fc23ecd8dd0ca17dec42e2aaef98fe4478dee9e0168d78f2806830010a1927a0c646be752891d1a953ee72a5fb15a42e826bf910b6f82911ce06feb1d63b60a32167efd2ad133e370665670e995c303723fe233d6db35fb79d9"}]}}, {{0x9, 0x5, 0xa, 0x0, 0x20, 0xeb, 0x0, 0x1, [@uac_iso={0x7, 0x25, 0x1, 0x80, 0x1, 0x3}]}}, {{0x9, 0x5, 0xf, 0xd, 0x40, 0xed, 0x5d, 0x4, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0xc4, 0x7}]}}, {{0x9, 0x5, 0x1, 0x1, 0x10, 0x2, 0x0, 0x5, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x0, 0x2}, @generic={0x9b, 0x8, "1bdd96c49871b99da82f99b66abd3cd1fbed9d008ba1addcef4e5f251224bed19fc67d4ff2a2fced4333c27c87990b5b1c6a73f2cffcc20e874991be0e24370650f0cd762f0ea3e6dc065dcb821a5c50a5851a71d115638ba0a2aa8015e2f18b2045e03bc17dc9d172d633855d788b556eebc5275a87a3e37919e982b27761b820e113520cfb155dfe12d468673a5a7ad22f21153c376c06d5"}]}}, {{0x9, 0x5, 0x9, 0x10, 0x40, 0xff, 0xe9, 0xff, [@generic={0xf0, 0x1, "04149583c1bb03134cf4d98034dc2795567c861ecb37fecbf2a6b36d8b0895c306e625ebbf7c0dadea0e1d209b2009704f25e2acbede2c33a2c96b6c9a6e6eb83470ddc7bd4e86f0315ce4fa59de858e85dc36c6d49a642d04e395b895e392209f1ee6e8be069ba37ce658e527be8c1322c321a2dee635d4883037861280dab3dc71457456e0d3608e522e5fb9fb14f90b357766106443cea61f0a50a82c1abc63df9ffbc35c1a84e9376eb3e45a63b06de6bec18d6a63ece397f044cb14738980030f85e6553ebb0210424482d13ab2fdf4c1f71979140844eae764652cadb59f876cac2101cad9d2c4b9cd70df"}]}}, {{0x9, 0x5, 0x5, 0x2, 0x200, 0x7, 0x2, 0x9, [@generic={0xc8, 0x7, "466a4e955ac3ff1d14454a67f6b05ac4036bb4d0cf8bf1cdd00980c4be05e3ed99e68e3c166409f08b912bca42ae265cbcec616b2e75ce485c412727f9a261be936936a7c4c674923ee16a7ab40eb004e960c1652f64e3d3461370376fe723812be77a67b9386829bbd0c24c7a1b6cc858ececa9b6b52ed45e9dd28f34bb3262aa7718860336f0e68c298d293d15d5d9aabda3a73004b993dd8410dd4622a31ab8bcee804dd8b3ab79a9fc5c2d848420e0ff6089baaed19e26686a31fca0e77fe67b4f00d194"}]}}, {{0x9, 0x5, 0x2, 0x10, 0x20, 0xfc, 0x6, 0xff, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x7}]}}, {{0x9, 0x5, 0x8, 0x10, 0x3ff, 0x7f, 0xf9, 0x19, [@generic={0xe5, 0xc, "c111f17dad84c1708289e618a7ec3cd6615152a8a31ac8ffdccb4cbe945b072012a05ab25846049845343447bc6bb3a2b502006f60cad21a7442c3a8feba6880c988de33baebae9035455a65e7df306763afc5edc7dec3787de688110c652636451614caeee2e89a24dc177dcf986795e2617727083f29a229ea971b55df4352bce118e90e88831c9a635e87f3697bcb68e797c11ac8732ab5c3bad7a3d73f547456276002e184ec119743a4200368a1e3a62656a0f1437c7b9b5b58c0c457b267ce2d22a970ee755ecbcc3d9f9313ca22c42591de17ad70cfc714a8c66d602b52458e"}]}}, {{0x9, 0x5, 0x9, 0x0, 0x3ff, 0x3f, 0xe0, 0x5, [@uac_iso={0x7, 0x25, 0x1, 0x3, 0x1c, 0x3}]}}, {{0x9, 0x5, 0x0, 0x0, 0x200, 0x6, 0x81, 0x1, [@generic={0xce, 0x31, "f5b29366153454c0987dc2278dd7fae796baac113d597d689dddcd299ab19b085538b00f36b76b223434b846b286e4a76516cc164d22ca2f27d0a73f9431910ff7e1c879e8fe0d36dd3ea39f4f02e28b60bd54cdc219a5b8e4fbc28263c396332083c420ec23ce5f9eaffb08f889b096abe0aa343e5c0fa77e3026e9ad7dfdf0b469842f4dd7b996764243f9e5d4ac76df261274dec4fa4798f4f8bb4ce685c44e09896fe4d098cd5dff49366f2439508a87840329144513d7cbef8a08f51f0f269d40f2c68693147b35cb8e"}]}}, {{0x9, 0x5, 0x0, 0x0, 0x400, 0x4, 0x8c, 0xc0, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x6, 0x6}]}}, {{0x9, 0x5, 0x1, 0xc, 0x3ff, 0xba, 0x80, 0xaf, [@generic={0x101, 0x3, "5c617a85c172b1d460725443ddd08183008699c9d7432c2985e49ca0c339ddad0469211d56cd246552882b996f40c32f7c6e1253cc787ae9abff1d8b320e649dc3e6bc022d7c33f1b69a649355bd92fa14cc951821cccce653c8b56c9bf8ed6a4c390e76d661764168542116b728b0de0743cf021f28ad917dd9609b01b5f5c163fee24eee13455e27db6868ba69fe2bf26c8de131a76a4160f62e2776baa28b6c7fa3669ea228eec7a87e283bdc938c41ab157b60bfdfb0cab5998a6e1fc9cf7d348e1796bcb38f2406697fde1471c15ba64913875e5e0987337bb5283ad64a7141aae52b69d43deb8cbe4d2ad4116954eae75f704dd3a8ae92bf0bdd09cf"}, @uac_iso={0x7, 0x25, 0x1, 0x1, 0x3, 0x3f}]}}, {{0x9, 0x5, 0x6, 0x0, 0x1af, 0xfd, 0xe1, 0xff, [@generic={0x52, 0xb, "ab4f49cb636744494a3fd96594ba2665d28f455ea40f039545cf51d6ec49d99c8cb94e1b9ad793b5430481fad9fbb2eb3342cada0222a3eccd7ea1a8c03ab0b0fdbaedaf79facfbe7a9d84eb10e7ea90"}]}}, {{0x9, 0x5, 0x4, 0x1, 0x3ff, 0x88, 0x1f, 0x9, [@uac_iso={0x7, 0x25, 0x1, 0x80, 0x3, 0xfd28}]}}]}}]}}]}}, &(0x7f0000000600)={0xa, &(0x7f00000003c0)={0xa, 0x6, 0x250, 0x3f, 0x20, 0x40, 0x40, 0xd8}, 0x10, &(0x7f0000000400)={0x5, 0xf, 0x10, 0x1, [@wireless={0xb, 0x10, 0x1, 0x8, 0x36, 0x1f, 0x1f, 0x20, 0x20}]}, 0x5, [{0x4, &(0x7f0000000440)=@lang_id={0x4, 0x3, 0xc01}}, {0x71, &(0x7f0000000500)=@string={0x71, 0x3, "37b44743240ccacf8cd51919aabc931c42643ba96658290f35e83c2c1b296cf299252787a0f5db6b7268fcf908cb2f1b6919bfe168a1541da67a9d8c97cd9b2cdace9f4e74e476efddf52ec07514021840cd7ae4a5b46e878b4a62817f6244a34abe8b234ad47fb8027bbb051df1ee"}}, {0x4, &(0x7f0000000480)=@lang_id={0x4, 0x3, 0x403}}, {0x4, &(0x7f0000000580)=@lang_id={0x4, 0x3, 0x180c}}, {0x16, &(0x7f00000005c0)=@string={0x16, 0x3, "29f6364e1cdd2fcbfe22f15df2e87d689221a206"}}]}) syz_usb_control_io$hid(r1, &(0x7f0000000900)={0x24, &(0x7f0000000680)={0x0, 0x7, 0xec, {0xec, 0x26, "3860e2899ca84620aef7a5d64c9b519343a9c8f94d3978ec2520da7fec499cb8d95c1ca918ec0704f54aa55f20674dd2b320ebca11e34f2d5c52ec5fe6a8dc13bcb1701175c4561c5e1ab4a952fcbb82123051969c4edc316717336f917e688d2c2f8e451e3d4ce482f2f8eccbfc744f55798f59e4a9b5f38ca6baf0cdbfbfcf6bd561c92bda202dc27c59e51f83e2329cb4d1c252b628acab96ab6fc7aba427acfa38dcec42964a9dbdb0d957213f78ff4e7a96d266334c74eb1213978ee0a751d13830ed235b17dc98f01a909630ff099febc79e0ddaa450a66ca94cf3ee447968d1b671ffcddbe9b9"}}, &(0x7f0000000800)={0x0, 0x3, 0xba, @string={0xba, 0x3, "621aed7d3189f1773dae66d1d4c8922d3ef436f6c4d3f6486e59b4116706c70b767cc403a849cb7d95f8d5287ea0b6e5a128def72fe1bca1772bc78fb8220a8a537013a89900c2f103ce4610fe9944059fa2c1e67bfb6feab4d7b8b69f11a81895771d113cc40931a2acb906901b3675afb1810f6b45b8f6c87dcf6dc058b933bd40566966da61d7f040ce8b01db932761be6a6239d2c794c6350761f9f8a390e4812f20510edde44ff90b5e08cdf9e880c4277216cf185e"}}, &(0x7f0000000780)={0x0, 0x22, 0x1b, {[@main=@item_4={0x3, 0x0, 0xc, "ea6f9133"}, @local=@item_012={0x2, 0x2, 0x4, "e935"}, @local=@item_4={0x3, 0x2, 0x0, "fa48fbd3"}, @local=@item_4={0x3, 0x2, 0x7, "fc0db804"}, @main=@item_012={0x1, 0x0, 0xa, "e1"}, @local=@item_4={0x3, 0x2, 0x9, "80851115"}, @global=@item_012={0x1, 0x1, 0x0, '`'}]}}, &(0x7f00000008c0)={0x0, 0x21, 0x9, {0x9, 0x21, 0xc4, 0x8, 0x1, {0x22, 0x9bd}}}}, &(0x7f0000000b80)={0x2c, &(0x7f0000000940)={0x60, 0xb, 0x9d, "bfec6d754eb8edaa0a88f3e692b5af815698e86c257808003daa04e7a0f623c5ce585c992479b9f5ea5850f559a7aee50a2adf9a5190532b6dc9b959797b5da5bda41ce9574e590af5720d195d008153e166cc3ae52dab682866da81a935741d21f4f118226748a1d4b74d95f241961564f9436aadb4b104887bfbb116bd0e64ab4ac6c97a41785385392e543b8509addd1808ac4e54583823c09063c5"}, &(0x7f0000000a00)={0x0, 0xa, 0x1, 0x5}, &(0x7f0000000a40)={0x0, 0x8, 0x1, 0x9e}, &(0x7f0000000a80)={0x20, 0x1, 0xa4, "86efad75416d78679d24e564bf2bed9cfb0d0b090a9bd8f2148bbec8102d8049f181ee1b5bebff703a00abd092ed74f1b2d82532504a08053085ebffd1647ed968c865dd77f62b34f3fcaa14c1d7c85332056b715f2a00944a4b6d6e5c2b149cd48645199bd62622e9a4195b6ca2e064c33ea0f894474e3efa419a2d7b9f3bde65ca190e3db110ab8c7f810061d415f901f179639f23b5c3d0310a110ef29750a95d1a46"}, &(0x7f0000000b40)={0x20, 0x3, 0x1}}) [ 606.144988][ T3673] gspca_nw80x: reg_r err -71 [ 606.149678][ T3673] nw80x: probe of 3-1:0.0 failed with error -71 [ 606.159658][T15368] ================================================================== [ 606.167744][T15368] BUG: KASAN: null-ptr-deref in snd_pcm_format_set_silence+0x215/0x350 [ 606.176017][T15368] Write of size 16383 at addr 0000000000000001 by task syz-executor.4/15368 [ 606.179644][ T3673] usb 3-1: USB disconnect, device number 13 [ 606.184691][T15368] [ 606.184699][T15368] CPU: 1 PID: 15368 Comm: syz-executor.4 Not tainted 5.18.0-rc1-syzkaller #0 [ 606.184724][T15368] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 01/01/2011 [ 606.184739][T15368] Call Trace: [ 606.184746][T15368] [ 606.184754][T15368] dump_stack_lvl+0xcd/0x134 [ 606.222526][T15368] kasan_report.cold+0x61/0x1c6 [ 606.227452][T15368] ? snd_pcm_format_set_silence+0x215/0x350 [ 606.233357][T15368] kasan_check_range+0x13d/0x180 [ 606.238303][T15368] memset+0x20/0x40 [ 606.242115][T15368] snd_pcm_format_set_silence+0x215/0x350 [ 606.247853][T15368] snd_pcm_oss_sync+0x60e/0x800 [ 606.252708][T15368] snd_pcm_oss_ioctl+0x208c/0x3430 [ 606.257827][T15368] ? __context_tracking_exit+0xb8/0xe0 [ 606.263295][T15368] ? snd_pcm_oss_release+0x300/0x300 [ 606.268584][T15368] ? __fget_files+0x286/0x470 [ 606.273268][T15368] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 606.279519][T15368] ? snd_pcm_oss_release+0x300/0x300 [ 606.284808][T15368] __x64_sys_ioctl+0x193/0x200 [ 606.289629][T15368] do_syscall_64+0x35/0x80 [ 606.294050][T15368] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 606.299953][T15368] RIP: 0033:0x7f8553689049 [ 606.304461][T15368] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b8 ff ff ff f7 d8 64 89 01 48 [ 606.324153][T15368] RSP: 002b:00007f855488e168 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 606.332563][T15368] RAX: ffffffffffffffda RBX: 00007f855379bf60 RCX: 00007f8553689049 [ 606.340534][T15368] RDX: 0000000000000000 RSI: 0000000000005001 RDI: 0000000000000003 [ 606.348521][T15368] RBP: 00007f85536e308d R08: 0000000000000000 R09: 0000000000000000 [ 606.356486][T15368] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000000 [ 606.364450][T15368] R13: 00007f8553ccfb1f R14: 00007f855488e300 R15: 0000000000022000 [ 606.372425][T15368] [ 606.375438][T15368] ================================================================== [ 606.396268][T15368] Kernel panic - not syncing: panic_on_warn set ... [ 606.402885][T15368] CPU: 1 PID: 15368 Comm: syz-executor.4 Not tainted 5.18.0-rc1-syzkaller #0 [ 606.411670][T15368] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 01/01/2011 [ 606.421735][T15368] Call Trace: [ 606.425022][T15368] [ 606.427952][T15368] dump_stack_lvl+0xcd/0x134 [ 606.432535][T15368] panic+0x2d7/0x636 [ 606.436491][T15368] ? panic_print_sys_info.part.0+0x10b/0x10b [ 606.442463][T15368] ? preempt_schedule_common+0x59/0xc0 [ 606.447917][T15368] ? snd_pcm_format_set_silence+0x215/0x350 [ 606.453825][T15368] ? preempt_schedule_thunk+0x16/0x18 [ 606.459218][T15368] ? snd_pcm_format_set_silence+0x215/0x350 [ 606.465124][T15368] end_report.part.0+0x3f/0x7c [ 606.469884][T15368] kasan_report.cold+0x93/0x1c6 [ 606.474725][T15368] ? snd_pcm_format_set_silence+0x215/0x350 [ 606.480619][T15368] kasan_check_range+0x13d/0x180 [ 606.485564][T15368] memset+0x20/0x40 [ 606.489370][T15368] snd_pcm_format_set_silence+0x215/0x350 [ 606.495085][T15368] snd_pcm_oss_sync+0x60e/0x800 [ 606.499942][T15368] snd_pcm_oss_ioctl+0x208c/0x3430 [ 606.505044][T15368] ? __context_tracking_exit+0xb8/0xe0 [ 606.510513][T15368] ? snd_pcm_oss_release+0x300/0x300 [ 606.515801][T15368] ? __fget_files+0x286/0x470 [ 606.520482][T15368] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 606.526729][T15368] ? snd_pcm_oss_release+0x300/0x300 [ 606.532022][T15368] __x64_sys_ioctl+0x193/0x200 [ 606.536791][T15368] do_syscall_64+0x35/0x80 [ 606.541207][T15368] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 606.547108][T15368] RIP: 0033:0x7f8553689049 [ 606.551517][T15368] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 b8 ff ff ff f7 d8 64 89 01 48 [ 606.571124][T15368] RSP: 002b:00007f855488e168 EFLAGS: 00000246 ORIG_RAX: 0000000000000010 [ 606.579536][T15368] RAX: ffffffffffffffda RBX: 00007f855379bf60 RCX: 00007f8553689049 [ 606.587856][T15368] RDX: 0000000000000000 RSI: 0000000000005001 RDI: 0000000000000003 [ 606.595821][T15368] RBP: 00007f85536e308d R08: 0000000000000000 R09: 0000000000000000 [ 606.603799][T15368] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000000 [ 606.611768][T15368] R13: 00007f8553ccfb1f R14: 00007f855488e300 R15: 0000000000022000 [ 606.619744][T15368] [ 606.623050][T15368] Kernel Offset: disabled [ 606.627370][T15368] Rebooting in 86400 seconds..