syzkaller login: [ 232.513761][ T2895] netlink: 4 bytes leftover after parsing attributes in process `dhcpcd'. [ 232.547139][ T2895] netlink: 4 bytes leftover after parsing attributes in process `dhcpcd'. [ 250.147411][ T2895] netlink: 4 bytes leftover after parsing attributes in process `dhcpcd'. Warning: Permanently added '[localhost]:36223' (ECDSA) to the list of known hosts. 1970/01/01 00:04:39 fuzzer started 1970/01/01 00:04:50 dialing manager at localhost:43739 1970/01/01 00:04:54 syscalls: 2768 1970/01/01 00:04:54 code coverage: enabled 1970/01/01 00:04:54 comparison tracing: enabled 1970/01/01 00:04:54 extra coverage: enabled 1970/01/01 00:04:54 setuid sandbox: enabled 1970/01/01 00:04:54 namespace sandbox: enabled 1970/01/01 00:04:54 Android sandbox: /sys/fs/selinux/policy does not exist 1970/01/01 00:04:54 fault injection: enabled 1970/01/01 00:04:54 leak checking: CONFIG_DEBUG_KMEMLEAK is not enabled 1970/01/01 00:04:54 net packet injection: enabled 1970/01/01 00:04:54 net device setup: enabled 1970/01/01 00:04:54 concurrency sanitizer: /sys/kernel/debug/kcsan does not exist 1970/01/01 00:04:54 devlink PCI setup: PCI device 0000:00:10.0 is not available 1970/01/01 00:04:54 USB emulation: enabled 1970/01/01 00:04:54 hci packet injection: /dev/vhci does not exist 1970/01/01 00:04:54 wifi device emulation: /sys/class/mac80211_hwsim/ does not exist 1970/01/01 00:04:54 802.15.4 emulation: /sys/bus/platform/devices/mac802154_hwsim does not exist 1970/01/01 00:04:58 fetching corpus: 50, signal 19001/20760 (executing program) 1970/01/01 00:05:01 fetching corpus: 100, signal 24544/27917 (executing program) 1970/01/01 00:05:03 fetching corpus: 150, signal 31974/36791 (executing program) 1970/01/01 00:05:05 fetching corpus: 200, signal 34596/40937 (executing program) 1970/01/01 00:05:06 fetching corpus: 250, signal 40021/47622 (executing program) 1970/01/01 00:05:09 fetching corpus: 300, signal 42036/51041 (executing program) 1970/01/01 00:05:12 fetching corpus: 350, signal 45515/55693 (executing program) 1970/01/01 00:05:13 fetching corpus: 400, signal 50109/61280 (executing program) 1970/01/01 00:05:16 fetching corpus: 450, signal 53618/65758 (executing program) 1970/01/01 00:05:17 fetching corpus: 500, signal 55064/68352 (executing program) 1970/01/01 00:05:20 fetching corpus: 550, signal 57932/72214 (executing program) 1970/01/01 00:05:21 fetching corpus: 600, signal 59234/74638 (executing program) 1970/01/01 00:05:23 fetching corpus: 650, signal 61683/78032 (executing program) 1970/01/01 00:05:24 fetching corpus: 700, signal 63033/80413 (executing program) 1970/01/01 00:05:26 fetching corpus: 750, signal 65683/83799 (executing program) 1970/01/01 00:05:28 fetching corpus: 800, signal 66588/85756 (executing program) 1970/01/01 00:05:30 fetching corpus: 850, signal 67661/87795 (executing program) 1970/01/01 00:05:32 fetching corpus: 900, signal 68657/89733 (executing program) 1970/01/01 00:05:34 fetching corpus: 950, signal 70140/92039 (executing program) 1970/01/01 00:05:36 fetching corpus: 1000, signal 71857/94480 (executing program) 1970/01/01 00:05:38 fetching corpus: 1050, signal 73123/96562 (executing program) 1970/01/01 00:05:40 fetching corpus: 1100, signal 74236/98513 (executing program) 1970/01/01 00:05:42 fetching corpus: 1150, signal 75043/100199 (executing program) 1970/01/01 00:05:44 fetching corpus: 1200, signal 76505/102331 (executing program) 1970/01/01 00:05:45 fetching corpus: 1250, signal 80299/105952 (executing program) 1970/01/01 00:05:48 fetching corpus: 1300, signal 81775/108028 (executing program) 1970/01/01 00:05:50 fetching corpus: 1350, signal 82738/109701 (executing program) 1970/01/01 00:05:52 fetching corpus: 1400, signal 83709/111298 (executing program) 1970/01/01 00:05:54 fetching corpus: 1450, signal 84236/112633 (executing program) 1970/01/01 00:05:56 fetching corpus: 1500, signal 85873/114682 (executing program) 1970/01/01 00:05:57 fetching corpus: 1550, signal 86877/116311 (executing program) 1970/01/01 00:06:00 fetching corpus: 1600, signal 89281/118754 (executing program) 1970/01/01 00:06:02 fetching corpus: 1650, signal 90736/120505 (executing program) 1970/01/01 00:06:04 fetching corpus: 1700, signal 91637/121961 (executing program) 1970/01/01 00:06:06 fetching corpus: 1750, signal 92162/123166 (executing program) 1970/01/01 00:06:08 fetching corpus: 1800, signal 92891/124440 (executing program) 1970/01/01 00:06:11 fetching corpus: 1850, signal 93866/125861 (executing program) 1970/01/01 00:06:12 fetching corpus: 1900, signal 94397/126979 (executing program) 1970/01/01 00:06:13 fetching corpus: 1950, signal 95100/128200 (executing program) 1970/01/01 00:06:15 fetching corpus: 2000, signal 95842/129467 (executing program) 1970/01/01 00:06:17 fetching corpus: 2050, signal 96508/130628 (executing program) 1970/01/01 00:06:18 fetching corpus: 2100, signal 97189/131826 (executing program) 1970/01/01 00:06:20 fetching corpus: 2150, signal 98142/133136 (executing program) 1970/01/01 00:06:22 fetching corpus: 2200, signal 98831/134244 (executing program) 1970/01/01 00:06:24 fetching corpus: 2250, signal 99454/135308 (executing program) 1970/01/01 00:06:25 fetching corpus: 2300, signal 99957/136339 (executing program) 1970/01/01 00:06:27 fetching corpus: 2350, signal 100540/137425 (executing program) 1970/01/01 00:06:28 fetching corpus: 2400, signal 100876/138363 (executing program) 1970/01/01 00:06:30 fetching corpus: 2450, signal 101313/139317 (executing program) 1970/01/01 00:06:33 fetching corpus: 2500, signal 102302/140481 (executing program) 1970/01/01 00:06:34 fetching corpus: 2550, signal 102844/141429 (executing program) 1970/01/01 00:06:36 fetching corpus: 2600, signal 103320/142378 (executing program) 1970/01/01 00:06:38 fetching corpus: 2650, signal 104022/143394 (executing program) 1970/01/01 00:06:40 fetching corpus: 2700, signal 104576/144299 (executing program) 1970/01/01 00:06:41 fetching corpus: 2750, signal 105139/145214 (executing program) 1970/01/01 00:06:43 fetching corpus: 2800, signal 105712/146103 (executing program) 1970/01/01 00:06:45 fetching corpus: 2850, signal 106178/146999 (executing program) 1970/01/01 00:06:48 fetching corpus: 2900, signal 106662/147856 (executing program) 1970/01/01 00:06:48 fetching corpus: 2911, signal 106757/148575 (executing program) 1970/01/01 00:06:48 fetching corpus: 2911, signal 106757/149310 (executing program) 1970/01/01 00:06:49 fetching corpus: 2911, signal 106757/150007 (executing program) 1970/01/01 00:06:49 fetching corpus: 2911, signal 106757/150700 (executing program) 1970/01/01 00:06:49 fetching corpus: 2911, signal 106757/151383 (executing program) 1970/01/01 00:06:49 fetching corpus: 2911, signal 106757/152089 (executing program) 1970/01/01 00:06:49 fetching corpus: 2911, signal 106757/152838 (executing program) 1970/01/01 00:06:49 fetching corpus: 2911, signal 106757/153542 (executing program) 1970/01/01 00:06:49 fetching corpus: 2911, signal 106757/154275 (executing program) 1970/01/01 00:06:50 fetching corpus: 2911, signal 106757/154996 (executing program) 1970/01/01 00:06:50 fetching corpus: 2911, signal 106757/155713 (executing program) 1970/01/01 00:06:50 fetching corpus: 2911, signal 106757/156396 (executing program) 1970/01/01 00:06:50 fetching corpus: 2911, signal 106757/157134 (executing program) 1970/01/01 00:06:50 fetching corpus: 2911, signal 106757/157826 (executing program) 1970/01/01 00:06:50 fetching corpus: 2911, signal 106757/158566 (executing program) 1970/01/01 00:06:50 fetching corpus: 2911, signal 106757/159243 (executing program) 1970/01/01 00:06:50 fetching corpus: 2911, signal 106757/159950 (executing program) 1970/01/01 00:06:51 fetching corpus: 2911, signal 106757/160656 (executing program) 1970/01/01 00:06:51 fetching corpus: 2911, signal 106757/161369 (executing program) 1970/01/01 00:06:51 fetching corpus: 2911, signal 106757/162091 (executing program) 1970/01/01 00:06:51 fetching corpus: 2911, signal 106757/162794 (executing program) 1970/01/01 00:06:51 fetching corpus: 2911, signal 106757/163557 (executing program) 1970/01/01 00:06:51 fetching corpus: 2911, signal 106757/164275 (executing program) 1970/01/01 00:06:51 fetching corpus: 2911, signal 106757/164996 (executing program) 1970/01/01 00:06:52 fetching corpus: 2911, signal 106757/165086 (executing program) 1970/01/01 00:06:52 fetching corpus: 2911, signal 106757/165086 (executing program) 1970/01/01 00:08:25 starting 2 fuzzer processes 00:08:43 executing program 0: sendmsg$NLBL_CALIPSO_C_ADD(0xffffffffffffffff, &(0x7f00000000c0)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x2000}, 0xc, &(0x7f0000000080)={&(0x7f0000000040)={0x34, 0x0, 0x2, 0x70bd26, 0x25dfdbfc, {}, [@NLBL_CALIPSO_A_DOI={0x8, 0x1, 0x3}, @NLBL_CALIPSO_A_DOI={0x8}, @NLBL_CALIPSO_A_DOI={0x8, 0x1, 0x1}, @NLBL_CALIPSO_A_MTYPE={0x8}]}, 0x34}, 0x1, 0x0, 0x0, 0x20004040}, 0x48804) sendmsg$NL80211_CMD_CRIT_PROTOCOL_STOP(0xffffffffffffffff, &(0x7f00000001c0)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x8}, 0xc, &(0x7f0000000180)={&(0x7f0000000140)={0x28, 0x0, 0x4, 0x70bd26, 0x25dfdbfd, {{}, {@val={0x8}, @val={0xc, 0x99, {0x1000, 0xe}}}}, ["", "", "", "", ""]}, 0x28}, 0x1, 0x0, 0x0, 0x4}, 0x80) sendmsg$IEEE802154_LLSEC_ADD_SECLEVEL(0xffffffffffffffff, &(0x7f00000002c0)={&(0x7f0000000200)={0x10, 0x0, 0x0, 0x4000000}, 0xc, &(0x7f0000000280)={&(0x7f0000000240)={0x1c, 0x0, 0x10, 0x70bd27, 0x25dfdbfd, {}, [@IEEE802154_ATTR_LLSEC_CMD_FRAME_ID={0x5, 0x34, 0x6}]}, 0x1c}, 0x1, 0x0, 0x0, 0x4000080}, 0x4000000) r0 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000340)='nl80211\x00', 0xffffffffffffffff) sendmsg$NL80211_CMD_STOP_NAN(0xffffffffffffffff, &(0x7f0000000400)={&(0x7f0000000300)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f00000003c0)={&(0x7f0000000380)={0x20, r0, 0x800, 0x70bd29, 0x25dfdbfc, {{}, {@void, @val={0xc, 0x99, {0x20, 0x52}}}}, [""]}, 0x20}}, 0x4004044) r1 = openat$autofs(0xffffffffffffff9c, &(0x7f0000000440)='/dev/autofs\x00', 0x183c80, 0x0) sendmsg$FOU_CMD_DEL(r1, &(0x7f0000000540)={&(0x7f0000000480)={0x10, 0x0, 0x0, 0x3121aa005b234693}, 0xc, &(0x7f0000000500)={&(0x7f00000004c0)={0x1c, 0x0, 0x400, 0x70bd25, 0x25dfdbff, {}, [@FOU_ATTR_IPPROTO={0x5, 0x3, 0x33}]}, 0x1c}, 0x1, 0x0, 0x0, 0xc041}, 0x4008015) r2 = bpf$PROG_LOAD(0x5, &(0x7f00000006c0)={0x9, 0x2, &(0x7f0000000580)=@raw=[@alu={0x4, 0x1, 0xb, 0x3, 0x4, 0xfffffffffffffff0, 0x83dbf9be92dbe043}, @ldst={0x1, 0x2, 0x1, 0x7, 0x4, 0x1, 0x4}], &(0x7f00000005c0)='GPL\x00', 0x54, 0x22, &(0x7f0000000600)=""/34, 0x41000, 0x3, [], 0x0, 0x0, r1, 0x8, &(0x7f0000000640)={0x8, 0x1}, 0x8, 0x10, &(0x7f0000000680)={0x1, 0x4, 0xffffffff, 0x4}, 0x10, 0x0, r1}, 0x78) r3 = accept4(r1, &(0x7f0000000740)=@nl, &(0x7f00000007c0)=0x80, 0x80000) sendmsg$IPCTNL_MSG_CT_GET_UNCONFIRMED(r3, &(0x7f00000008c0)={&(0x7f0000000800)={0x10, 0x0, 0x0, 0x20000}, 0xc, &(0x7f0000000880)={&(0x7f0000000840)={0x14, 0x7, 0x1, 0x801, 0x0, 0x0, {0x3, 0x0, 0x3}, ["", "", "", "", "", "", "", ""]}, 0x14}, 0x1, 0x0, 0x0, 0x850}, 0x0) sendmsg$SEG6_CMD_SET_TUNSRC(r3, &(0x7f00000009c0)={&(0x7f0000000900)={0x10, 0x0, 0x0, 0x10000000}, 0xc, &(0x7f0000000980)={&(0x7f0000000940)={0x38, 0x0, 0x1, 0x70bd2c, 0x25dfdbff, {}, [@SEG6_ATTR_HMACKEYID={0x8, 0x3, 0x8}, @SEG6_ATTR_DST={0x14, 0x1, @initdev={0xfe, 0x88, [], 0x0, 0x0}}, @SEG6_ATTR_HMACKEYID={0x8, 0x3, 0x3}]}, 0x38}, 0x1, 0x0, 0x0, 0x844}, 0x40891) r4 = openat(r1, &(0x7f0000000a00)='./file0\x00', 0x200001, 0x55) bpf$BPF_PROG_ATTACH(0x8, &(0x7f0000000a40)={@cgroup=r4, r1, 0x25, 0x6, r2}, 0x14) r5 = syz_genetlink_get_family_id$devlink(&(0x7f0000000ac0)='devlink\x00', r1) sendmsg$DEVLINK_CMD_PORT_GET(r4, &(0x7f0000000b80)={&(0x7f0000000a80)={0x10, 0x0, 0x0, 0x2000}, 0xc, &(0x7f0000000b40)={&(0x7f0000000b00)={0x38, r5, 0x8, 0x70bd2c, 0x25dfdbfe, {}, [{{@pci={{0x8, 0x1, 'pci\x00'}, {0x11, 0x2, '0000:00:10.0\x00'}}, {0x8, 0x3, 0x3}}}]}, 0x38}, 0x1, 0x0, 0x0, 0x1}, 0x48004) r6 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_802154(0xffffffffffffffff, 0x8933, &(0x7f0000000c00)={'wpan3\x00', 0x0}) ioctl$sock_SIOCGIFINDEX_802154(0xffffffffffffffff, 0x8933, &(0x7f0000000c40)={'wpan4\x00', 0x0}) sendmsg$NL802154_CMD_NEW_SEC_DEV(r6, &(0x7f0000000d00)={&(0x7f0000000bc0)={0x10, 0x0, 0x0, 0x8}, 0xc, &(0x7f0000000cc0)={&(0x7f0000000c80)={0x24, 0x0, 0x100, 0x70bd27, 0x25dfdbfb, {}, [@NL802154_ATTR_IFINDEX={0x8, 0x3, r7}, @NL802154_ATTR_IFINDEX={0x8, 0x3, r8}]}, 0x24}, 0x1, 0x0, 0x0, 0x1}, 0x200400c0) sendmsg$NL80211_CMD_SET_TID_CONFIG(0xffffffffffffffff, &(0x7f0000001e00)={&(0x7f0000000d80)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000001dc0)={&(0x7f0000000e00)={0xfbc, 0x0, 0x4, 0x70bd29, 0x25dfdbfc, {{}, {@void, @val={0xc, 0x99, {0x3118063f, 0x1f}}}}, [@NL80211_ATTR_TID_CONFIG={0x24, 0x11d, 0x0, 0x1, [{0x20, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_AMPDU_CTRL={0x5, 0x9, 0x1}, @NL80211_TID_CONFIG_ATTR_RETRY_SHORT={0x5, 0x7, 0xd0}, @NL80211_TID_CONFIG_ATTR_OVERRIDE={0x4}, @NL80211_TID_CONFIG_ATTR_RTSCTS_CTRL={0x5}]}]}, @NL80211_ATTR_TID_CONFIG={0x534, 0x11d, 0x0, 0x1, [{0x34, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_RETRY_SHORT={0x5, 0x7, 0xb1}, @NL80211_TID_CONFIG_ATTR_VIF_SUPP={0xc, 0x2, 0x9}, @NL80211_TID_CONFIG_ATTR_NOACK={0x5, 0x6, 0x1}, @NL80211_TID_CONFIG_ATTR_TIDS={0x6, 0x5, 0x3e}, @NL80211_TID_CONFIG_ATTR_TX_RATE_TYPE={0x5}, @NL80211_TID_CONFIG_ATTR_OVERRIDE={0x4}]}, {0x2a0, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_AMSDU_CTRL={0x5}, @NL80211_TID_CONFIG_ATTR_TX_RATE={0x294, 0xd, 0x0, 0x1, [@NL80211_BAND_2GHZ={0x44, 0x0, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_HT={0x19, 0x2, [{0x0, 0x5}, {0x5, 0x7}, {0x5, 0x8}, {0x0, 0x5}, {0x6, 0x1}, {0x1}, {0x0, 0x1}, {0x4, 0x5}, {0x2, 0x7}, {0x6, 0x3}, {0x1}, {0x1, 0x4}, {0x1, 0x3}, {0x2, 0x8}, {0x6, 0x2}, {}, {0x3, 0x7}, {0x1, 0x7}, {0x0, 0x4}, {0x3, 0x8}, {0x2, 0x5}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x5, 0x3ff, 0x6, 0x5, 0x2, 0x8000, 0x7ff, 0x7aa]}}]}, @NL80211_BAND_2GHZ={0x54, 0x0, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x14, 0x1, [0xb, 0x12, 0x2, 0x5, 0x0, 0x2, 0x9, 0x12, 0x65, 0x4, 0x6c, 0x6c, 0x1, 0x6b, 0x12, 0x1b]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_HT={0x2a, 0x2, [{0x0, 0x2}, {0x1, 0x3}, {0x6}, {0x3, 0x3}, {0x2, 0x5}, {0x4}, {0x4, 0x8}, {0x7}, {0x2, 0x6}, {0x6, 0x5}, {0x3, 0x1}, {0x7, 0x2}, {0x2, 0x8}, {0x2, 0xa}, {0x4, 0x7}, {0x7, 0x3}, {0x1, 0x9}, {0x6, 0x9}, {0x1, 0x8}, {0x1, 0x9}, {0x7}, {0x2, 0x1}, {0x0, 0x1}, {0x6, 0x5}, {0x0, 0xa}, {0x6, 0x5}, {0x5, 0x2}, {0x5, 0xa}, {0x4, 0x2}, {}, {0x1, 0x2}, {0x0, 0x6}, {0x6, 0x2}, {0x7, 0x4}, {0x4, 0x7}, {0x1, 0x6}, {0x6}, {0x1, 0x4}]}]}, @NL80211_BAND_5GHZ={0xb4, 0x1, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_HT={0x3a, 0x2, [{0x4, 0x5}, {0x1, 0x2}, {0x0, 0x8}, {0x7, 0x9}, {0x0, 0x7}, {0x0, 0x1}, {0x0, 0x4}, {0x0, 0x2}, {0x5, 0x2}, {0x1, 0x9}, {0x5, 0x9}, {0x6}, {0x5, 0x6}, {0x4, 0x9}, {0x0, 0x3}, {0x5, 0x6}, {0x0, 0x5}, {0x0, 0x9}, {0x6, 0x5}, {0x5, 0x6}, {0x7, 0x3}, {0x5, 0x8}, {0x3, 0x1}, {0x4, 0x3}, {0x0, 0xa}, {0x3, 0x9}, {0x2, 0x9}, {0x5, 0x1}, {0x3, 0x6}, {0x7, 0x4}, {0x6, 0x5}, {0x2}, {0x3, 0x4}, {0x6, 0x2}, {0x6, 0x8}, {0x4}, {0x0, 0xa}, {0x2, 0x5}, {0x5, 0x5}, {0x3, 0xa}, {0x2, 0x3}, {0x6, 0x6}, {0x4}, {0x2, 0x2}, {0x2, 0x9}, {0x1, 0x1}, {0x4, 0xa}, {0x1, 0x7}, {0x6, 0xa}, {0x1, 0x8}, {0x4, 0x5}, {0x2, 0x3}, {0x4, 0x6}, {0x6}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x9, 0x1000, 0x3, 0x7, 0x0, 0x7, 0xcf, 0x401]}}, @NL80211_TXRATE_LEGACY={0x1c, 0x1, [0x1b, 0x4, 0x1, 0x3, 0x30, 0xc, 0x18, 0x3, 0x4, 0x4, 0xc, 0x4d, 0x36, 0xb, 0x48, 0x6, 0x30, 0x16, 0x48, 0x60, 0x30, 0x9, 0x60, 0x30]}, @NL80211_TXRATE_HT={0x17, 0x2, [{0x2, 0x1}, {0x5, 0x9}, {0x0, 0x8}, {0x3, 0x9}, {0x7, 0x1}, {0x6, 0x7}, {0x2, 0x2}, {0x3, 0x3}, {0x1, 0xa}, {0x1, 0x9}, {0x0, 0x2}, {0x3, 0x6}, {0x1, 0x2}, {0x5, 0x1}, {0x4, 0x5}, {0x5, 0x1}, {0x3, 0x2}, {0x3, 0x5}, {0x5, 0x8}]}, @NL80211_TXRATE_LEGACY={0x6, 0x1, [0x4, 0x2]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x3f, 0xfffb, 0x7, 0xfb0d, 0x4d0, 0xfff, 0x3e, 0xff]}}, @NL80211_TXRATE_GI={0x5}]}, @NL80211_BAND_60GHZ={0x60, 0x2, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_HT={0x26, 0x2, [{0x2, 0x8}, {0x2}, {0x5, 0x3}, {0x2, 0x8}, {0x1, 0x3}, {}, {0x1, 0x2}, {0x0, 0x4}, {0x6, 0x9}, {0x5, 0x2}, {0x4, 0xa}, {0x5, 0x9}, {0x4}, {0x4, 0x5}, {0x5, 0x5}, {0x5, 0x1}, {0x2, 0x3}, {0x6}, {0x5, 0x4}, {0x0, 0x4}, {0x0, 0x4}, {0x3, 0xa}, {0x1, 0x2}, {0x6, 0x8}, {0x0, 0x2}, {0x7, 0x1}, {0x1, 0x6}, {0x0, 0x5}, {0x2, 0x2}, {0x0, 0x4}, {0x0, 0x3}, {0x0, 0x4}, {0x0, 0x9}, {0x7, 0x2}]}, @NL80211_TXRATE_LEGACY={0x22, 0x1, [0x1, 0x12, 0xb, 0x5, 0x6, 0x3, 0x36, 0x36, 0x6c, 0x4, 0xc, 0x6, 0x3, 0x18, 0x30, 0x3, 0x6, 0x6c, 0x48, 0x24, 0x2, 0x30, 0xc, 0x6, 0x1, 0x3, 0x6, 0x30, 0x48, 0x3f]}, @NL80211_TXRATE_GI={0x5}]}, @NL80211_BAND_5GHZ={0x6c, 0x1, 0x0, 0x1, [@NL80211_TXRATE_HT={0x2b, 0x2, [{0x0, 0x2}, {0x5, 0x1}, {0x1, 0x7}, {0x2, 0x3}, {0x0, 0x4}, {0x1, 0x1}, {0x6}, {0x0, 0x6}, {0x4, 0x2}, {0x7, 0x9}, {0x2, 0x3}, {0x2, 0x1}, {0x6, 0x1}, {0x3, 0x7}, {0x1, 0x6}, {0x6, 0x4}, {0x0, 0x3}, {0x6, 0x6}, {0x3, 0x1}, {0x2, 0x6}, {0x4, 0x5}, {0x6, 0x17}, {0x6, 0xa}, {0x1, 0x3}, {0x6}, {0x3, 0xa}, {0x0, 0x5}, {0x2, 0x2}, {0x0, 0xa}, {0x4, 0xa}, {0x7}, {0x4, 0x9}, {0x1, 0x1}, {0x2, 0x5}, {0x1, 0x3}, {0x3, 0x1}, {0x0, 0x1}, {0x6, 0x6}, {0x3, 0x7}]}, @NL80211_TXRATE_HT={0x24, 0x2, [{0x1, 0x4}, {0x1, 0xa}, {0x5, 0x6}, {0x4, 0x6}, {0x2, 0x5}, {0x1, 0x4}, {0x5, 0x4}, {0x7, 0x4}, {0x7, 0x1}, {0x1, 0x7}, {0x0, 0x5}, {0x1, 0x2}, {0x7, 0x2}, {0x6, 0x6}, {0x1, 0x2}, {0x1}, {0x1, 0x6}, {0x2, 0x1}, {0x1, 0x8}, {0x6, 0x5}, {0x4, 0x4}, {0x4, 0x6}, {0x0, 0x6}, {0x1, 0x4}, {0x0, 0xa}, {0x0, 0x7}, {0x0, 0x8}, {0x4, 0x3}, {0x7, 0xa}, {0x4, 0x1}, {0x0, 0x8}, {0x2, 0x5}]}, @NL80211_TXRATE_HT={0xf, 0x2, [{}, {0x1, 0x5}, {0x2, 0x2}, {0x7}, {0x2, 0x9}, {0x7, 0x6}, {0x4, 0x9}, {0x5, 0x9}, {0x7, 0x6}, {0x7, 0x5}, {0x5, 0x4}]}, @NL80211_TXRATE_GI={0x5}]}, @NL80211_BAND_5GHZ={0x78, 0x1, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x7, 0x1, [0x12, 0x18, 0x0]}, @NL80211_TXRATE_LEGACY={0xe, 0x1, [0x3, 0x5, 0x6c, 0xc, 0x6, 0x6c, 0x1, 0x2, 0x2, 0x6c]}, @NL80211_TXRATE_HT={0x15, 0x2, [{0x3, 0x6}, {0x3, 0x9}, {0x4, 0x5}, {0x0, 0x1}, {0x7}, {0x2, 0x8}, {0x1, 0x7}, {0x0, 0x9}, {0x7, 0x1}, {0x0, 0x5}, {0x1, 0x8}, {0x4, 0x8}, {0x4}, {0x4, 0x3}, {0x0, 0x3}, {0x1}, {0x5, 0x2}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x7fff, 0x0, 0x3, 0x4, 0x1000, 0x3, 0x7, 0x8000]}}, @NL80211_TXRATE_LEGACY={0x19, 0x1, [0x30, 0x55, 0x18, 0x0, 0x16, 0x18, 0x30, 0x3, 0xb, 0x30, 0x1b, 0x16, 0x9, 0x5, 0x1b, 0x4, 0x4, 0x4, 0x12, 0x6, 0x2]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x101, 0x1ff, 0xffff, 0x0, 0x7fff, 0x2, 0x1ff, 0x1]}}]}]}]}, {0x24, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_RETRY_LONG={0x5, 0x8, 0x54}, @NL80211_TID_CONFIG_ATTR_TX_RATE_TYPE={0x5, 0xc, 0x2}, @NL80211_TID_CONFIG_ATTR_TX_RATE_TYPE={0x5}, @NL80211_TID_CONFIG_ATTR_RETRY_LONG={0x5, 0x8, 0x8c}]}, {0x1c, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_TIDS={0x6, 0x5, 0x77}, @NL80211_TID_CONFIG_ATTR_RETRY_SHORT={0x5, 0x7, 0x40}, @NL80211_TID_CONFIG_ATTR_TX_RATE_TYPE={0x5, 0xc, 0x1}]}, {0x21c, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_TX_RATE={0x218, 0xd, 0x0, 0x1, [@NL80211_BAND_2GHZ={0x5c, 0x0, 0x0, 0x1, [@NL80211_TXRATE_HT={0x38, 0x2, [{0x1, 0x2}, {0x0, 0x2}, {}, {0x2, 0x1}, {0x1, 0x2}, {0x3, 0x7}, {0x6}, {0x3, 0x3}, {0x1, 0x3}, {0x1, 0x9}, {0x1, 0x6}, {0x6, 0x9}, {0x5, 0x2}, {0x0, 0x3}, {0x0, 0x3}, {0x3, 0x4}, {0x0, 0x5}, {0x1, 0x6}, {0x7, 0x3}, {0x6, 0x9}, {0x3, 0x8}, {0x0, 0x2}, {0x5, 0x7}, {0x6, 0x6}, {0x1, 0x3}, {0x1, 0x1}, {0x7, 0x2}, {0x0, 0x7}, {0x7, 0x6}, {0x5, 0x4}, {0x6}, {0x6, 0x9}, {}, {0x7, 0x4}, {0x1, 0x8}, {0x2}, {0x5}, {0x5, 0x6}, {0x1, 0x8}, {0x3, 0x8}, {0x0, 0x2}, {0x2}, {0x7, 0x3}, {0x5, 0x6}, {0x7, 0x3}, {0x1, 0x4}, {0x7, 0x2}, {0x2, 0x2}, {0x4, 0x2}, {}, {0x7, 0x4}, {0x1, 0x1}]}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_LEGACY={0x16, 0x1, [0x18, 0x6, 0x4, 0x9, 0x48, 0x73, 0x6c, 0x5, 0x16, 0x16, 0xc, 0x36, 0xc, 0x0, 0x9, 0x24, 0x1b, 0x18]}]}, @NL80211_BAND_6GHZ={0x10, 0x3, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x9, 0x1, [0x48, 0x24, 0x2, 0x12, 0xc]}]}, @NL80211_BAND_5GHZ={0x24, 0x1, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x1d, 0x1, [0xb, 0x24, 0x95195027cf84f516, 0x1, 0x60, 0x6, 0x9, 0x16, 0xc, 0x1, 0xc, 0x30, 0xb, 0x3, 0x1, 0x48, 0x36, 0x2, 0x36, 0xc, 0x1b, 0x6, 0x12, 0x36, 0xb]}]}, @NL80211_BAND_60GHZ={0x48, 0x2, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x3, 0x200, 0x6, 0x9, 0xc4, 0x0, 0x1000, 0x3f]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x3, 0x3, 0x8df, 0xff80, 0x6, 0x1, 0x7f, 0x7]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x3, 0x0, 0x3f, 0x8001, 0x40, 0xf7a7, 0xba2, 0x4]}}]}, @NL80211_BAND_2GHZ={0xc, 0x0, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5}]}, @NL80211_BAND_5GHZ={0x28, 0x1, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x22, 0x1, [0xc, 0x6d, 0x2, 0x30, 0x60, 0x30, 0x18, 0x5, 0x48, 0x16, 0x4, 0x36, 0x16, 0x36, 0x4, 0x16, 0x2d, 0x1b, 0x0, 0x6, 0xc, 0x6, 0x36, 0x60, 0x12, 0x1, 0x48, 0x4, 0x2, 0x36]}]}, @NL80211_BAND_2GHZ={0x1c, 0x0, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0xb, 0x1, [0x30, 0xc, 0xc, 0x2, 0x18, 0x4, 0x48]}, @NL80211_TXRATE_HT={0xb, 0x2, [{0x6, 0x9}, {0x5, 0x1}, {0x5, 0x9}, {0x6, 0x1}, {0x6, 0x3}, {0x3, 0x9}, {0x2, 0x6}]}]}, @NL80211_BAND_2GHZ={0x14, 0x0, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}]}, @NL80211_BAND_6GHZ={0x34, 0x3, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x7e76, 0x5, 0xff, 0x2, 0x200, 0x7, 0x3, 0x8]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x81, 0x400, 0xa0, 0x0, 0x3, 0x3ff, 0xff7f, 0x1]}}]}, @NL80211_BAND_5GHZ={0xa4, 0x1, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x10, 0x1, [0x0, 0x48, 0x60, 0x12, 0x3d, 0x3, 0x9, 0x2, 0x17, 0x60, 0x12, 0x1b]}, @NL80211_TXRATE_LEGACY={0x13, 0x1, [0x4, 0x16, 0xc, 0x16, 0x4, 0x30, 0xb, 0x77, 0x1b, 0x1, 0x5, 0x9, 0xc, 0x9, 0x16]}, @NL80211_TXRATE_HT={0x45, 0x2, [{0x2, 0x3}, {0x1, 0x5}, {0x6, 0x5}, {0x6, 0x5}, {0x0, 0x8}, {0x6, 0x2}, {0x0, 0x1}, {0x0, 0x3}, {0x7, 0x7}, {0x1, 0x7}, {0x7, 0x5}, {0x1, 0x1}, {0x2, 0x4}, {0x7, 0x3}, {0x0, 0x6}, {0x3, 0x6}, {0x1, 0x6}, {0x6}, {0x1, 0x3}, {0x3}, {0x6, 0x9}, {0x0, 0x5}, {0x4, 0xa}, {0x0, 0x5}, {0x6, 0x2}, {0x3, 0x8}, {0x5, 0x9}, {0x0, 0x4}, {0x2, 0x2}, {0x2, 0xa}, {0x4}, {0x3, 0x3}, {0x7}, {0x7, 0x4}, {0x5, 0xa}, {0x7, 0x4}, {0x7, 0x9}, {0x1, 0x6}, {0x5, 0x7}, {0x0, 0x6}, {0x0, 0x1}, {0x0, 0x1}, {0x0, 0x2}, {0x4}, {0x4, 0x8}, {0x1, 0x8}, {0x7, 0x1}, {0x2, 0x3}, {0x4, 0x8}, {0x1, 0x4}, {0x1, 0x7}, {0x1, 0x5}, {0x2, 0x3}, {0x5, 0x7}, {0x5, 0x9}, {0x1}, {0x0, 0x7}, {0x0, 0x4}, {0x0, 0x1}, {0x4, 0x9}, {0x1, 0x8}, {0x3, 0x2}, {0x1, 0x7}, {0x5, 0x9}, {0x4, 0x6}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x80, 0x80, 0x8, 0x9, 0x9, 0x3ff, 0x3f, 0x2]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x2, 0x5, 0x9, 0x4f47, 0x2a8, 0x6, 0x9, 0x5]}}, @NL80211_TXRATE_LEGACY={0x9, 0x1, [0x24, 0x18, 0x12, 0x6, 0x30]}]}]}]}]}, @NL80211_ATTR_TID_CONFIG={0x14, 0x11d, 0x0, 0x1, [{0x10, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_PEER_SUPP={0xc, 0x3, 0x8}]}]}, @NL80211_ATTR_TID_CONFIG={0x1c, 0x11d, 0x0, 0x1, [{0x18, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_RTSCTS_CTRL={0x5, 0xa, 0x1}, @NL80211_TID_CONFIG_ATTR_VIF_SUPP={0xc, 0x2, 0x3b2364ed}]}]}, @NL80211_ATTR_TID_CONFIG={0x160, 0x11d, 0x0, 0x1, [{0x14, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_TX_RATE_TYPE={0x5}, @NL80211_TID_CONFIG_ATTR_RTSCTS_CTRL={0x5, 0xa, 0x1}]}, {0x3c, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_VIF_SUPP={0xc}, @NL80211_TID_CONFIG_ATTR_TX_RATE_TYPE={0x5}, @NL80211_TID_CONFIG_ATTR_PEER_SUPP={0xc, 0x3, 0x4}, @NL80211_TID_CONFIG_ATTR_PEER_SUPP={0xc, 0x3, 0x7}, @NL80211_TID_CONFIG_ATTR_OVERRIDE={0x4}, @NL80211_TID_CONFIG_ATTR_AMSDU_CTRL={0x5, 0xb, 0x1}]}, {0x10c, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_TX_RATE={0x108, 0xd, 0x0, 0x1, [@NL80211_BAND_60GHZ={0x88, 0x2, 0x0, 0x1, [@NL80211_TXRATE_HT={0x2d, 0x2, [{0x0, 0x1}, {0x5, 0xa}, {0x1, 0x7}, {0x6, 0x8}, {0x4}, {0x4, 0x6}, {0x2, 0x6}, {0x3, 0x6}, {0x6, 0x2}, {0x7, 0x5}, {0x1, 0x9}, {0x0, 0x8}, {0x0, 0x8}, {0x7, 0x4}, {0x1, 0x8}, {}, {0x0, 0x4}, {0x2}, {0x2}, {0x1, 0x1}, {0x1, 0x9}, {0x0, 0x3}, {0x2, 0x4}, {0x7, 0x4}, {0x0, 0x1}, {0x5, 0x4}, {0x4, 0x4}, {0x6, 0xa}, {0x7, 0x1}, {0x6, 0x3}, {0x2, 0x7}, {0x7, 0x7}, {0x1, 0x3}, {0x1, 0x6}, {0x5, 0xa}, {0x0, 0x5}, {0x1, 0x3}, {0x1, 0x2}, {0x1, 0x3}, {0x6, 0x3}, {0x7}]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_HT={0x49, 0x2, [{0x1, 0x2}, {0x3, 0x6}, {0x6, 0x7}, {0x5}, {0x6, 0x6}, {0x4, 0x4}, {0x2, 0x2}, {0x7, 0x9}, {0x5, 0x9}, {0x0, 0x6}, {0x2, 0x3}, {0x7, 0xa}, {0x1, 0x9}, {0x3, 0x7}, {0x1, 0x6}, {0x1, 0x3}, {0x6, 0x4}, {0x1, 0x8}, {0x4, 0x3}, {0x5, 0x1}, {0x2, 0x1}, {}, {0x0, 0x1}, {0x1, 0x9}, {0x1, 0x4}, {0x6, 0x4}, {0x6, 0x8}, {0x5, 0x4}, {0x5, 0x5}, {0x1, 0x2}, {0x1}, {0x0, 0x5}, {0x1}, {0x0, 0x8}, {0x7, 0x6}, {0x7, 0x1}, {0x1, 0x1}, {0x1, 0x7}, {0x4, 0x7}, {0x3, 0x4}, {0x6, 0x2}, {0x5, 0x8}, {0x1, 0x5}, {0x0, 0x7}, {0x1, 0x4}, {0x0, 0x5}, {0x3, 0xa}, {0x1, 0x7}, {0x1, 0x3}, {0x3, 0x5}, {0x7}, {0x2}, {0x5, 0x8}, {0x2}, {0x7, 0x8}, {0x4, 0x3}, {0x6, 0x5}, {0x0, 0x9}, {0x7}, {0x3, 0x2}, {0x1, 0x7}, {0x5, 0xa}, {0x6, 0xa}, {0x7, 0x1}, {0x4, 0x3}, {0x1, 0x9}, {0x0, 0x3}, {0x3, 0xa}, {0x4, 0x6}]}]}, @NL80211_BAND_60GHZ={0x7c, 0x2, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x8, 0x400, 0x9, 0x8, 0xd4c2, 0x6, 0x8, 0x401]}}, @NL80211_TXRATE_LEGACY={0xf, 0x1, [0x16, 0x30, 0x6, 0xc, 0xc, 0x24, 0x6, 0x6b, 0x2, 0x0, 0x48]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x5, 0x80, 0x8, 0x7, 0x401, 0x4, 0x4, 0x1]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x4, 0xeb76, 0xa77, 0x40, 0x1, 0x8, 0x0, 0x8414]}}, @NL80211_TXRATE_LEGACY={0x1a, 0x1, [0x3, 0x60, 0x12, 0x1, 0x2, 0x293ac3992d46e5c6, 0x4, 0x1, 0x24, 0x5, 0x48, 0xb, 0x6, 0x6, 0x16, 0x1, 0x18, 0x1, 0x60, 0x60, 0x16, 0xc]}]}]}]}]}, @NL80211_ATTR_TID_CONFIG={0x468, 0x11d, 0x0, 0x1, [{0x464, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_AMSDU_CTRL={0x5}, @NL80211_TID_CONFIG_ATTR_TX_RATE={0x2c0, 0xd, 0x0, 0x1, [@NL80211_BAND_60GHZ={0xcc, 0x2, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_LEGACY={0x19, 0x1, [0x12, 0x30, 0x1b, 0x24, 0x3, 0x0, 0x1a, 0x2, 0x9, 0x3, 0x18, 0x2c, 0x48, 0x60, 0x12, 0x16, 0x11, 0x18, 0x1b, 0x5, 0x12]}, @NL80211_TXRATE_LEGACY={0x1b, 0x1, [0x6c, 0xc, 0x1b, 0x28, 0x12, 0x12, 0x4, 0x36, 0x6c, 0x16, 0x36, 0x3, 0x1, 0x16, 0x12, 0x5, 0x6, 0x48, 0x24, 0x9, 0x18, 0x16, 0x1b]}, @NL80211_TXRATE_LEGACY={0x1e, 0x1, [0xb, 0x60, 0x1b, 0x32, 0x9, 0x18, 0x2, 0x60, 0x36, 0x6c, 0xc, 0x9, 0x18, 0x60, 0xc, 0x2, 0x48, 0x1b, 0x36, 0x1d, 0xb, 0x30, 0x6, 0xb, 0x16, 0x60]}, @NL80211_TXRATE_LEGACY={0x1b, 0x1, [0xc, 0x16, 0x5, 0x4, 0x48, 0x1, 0x2d, 0xb, 0x60, 0x36, 0x3, 0x19, 0x48, 0x2, 0x18, 0x48, 0x5, 0xb, 0x16, 0x4, 0x30, 0x16, 0xc]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x0, 0x7, 0x0, 0x1, 0xb1d, 0x2, 0x81, 0xff]}}, @NL80211_TXRATE_HT={0x30, 0x2, [{0x1, 0x2}, {0x7, 0xa}, {0x0, 0x4}, {0x1, 0x7}, {0x1, 0x8}, {0x2, 0x6}, {0x0, 0x4}, {0x0, 0x6}, {0x7, 0x8}, {0x7}, {0x2, 0x3}, {0x4, 0x8}, {0x3}, {0x7, 0x6}, {0x4, 0x4}, {0x6, 0x4}, {0x1}, {0x3}, {0x1, 0x9}, {0x3, 0x2}, {0x3, 0x6}, {0x7, 0xa}, {0x6, 0x7}, {0x2, 0x6}, {0x4, 0x1}, {0x1, 0x9}, {0x3, 0x9}, {0x1, 0x5}, {0x2, 0x3}, {0x2, 0x9}, {0x0, 0x7}, {0x7, 0x4}, {0x0, 0x4}, {0x4, 0x6}, {0x1, 0x6}, {0x0, 0x6}, {0x6, 0x3}, {0x1, 0x7}, {0x2, 0x8}, {0x0, 0x2}, {0x6, 0xa}, {0x4, 0xa}, {0x5, 0x4}, {0x5, 0x9}]}, @NL80211_TXRATE_GI={0x5}]}, @NL80211_BAND_6GHZ={0x40, 0x3, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x2, 0x4, 0xffff, 0x239f, 0xd8, 0x0, 0x9]}}, @NL80211_TXRATE_LEGACY={0x14, 0x1, [0x18, 0xc, 0x3dad2e21d9122b99, 0x6c, 0x6, 0x1, 0x6c, 0x6c, 0x16, 0x2, 0x60, 0x1b, 0x5, 0x1, 0x3, 0x4]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x1ff, 0x9f4, 0x400, 0x7a, 0x20, 0x8000, 0x2, 0xe0c]}}]}, @NL80211_BAND_5GHZ={0x20, 0x1, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_HT={0x9, 0x2, [{0x1, 0xa}, {0x6, 0x3}, {0x1, 0x5}, {0x1, 0x3}, {0x1}]}]}, @NL80211_BAND_60GHZ={0x74, 0x2, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x7f, 0x0, 0xb3, 0x9, 0xfbff, 0x21b5, 0x3f, 0x3]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x7, 0x8, 0x4, 0xb664, 0x5, 0x9, 0x7ff, 0x3]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x6, 0x6, 0x7, 0x40, 0x0, 0x0, 0x5, 0x9]}}, @NL80211_TXRATE_LEGACY={0x1a, 0x1, [0xb, 0x6c, 0x6c, 0x1d, 0xb, 0xb, 0x6c, 0x16, 0x60, 0x97, 0xb, 0x2, 0x48, 0x1, 0x36, 0x36, 0x12, 0x9, 0x1b, 0x48, 0x30, 0x9]}]}, @NL80211_BAND_2GHZ={0xd0, 0x0, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0xc95c, 0x1, 0x4, 0x8, 0x4f, 0x3, 0x2, 0x6]}}, @NL80211_TXRATE_LEGACY={0x23, 0x1, [0x0, 0x4, 0x36, 0x12, 0x30, 0x3, 0x9, 0x1, 0xc, 0x3, 0x6, 0x16, 0x60, 0x6, 0x2, 0x16, 0x1, 0x16, 0x6c, 0x1b, 0x12, 0x16, 0x12, 0x6c, 0x1, 0x30, 0x0, 0x48, 0x24, 0xb, 0x24]}, @NL80211_TXRATE_LEGACY={0x15, 0x1, [0x48, 0x4, 0x2, 0x6, 0x5, 0x16, 0x1b, 0x1, 0xc1cdfd209ce37002, 0x60, 0x11, 0xb, 0x3, 0x36, 0x2, 0x6, 0x4]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x3, 0x7, 0x1, 0x81, 0x0, 0x7f, 0x59de, 0x4]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x4, 0xffc9, 0x3f, 0x2, 0x2, 0x1ff, 0x3, 0x1400]}}, @NL80211_TXRATE_HT={0x14, 0x2, [{0x1, 0x8}, {0x2, 0xa}, {0x1, 0x8}, {0x7, 0xa}, {0x4, 0x9}, {0x0, 0x7}, {0x2, 0x5}, {0x1, 0x5}, {0x7, 0x9}, {0x0, 0x2}, {0x1, 0x8}, {0x4, 0x8}, {0x4, 0x9}, {0x0, 0x1}, {0x4, 0x7}, {0x0, 0x7}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x401, 0x81, 0x9, 0x8001, 0x20, 0x4, 0xfff8, 0x3f]}}, @NL80211_TXRATE_HT={0x23, 0x2, [{0x5, 0x8}, {0x2, 0x6}, {0x1, 0x7}, {0x7, 0x3}, {0x4, 0x7}, {0x6, 0x8}, {0x3, 0x4}, {0x3, 0xa}, {0x0, 0x2}, {0x1, 0x8}, {0x7, 0x3}, {0x7, 0x9}, {0x4, 0x4}, {0x3, 0xa}, {0x0, 0x6}, {0x0, 0x3}, {0x1}, {0x1, 0x8}, {0x2, 0x7}, {0x7, 0x2}, {0x3, 0x5}, {0x5, 0x4}, {0x1, 0x5}, {0x7, 0xa}, {0x3, 0x1}, {0x2, 0x8}, {0x6, 0x4}, {0x0, 0x2}, {0x2, 0x6}, {0x0, 0x2}, {0x2, 0x9}]}, @NL80211_TXRATE_GI={0x5}]}, @NL80211_BAND_60GHZ={0x4c, 0x2, 0x0, 0x1, [@NL80211_TXRATE_HT={0x47, 0x2, [{0x3, 0x6}, {0x2, 0x9}, {0x7, 0x7}, {0x7, 0x8}, {0x6, 0x4}, {0x2, 0x4}, {0x3, 0x2}, {0x5, 0x7}, {0x2}, {0x7, 0x5}, {0x1}, {0x2, 0x1}, {}, {0x2, 0xa}, {0x4, 0x6}, {0x1, 0x1}, {0x6, 0x2}, {0x4, 0x6}, {0x5, 0x8}, {0x0, 0x1}, {0x6, 0x6}, {0x5, 0x1}, {0x3, 0x4}, {0x2, 0x1}, {0x2, 0x1}, {0x6, 0x7}, {0x4, 0x8}, {0x3, 0x8}, {0x2, 0xa}, {0x1}, {0x5, 0x7}, {0x7, 0x6}, {0x2, 0x5}, {0x4, 0x3}, {0x6, 0x8}, {0x1, 0x1}, {0x0, 0x8}, {0x5, 0x1}, {0x4, 0x9}, {0x2, 0x7}, {0x1, 0x9}, {0x7, 0x1b}, {0x3, 0x8}, {0x0, 0x9}, {0x3, 0xa}, {0x2, 0x7}, {0x5, 0x1}, {0x4, 0x7}, {0x2, 0x9}, {0x5, 0x9}, {0x1, 0x5}, {0x0, 0x6}, {0x6, 0x4}, {0x5}, {0x3, 0x3}, {0x0, 0x3}, {0x7, 0x7}, {0x4, 0xa}, {0x1, 0x6}, {0x3, 0x7}, {0x2}, {0x7, 0x4}, {0x2, 0x8}, {0x5, 0x7}, {0x0, 0x8}, {0x0, 0x7}, {}]}]}]}, @NL80211_TID_CONFIG_ATTR_OVERRIDE={0x4}, @NL80211_TID_CONFIG_ATTR_PEER_SUPP={0xc, 0x3, 0x5269}, @NL80211_TID_CONFIG_ATTR_TX_RATE={0x16c, 0xd, 0x0, 0x1, [@NL80211_BAND_60GHZ={0xb4, 0x2, 0x0, 0x1, [@NL80211_TXRATE_HT={0xd, 0x2, [{0x4, 0x4}, {0x1, 0x4}, {0x6, 0x1}, {0x0, 0x7}, {0x5, 0x1}, {0x5, 0x5}, {0x3, 0x3}, {0x1, 0x5}, {0x5, 0x4}]}, @NL80211_TXRATE_HT={0x28, 0x2, [{0x1}, {0x4, 0x4}, {0x1, 0x4}, {0x0, 0x3}, {0x0, 0x16}, {0x6, 0x8}, {0x7, 0x8}, {0x2, 0xa}, {0x5, 0xa}, {0x6, 0x4}, {0x2, 0x5}, {0x3, 0x9}, {0x7, 0x2}, {0x0, 0x8}, {0x5, 0x8}, {0x6, 0x8}, {0x0, 0x6}, {0x3, 0x4}, {0x0, 0xa}, {0x6, 0x2}, {0x7, 0x3}, {0x4, 0x5}, {0x0, 0x4}, {0x0, 0x5}, {0x0, 0xa}, {0x6, 0x3}, {0x3, 0x8}, {0x4, 0x9}, {0x2, 0x9}, {0x1, 0x7}, {0x2}, {0x5, 0x7}, {0x0, 0x4}, {0x4, 0x4}, {0x4, 0x6}, {0x1, 0x7}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x7, 0x30, 0xb4c, 0x0, 0x0, 0x7f, 0x1f, 0x2]}}, @NL80211_TXRATE_HT={0x6, 0x2, [{}, {0x5, 0x2}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x3, 0x1, 0x8001, 0x3c3, 0x1000, 0xff, 0x3, 0x2]}}, @NL80211_TXRATE_HT={0x2c, 0x2, [{0x2, 0x2}, {0x7, 0x9}, {0x1, 0x5}, {0x4, 0x2}, {}, {0x1, 0x2}, {0x6, 0x7}, {}, {0x0, 0x6}, {0x1, 0x7}, {0x7, 0x1}, {0x4, 0x1}, {0x6, 0x4}, {0x7, 0x1}, {0x0, 0x3}, {}, {0x1, 0x8}, {0x4, 0x3}, {0x0, 0x7}, {0x5, 0x5}, {0x0, 0x3}, {0x3, 0x6}, {0x6, 0x7}, {0x7, 0xa}, {0x4, 0x6}, {0x4, 0x1}, {0x4, 0x6}, {0x4, 0x5}, {0x5, 0x8}, {0x5}, {0x3, 0x2}, {0x3, 0x9}, {0x0, 0x4}, {0x4, 0x3}, {0x3, 0x4}, {0x3, 0x8}, {0x5, 0x1}, {0x2, 0x7}, {0x1, 0x1}, {0x3, 0x6}]}, @NL80211_TXRATE_LEGACY={0x19, 0x1, [0x30, 0xb, 0xb, 0x1b, 0x56, 0x18, 0x16, 0xb, 0x9, 0x60, 0x1, 0x0, 0x2f, 0x18, 0x4, 0x6, 0x3, 0xc, 0x2, 0x18, 0x3]}]}, @NL80211_BAND_60GHZ={0x54, 0x2, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x19, 0x1, [0x2, 0x9, 0x36, 0x48, 0x9, 0x48, 0x5, 0x18, 0xc, 0xc, 0x18, 0x1, 0x1, 0x16, 0xb, 0x2, 0x5, 0x78, 0x18, 0x5, 0x60]}, @NL80211_TXRATE_HT={0xf, 0x2, [{0x6, 0x9}, {0x4, 0x1}, {0x2, 0xa}, {0x3}, {0x4, 0x4}, {0x3, 0xa}, {0x4, 0x3}, {0x1, 0x4}, {0x2, 0x6}, {0x0, 0x4}, {0x0, 0x5}]}, @NL80211_TXRATE_HT={0x22, 0x2, [{0x3, 0x4}, {0x3}, {0x4}, {0x2, 0x2}, {0x7, 0x2}, {0x5, 0x1}, {0x0, 0xa}, {0x1, 0xa}, {0x1, 0x1}, {0x3, 0x6}, {0x1, 0x2}, {0x1, 0x2}, {0x3, 0x2}, {0x3, 0x1}, {0x7, 0x5}, {0x7, 0x9}, {0x0, 0x1}, {0x0, 0x9}, {0x7, 0x3}, {0x3, 0x9}, {0x3, 0x3}, {0x2, 0x5}, {0x6, 0x9}, {0x1, 0x4}, {0x6, 0x3}, {0x0, 0x8}, {0x0, 0x3}, {0x2, 0xa}, {0x2}, {0x0, 0x5}]}]}, @NL80211_BAND_2GHZ={0x60, 0x0, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x9, 0x4, 0x1, 0x20, 0xc24, 0x3, 0x3, 0x1f]}}, @NL80211_TXRATE_HT={0xd, 0x2, [{0x6, 0x3}, {0x2, 0x6}, {}, {0x4, 0x6}, {0x5}, {0x5}, {0x6, 0x5}, {0x2, 0x8}, {0x1, 0x4}]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0xfff, 0x2, 0x0, 0x7, 0x3b, 0x6, 0x5d1, 0x8]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x1, 0xcc5, 0x6be4, 0x1b, 0x7f, 0x100, 0x9, 0x1]}}]}]}, @NL80211_TID_CONFIG_ATTR_NOACK={0x5}, @NL80211_TID_CONFIG_ATTR_RETRY_SHORT={0x5, 0x7, 0x48}, @NL80211_TID_CONFIG_ATTR_OVERRIDE={0x4}, @NL80211_TID_CONFIG_ATTR_RETRY_SHORT={0x5, 0x7, 0xce}]}]}, @NL80211_ATTR_TID_CONFIG={0x18, 0x11d, 0x0, 0x1, [{0x14, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_RTSCTS_CTRL={0x5}, @NL80211_TID_CONFIG_ATTR_RTSCTS_CTRL={0x5}]}]}, @NL80211_ATTR_TID_CONFIG={0x40, 0x11d, 0x0, 0x1, [{0x2c, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_TX_RATE_TYPE={0x5}, @NL80211_TID_CONFIG_ATTR_PEER_SUPP={0xc, 0x3, 0x7ff}, @NL80211_TID_CONFIG_ATTR_VIF_SUPP={0xc, 0x2, 0x819}, @NL80211_TID_CONFIG_ATTR_AMPDU_CTRL={0x5}]}, {0x10, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_VIF_SUPP={0xc, 0x2, 0x4}]}]}, @NL80211_ATTR_TID_CONFIG={0x3f4, 0x11d, 0x0, 0x1, [{0x208, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_TX_RATE={0x1f4, 0xd, 0x0, 0x1, [@NL80211_BAND_6GHZ={0x48, 0x3, 0x0, 0x1, [@NL80211_TXRATE_HT={0x44, 0x2, [{0x7, 0x4}, {0x0, 0x2}, {0x2, 0x5}, {0x2, 0x9}, {0x6, 0x1}, {0x7, 0x9}, {0x5}, {0x1, 0x3}, {0x0, 0x6}, {0x1, 0x4}, {0x5}, {0x5, 0x8}, {0x5, 0x1}, {0x1, 0x8}, {0x1, 0x1}, {0x5, 0x3}, {0x4, 0x1}, {0x5, 0x3}, {0x1, 0x2}, {0x7, 0x5}, {0x0, 0x5}, {0x5, 0x4}, {0x3, 0x4}, {0x5, 0x2}, {0x0, 0x4}, {0x1, 0xa}, {0x5, 0x7}, {0x2}, {0x2, 0xa}, {0x6, 0x8}, {0x4, 0x5}, {0x0, 0x9}, {0x0, 0x6}, {0x2, 0x6}, {0x0, 0x1}, {0x6, 0x7}, {0x7, 0x2}, {0x0, 0x1}, {0x2, 0x7}, {0x2, 0x9}, {0x1, 0x3}, {0x4, 0xa}, {}, {0x1, 0x6}, {0x2, 0x4}, {0x2, 0xa}, {0x0, 0x4}, {0x4, 0x6}, {0x7, 0x2}, {0x3, 0x6}, {0x1, 0x2}, {0x0, 0x7}, {0x3, 0x9}, {0x3, 0x5}, {0x0, 0x8}, {0x7, 0x1}, {0x1, 0x3}, {0x1, 0x5}, {0x5, 0x9}, {0x0, 0xa}, {0x3, 0x2}, {0x2, 0x4}, {0x6, 0x2}, {0x7, 0x8}]}]}, @NL80211_BAND_60GHZ={0x88, 0x2, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x3, 0xfffe, 0x154, 0x101, 0x90, 0x9, 0x4]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x1000, 0x800, 0x7, 0x400, 0x7fff, 0x2, 0x80, 0x6]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x3, 0x401, 0x8, 0x1000, 0x800, 0x5, 0x9, 0x8]}}, @NL80211_TXRATE_HT={0x47, 0x2, [{0x4, 0x7}, {0x0, 0x4}, {0x4, 0x4}, {0x1}, {0x3, 0x8}, {0x5, 0x1d}, {0x1, 0x3}, {0x6, 0x6}, {0x5}, {0x2}, {0x6, 0x1}, {0x4, 0x9}, {0x6, 0x6}, {0x2, 0x9}, {0x2, 0x7}, {0x1, 0x5}, {0x1, 0x3}, {0x1, 0x6}, {0x1, 0x6}, {0x5, 0x7}, {0x3, 0x6}, {0x3, 0x9}, {0x7}, {0x6}, {0x4, 0x3}, {0x7, 0x3}, {0x0, 0x6}, {0x7, 0x4}, {0x6, 0x4}, {0x4, 0x3}, {0x0, 0x6}, {0x4, 0x5}, {0x1}, {0x2, 0x6}, {0x6, 0x1}, {0x7}, {0x6, 0x1}, {0x7, 0x5}, {0x6, 0x2}, {0x5, 0x8}, {0x4, 0x6}, {0x4, 0xa}, {0x7, 0x8}, {0x1, 0x2}, {0x4, 0x6}, {0x7, 0x9}, {0x7, 0x9}, {}, {0x1, 0x5}, {0x1, 0x4}, {0x2, 0x3}, {0x0, 0x7}, {0x5, 0x8}, {0x0, 0x8}, {0x1, 0x9}, {0x5, 0x6}, {0x0, 0x4}, {0x1, 0x7}, {0x5}, {0x0, 0xa}, {0x1, 0x8}, {0x0, 0x5}, {0x2, 0x4}, {0x4, 0x9}, {0x5, 0x5}, {0x4}, {}]}]}, @NL80211_BAND_60GHZ={0xe0, 0x2, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_HT={0x19, 0x2, [{0x2, 0x4}, {0x0, 0x8}, {0x4, 0x2}, {0x7, 0x4}, {0x2, 0x9}, {0x6, 0x3}, {0x0, 0x6}, {0x1, 0x6}, {}, {0x2, 0x6}, {0x5, 0x1}, {0x1, 0x2}, {0x3, 0x1}, {0x0, 0x4}, {0x4}, {0x4, 0x7}, {0x7, 0x7}, {0x5, 0x6}, {0x0, 0x7}, {0x7, 0x2}, {0x3, 0x4}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x8, 0x7ff, 0x8001, 0x8, 0x9, 0x2, 0xfff, 0x4]}}, @NL80211_TXRATE_HT={0x44, 0x2, [{0x4, 0x8}, {0x1, 0x4}, {0x0, 0x9}, {0x1, 0x9}, {0x0, 0x2}, {0x4}, {0x6, 0x5}, {0x4, 0x8}, {0x5, 0x5}, {0x3, 0x3}, {0x6}, {0x4, 0x4}, {0x0, 0x5}, {0x1, 0x5}, {0x1, 0x4}, {0x0, 0x4}, {0x4}, {0x2, 0x8}, {0x4, 0x7}, {0x3, 0x5}, {0x1, 0x7}, {0x1, 0x4}, {0x0, 0x8}, {0x3, 0x9}, {0x6, 0x9}, {0x1}, {0x0, 0x6}, {}, {0x3, 0x7}, {0x1, 0x6}, {0x0, 0x4}, {0x3, 0x6}, {0x6, 0x9}, {0x4, 0x8}, {0x5, 0x6}, {0x5, 0x7}, {0x3, 0x9}, {0x0, 0x7}, {0x2, 0x9}, {0x6, 0x6}, {0x6, 0x9}, {0x6, 0x2}, {0x1}, {0x0, 0x5}, {0x5, 0x6}, {0x2}, {0x6, 0xa}, {0x6, 0x3}, {0x6, 0x4}, {0x1, 0x1}, {0x4}, {0x4, 0x1}, {0x0, 0x4}, {0x2, 0x4}, {0x0, 0x4}, {}, {0x1, 0x3}, {0x4, 0x1}, {0x3, 0x6}, {0x4, 0x1}, {0x1}, {0x5, 0x3}, {0x2, 0x2}, {0x5, 0x8}]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_HT={0x2c, 0x2, [{0x5, 0x3}, {0x1, 0x4}, {0x7, 0x3}, {0x3, 0x3}, {0x4, 0x4}, {0x6, 0x7}, {0x2, 0xa}, {}, {0x7, 0x6}, {0x6, 0x6}, {0x0, 0x6}, {0x7}, {0x3, 0x9}, {0x0, 0x5}, {0x1, 0x8}, {0x4, 0x6}, {0x4, 0x9}, {0x6}, {0x0, 0x6}, {0x2, 0x7}, {0x6, 0x1}, {0x3, 0xa}, {0x7, 0x8}, {0x5, 0x8}, {0x1, 0x5}, {0x1}, {0x0, 0x8}, {0x2, 0x5}, {0x1, 0x4}, {0x0, 0x1}, {0x7, 0x9}, {0x4, 0x2}, {0x6, 0x8}, {0x2, 0x6}, {0x0, 0x4}, {0x3, 0xa}, {0x0, 0x7}, {0x6, 0x4}, {0x4, 0x9}, {0x1, 0x8}]}, @NL80211_TXRATE_HT={0xd, 0x2, [{0x0, 0x5}, {0x0, 0x5}, {0x0, 0x9}, {0x3, 0x5}, {0x2, 0x4}, {0x5}, {0x2, 0x4}, {0x7, 0x9}, {0x6, 0x4}]}, @NL80211_TXRATE_LEGACY={0x14, 0x1, [0x5, 0x1, 0x1b, 0x0, 0xb, 0x36, 0x3, 0x6, 0x6, 0x3, 0x24, 0x60, 0x0, 0x9, 0x0, 0x36]}]}, @NL80211_BAND_2GHZ={0x40, 0x0, 0x0, 0x1, [@NL80211_TXRATE_HT={0x23, 0x2, [{0x5, 0x3}, {0x1, 0x5}, {0x1, 0x2}, {0x2, 0x7}, {0x6, 0x2}, {0x3, 0x8}, {0x4, 0x2}, {0x5, 0x8}, {0x0, 0x5}, {0x4, 0x3}, {0x4, 0x1}, {0x5, 0x3}, {0x7}, {0x0, 0x7}, {0x7}, {0x4, 0x6}, {0x6, 0x7}, {0x0, 0x7}, {0x2, 0x8}, {0x1, 0xa}, {0x1, 0x8}, {0x6, 0xa}, {0x7, 0x9}, {0x5, 0x7}, {0x1, 0x8}, {0x4, 0x6}, {0x7, 0x1}, {0x1, 0x8}, {0x4, 0x1}, {0x2, 0x5}, {0x5, 0x6}]}, @NL80211_TXRATE_LEGACY={0x16, 0x1, [0x9, 0x60, 0x4, 0xc, 0x18, 0x9, 0x5, 0x5, 0x1b, 0x62, 0x5, 0x18, 0x6, 0x16, 0x5, 0x1, 0x18, 0x12]}]}]}, @NL80211_TID_CONFIG_ATTR_RTSCTS_CTRL={0x5}, @NL80211_TID_CONFIG_ATTR_AMSDU_CTRL={0x5, 0xb, 0x1}]}, {0x50, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_PEER_SUPP={0xc, 0x3, 0xfffffffffffffffc}, @NL80211_TID_CONFIG_ATTR_RETRY_SHORT={0x5, 0x7, 0xf0}, @NL80211_TID_CONFIG_ATTR_AMPDU_CTRL={0x5, 0x9, 0x1f}, @NL80211_TID_CONFIG_ATTR_AMPDU_CTRL={0x5}, @NL80211_TID_CONFIG_ATTR_RETRY_SHORT={0x5, 0x7, 0x57}, @NL80211_TID_CONFIG_ATTR_OVERRIDE={0x4}, @NL80211_TID_CONFIG_ATTR_AMPDU_CTRL={0x5, 0x9, 0x1}, @NL80211_TID_CONFIG_ATTR_OVERRIDE={0x4}, @NL80211_TID_CONFIG_ATTR_TX_RATE_TYPE={0x5, 0xc, 0x2}, @NL80211_TID_CONFIG_ATTR_RTSCTS_CTRL={0x5}]}, {0x138, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_RETRY_LONG={0x5, 0x8, 0xd}, @NL80211_TID_CONFIG_ATTR_VIF_SUPP={0xc, 0x2, 0x9}, @NL80211_TID_CONFIG_ATTR_TX_RATE={0xf8, 0xd, 0x0, 0x1, [@NL80211_BAND_5GHZ={0x60, 0x1, 0x0, 0x1, [@NL80211_TXRATE_HT={0x37, 0x2, [{0x7, 0xa}, {0x6, 0x2}, {0x3, 0x2}, {0x0, 0x7}, {0x1, 0x6}, {0x1, 0x6}, {0x1, 0x9}, {0x2, 0x6}, {0x7, 0x8}, {0x6, 0x8}, {0x0, 0x8}, {0x5}, {0x3, 0x8}, {0x5, 0x4}, {0x5, 0x8}, {0x2, 0x9}, {0x2, 0x5}, {0x6, 0x9}, {0x0, 0x3}, {0x2, 0x4}, {0x5, 0x2}, {0x5, 0x9}, {0x3, 0x7}, {0x6, 0x6}, {0x7, 0x3}, {0x3, 0x6}, {0x7, 0x5}, {0x0, 0x1}, {0x5, 0x9}, {0x7, 0x7}, {0x2, 0x6}, {0x1, 0x6}, {0x7, 0x2}, {0x1, 0xa}, {0x5, 0x6}, {0x3}, {0x1, 0x7}, {0x0, 0x8}, {0x5, 0x7}, {0x1, 0x8}, {0x6}, {0x4, 0x5}, {0x0, 0x3}, {0x6, 0x9}, {}, {0x6}, {0x5, 0xa}, {0x6, 0x8}, {0x1, 0x2}, {0x2, 0x6}, {0x0, 0x1}]}, @NL80211_TXRATE_HT={0x5, 0x2, [{0x1, 0x3}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x9, 0x9, 0xf831, 0x1bb, 0x5, 0x3ff, 0x100, 0x4]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}]}, @NL80211_BAND_2GHZ={0x7c, 0x0, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x800, 0x6, 0x0, 0x7f, 0x400, 0x100, 0x6, 0x2]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_HT={0x4a, 0x2, [{0x2, 0x7}, {}, {0x4, 0x4}, {0x6, 0x2}, {0x2, 0xa}, {0x0, 0x5}, {0x1, 0x7}, {0x5, 0x4}, {0x6, 0x9}, {0x6, 0x9}, {0x1, 0x3}, {0x1, 0x5}, {0x3, 0x1}, {0x7, 0x3}, {0x6, 0x6}, {0x4, 0x8}, {0x7, 0xa}, {0x2, 0x8}, {0x6, 0xa}, {0x5, 0x3}, {0x0, 0x5}, {0x1, 0x9}, {0x0, 0xa}, {0x3}, {0x4, 0xa}, {0x1, 0x4}, {0x6, 0x5}, {0x0, 0x6}, {0x2, 0x8}, {0x0, 0x2}, {0x3, 0xa}, {0x0, 0x1}, {0x6, 0x6}, {0x0, 0x1}, {0x3, 0x6}, {0x7}, {0x4}, {0x5, 0x5}, {0x3}, {0x2, 0x2}, {0x2, 0x1}, {0x1, 0x9}, {0x7}, {0x2, 0x7}, {0x2, 0x1}, {0x1, 0xa}, {0x3, 0x3}, {0x7, 0x1}, {0x1, 0x7}, {0x1, 0x5}, {0x2, 0x3}, {0x1, 0x4}, {0x6, 0x8}, {0x4, 0x2}, {0x2, 0x7}, {0x0, 0x3}, {0x7, 0x7}, {0x4, 0x9}, {0x3, 0x9}, {0x1, 0x9}, {0x2, 0x8}, {0x5, 0x6}, {0x4, 0x2}, {0x6, 0x8}, {0x1, 0x3}, {}, {0x6, 0x1}, {0x3, 0xa}, {0x3, 0x7}, {0x5, 0x2}]}, @NL80211_TXRATE_GI={0x5}]}, @NL80211_BAND_2GHZ={0x18, 0x0, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0xa, 0x1, [0x2, 0x0, 0x9, 0x6c, 0x3, 0x35d833c9334a598]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}]}]}, @NL80211_TID_CONFIG_ATTR_RETRY_SHORT={0x5, 0x7, 0x66}, @NL80211_TID_CONFIG_ATTR_AMPDU_CTRL={0x5}, @NL80211_TID_CONFIG_ATTR_AMSDU_CTRL={0x5}, @NL80211_TID_CONFIG_ATTR_RETRY_LONG={0x5, 0x8, 0x2c}, @NL80211_TID_CONFIG_ATTR_AMSDU_CTRL={0x5, 0xb, 0x1}]}, {0x24, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_AMSDU_CTRL={0x5}, @NL80211_TID_CONFIG_ATTR_TIDS={0x6, 0x5, 0x8f}, @NL80211_TID_CONFIG_ATTR_RETRY_LONG={0x5, 0x8, 0xeb}, @NL80211_TID_CONFIG_ATTR_NOACK={0x5, 0x6, 0x1}]}, {0x3c, 0x0, 0x0, 0x1, [@NL80211_TID_CONFIG_ATTR_VIF_SUPP={0xc, 0x2, 0x40}, @NL80211_TID_CONFIG_ATTR_RETRY_SHORT={0x5, 0x7, 0xd4}, @NL80211_TID_CONFIG_ATTR_VIF_SUPP={0xc, 0x2, 0x17c}, @NL80211_TID_CONFIG_ATTR_NOACK={0x5}, @NL80211_TID_CONFIG_ATTR_TX_RATE_TYPE={0x5, 0xc, 0x2}, @NL80211_TID_CONFIG_ATTR_AMPDU_CTRL={0x5}]}]}]}, 0xfbc}, 0x1, 0x0, 0x0, 0x80}, 0x24048845) 00:09:06 executing program 1: r0 = openat$dlm_control(0xffffffffffffff9c, &(0x7f0000000000)='/dev/dlm-control\x00', 0x20000, 0x0) ioctl$sock_kcm_SIOCKCMCLONE(r0, 0x89e2, &(0x7f0000000040)={0xffffffffffffffff}) sendmsg$TIPC_CMD_SET_LINK_TOL(r0, &(0x7f0000000180)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x10000000}, 0xc, &(0x7f0000000140)={&(0x7f00000000c0)={0x68, 0x0, 0x100, 0x70bd29, 0x25dfdbfc, {{}, {}, {0x4c, 0x18, {0x9, @media='eth\x00'}}}, ["", "", "", "", ""]}, 0x68}, 0x1, 0x0, 0x0, 0x4800}, 0x91) getsockopt(r1, 0x3, 0x2, &(0x7f00000001c0)=""/4096, &(0x7f00000011c0)=0x1000) ioctl$SIOCGSTAMP(r0, 0x8906, &(0x7f0000001200)) r2 = socket$l2tp(0x2, 0x2, 0x73) sendmsg$sock(r1, &(0x7f0000001380)={&(0x7f0000001240)=@pppol2tpv3in6={0x18, 0x1, {0x0, r2, 0x2, 0x1, 0x2, 0x4, {0xa, 0x4e23, 0x80, @initdev={0xfe, 0x88, [], 0x0, 0x0}, 0xe08}}}, 0x80, &(0x7f0000001300)=[{&(0x7f00000012c0)="4c49b96c9649f4925cc97f912e981ae4bbe4c59b8d1e97f2026c678586d131b35a38014a6894ce2e", 0x28}], 0x1, &(0x7f0000001340)=[@mark={{0x14, 0x1, 0x24, 0x2}}], 0x18}, 0x20040048) r3 = openat$mice(0xffffffffffffff9c, &(0x7f00000013c0)='/dev/input/mice\x00', 0x84000) sendmsg$MPTCP_PM_CMD_DEL_ADDR(r3, &(0x7f0000001500)={&(0x7f0000001400)={0x10, 0x0, 0x0, 0x800000}, 0xc, &(0x7f00000014c0)={&(0x7f0000001440)={0x44, 0x0, 0x1, 0x70bd2c, 0x25dfdbfc, {}, [@MPTCP_PM_ATTR_ADDR={0x30, 0x1, 0x0, 0x1, [@MPTCP_PM_ADDR_ATTR_ADDR6={0x14, 0x4, @mcast1}, @MPTCP_PM_ADDR_ATTR_ID={0x5, 0x2, 0x8}, @MPTCP_PM_ADDR_ATTR_IF_IDX={0x8}, @MPTCP_PM_ADDR_ATTR_ID={0x5, 0x2, 0x40}]}]}, 0x44}, 0x1, 0x0, 0x0, 0x2e137097bdbb8f57}, 0x20000010) sendmsg$TIPC_NL_LINK_RESET_STATS(0xffffffffffffffff, &(0x7f0000001640)={&(0x7f0000001540)={0x10, 0x0, 0x0, 0x20000}, 0xc, &(0x7f0000001600)={&(0x7f0000001580)={0x68, 0x0, 0x100, 0x70bd26, 0x25dfdbfe, {}, [@TIPC_NLA_LINK={0x54, 0x4, 0x0, 0x1, [@TIPC_NLA_LINK_NAME={0x9, 0x1, 'syz0\x00'}, @TIPC_NLA_LINK_PROP={0x44, 0x7, 0x0, 0x1, [@TIPC_NLA_PROP_MTU={0x8, 0x4, 0x5}, @TIPC_NLA_PROP_MTU={0x8, 0x4, 0x3}, @TIPC_NLA_PROP_TOL={0x8}, @TIPC_NLA_PROP_MTU={0x8, 0x4, 0x7ff}, @TIPC_NLA_PROP_MTU={0x8}, @TIPC_NLA_PROP_MTU={0x8, 0x4, 0x3}, @TIPC_NLA_PROP_WIN={0x8, 0x3, 0x2}, @TIPC_NLA_PROP_TOL={0x8, 0x2, 0x2}]}]}]}, 0x68}, 0x1, 0x0, 0x0, 0x10}, 0x4000090) ioctl$sock_inet6_SIOCADDRT(r3, 0x890b, &(0x7f0000001680)={@private1={0xfc, 0x1, [], 0x1}, @private0={0xfc, 0x0, [], 0x1}, @ipv4={[], [], @empty}, 0x1ff, 0x1, 0x7, 0x180, 0x8, 0x10}) sendmsg$IPSET_CMD_CREATE(0xffffffffffffffff, &(0x7f00000017c0)={&(0x7f0000001700)={0x10, 0x0, 0x0, 0x2000000}, 0xc, &(0x7f0000001780)={&(0x7f0000001740)={0x40, 0x2, 0x6, 0x101, 0x0, 0x0, {0x7, 0x0, 0x9}, [@IPSET_ATTR_PROTOCOL={0x5}, @IPSET_ATTR_REVISION={0x5, 0x4, 0x2}, @IPSET_ATTR_FAMILY={0x5, 0x5, 0xc}, @IPSET_ATTR_DATA={0xc, 0x7, 0x0, 0x1, [@IPSET_ATTR_MARK={0x8, 0xa, 0x1, 0x0, 0x5}]}, @IPSET_ATTR_PROTOCOL={0x5}]}, 0x40}, 0x1, 0x0, 0x0, 0x4000080}, 0x4004) sendmsg$NL802154_CMD_SET_SHORT_ADDR(0xffffffffffffffff, &(0x7f00000018c0)={&(0x7f0000001800)={0x10, 0x0, 0x0, 0x8}, 0xc, &(0x7f0000001880)={&(0x7f0000001840)={0x30, 0x0, 0x200, 0x70bd2c, 0x25dfdbff, {}, [@NL802154_ATTR_SHORT_ADDR={0x6, 0xa, 0xe}, @NL802154_ATTR_SHORT_ADDR={0x6, 0xa, 0xaaa3}, @NL802154_ATTR_WPAN_DEV={0xc, 0x6, 0x300000003}]}, 0x30}, 0x1, 0x0, 0x0, 0x1}, 0x20048810) ioctl$sock_SIOCGIFBR(r2, 0x8940, &(0x7f0000001940)=@add_del={0x2, &(0x7f0000001900)='geneve0\x00'}) r4 = openat$vcsu(0xffffffffffffff9c, &(0x7f00000019c0)='/dev/vcsu\x00', 0x400840, 0x0) syz_genetlink_get_family_id$tipc(&(0x7f0000001980)='TIPC\x00', r4) r5 = syz_open_dev$sndmidi(&(0x7f0000001a00)='/dev/snd/midiC#D#\x00', 0x5, 0x2c4000) r6 = mq_open(&(0x7f0000001e80)='geneve0\x00', 0x2, 0x282, &(0x7f0000001ec0)={0x8d89, 0xffff, 0x80, 0x7}) r7 = signalfd4(r3, &(0x7f0000003500)={[0x7ff]}, 0x8, 0x80800) ppoll(&(0x7f0000003540)=[{r5, 0x80}, {r1, 0x8288}, {r4, 0xe5ab27f6307d12f5}, {0xffffffffffffffff, 0x82}, {r6, 0x6001}, {r2, 0x80}, {r7, 0x40}], 0x7, &(0x7f00000035c0), &(0x7f0000003600)={[0x4]}, 0x8) [ 552.094953][ T3083] bond0: (slave bond_slave_0): Enslaving as an active interface with an up link [ 552.283422][ T3083] bond0: (slave bond_slave_1): Enslaving as an active interface with an up link [ 560.087709][ T3083] device hsr_slave_0 entered promiscuous mode [ 560.137095][ T3083] device hsr_slave_1 entered promiscuous mode [ 564.435336][ T3083] netdevsim netdevsim0 netdevsim0: renamed from eth0 [ 564.564813][ T3083] netdevsim netdevsim0 netdevsim1: renamed from eth1 [ 564.647723][ T3083] netdevsim netdevsim0 netdevsim2: renamed from eth2 [ 564.754227][ T3083] netdevsim netdevsim0 netdevsim3: renamed from eth3 [ 572.343011][ T3083] 8021q: adding VLAN 0 to HW filter on device bond0 [ 572.736666][ T3195] IPv6: ADDRCONF(NETDEV_CHANGE): veth1: link becomes ready [ 572.816587][ T3195] IPv6: ADDRCONF(NETDEV_CHANGE): veth0: link becomes ready [ 577.741941][ T3164] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bridge: link becomes ready [ 577.797633][ T3164] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_0: link becomes ready [ 577.993695][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bridge: link becomes ready [ 578.028306][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_1: link becomes ready [ 578.465529][ T3195] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bond: link becomes ready [ 578.608705][ T3242] bond0: (slave bond_slave_0): Enslaving as an active interface with an up link [ 578.774058][ T3242] bond0: (slave bond_slave_1): Enslaving as an active interface with an up link [ 579.271719][ T3164] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bond: link becomes ready [ 579.786154][ T3164] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_hsr: link becomes ready [ 579.826664][ T3164] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_0: link becomes ready [ 580.144536][ T3083] hsr0: Slave B (hsr_slave_1) is not up; please bring it up to get a fully working HSR network [ 580.168662][ T3083] IPv6: ADDRCONF(NETDEV_CHANGE): hsr0: link becomes ready [ 580.552882][ T3164] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_hsr: link becomes ready [ 580.587068][ T3164] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_1: link becomes ready [ 581.047454][ T1937] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan1: link becomes ready [ 581.082717][ T1937] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan0: link becomes ready [ 586.747815][ T3242] device hsr_slave_0 entered promiscuous mode [ 586.822309][ T3242] device hsr_slave_1 entered promiscuous mode [ 586.862779][ T3242] debugfs: Directory 'hsr0' with parent 'hsr' already present! [ 586.867939][ T3242] Cannot create hsr debugfs directory [ 590.035817][ T3242] netdevsim netdevsim1 netdevsim0: renamed from eth0 [ 590.205086][ T3242] netdevsim netdevsim1 netdevsim1: renamed from eth1 [ 590.328706][ T3242] netdevsim netdevsim1 netdevsim2: renamed from eth2 [ 590.445661][ T3242] netdevsim netdevsim1 netdevsim3: renamed from eth3 [ 592.517979][ T3195] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_virt_wifi: link becomes ready [ 592.571441][ T3195] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_virt_wifi: link becomes ready [ 598.056203][ T3242] 8021q: adding VLAN 0 to HW filter on device bond0 [ 598.112944][ T3195] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_vlan: link becomes ready [ 598.158607][ T3195] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_vlan: link becomes ready [ 598.268235][ T3195] IPv6: ADDRCONF(NETDEV_CHANGE): vlan0: link becomes ready [ 598.323201][ T3195] IPv6: ADDRCONF(NETDEV_CHANGE): vlan1: link becomes ready [ 598.521117][ T3083] device veth0_vlan entered promiscuous mode [ 598.843154][ T3497] IPv6: ADDRCONF(NETDEV_CHANGE): veth1: link becomes ready [ 598.904297][ T3497] IPv6: ADDRCONF(NETDEV_CHANGE): veth0: link becomes ready [ 599.234168][ T3083] device veth1_vlan entered promiscuous mode [ 600.571383][ T3083] device veth0_macvtap entered promiscuous mode [ 600.847833][ T3083] device veth1_macvtap entered promiscuous mode [ 601.002845][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_macvtap: link becomes ready [ 601.028165][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_macvtap: link becomes ready [ 601.075668][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): macsec0: link becomes ready [ 601.155645][ T3164] IPv6: ADDRCONF(NETDEV_CHANGE): macvtap0: link becomes ready [ 601.477783][ T3164] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_0: link becomes ready [ 601.503645][ T3164] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_batadv: link becomes ready [ 601.700807][ T3164] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_1: link becomes ready [ 601.761550][ T3164] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_batadv: link becomes ready [ 601.956200][ T3083] netdevsim netdevsim0 netdevsim0: set [1, 0] type 2 family 0 port 6081 - 0 [ 601.971410][ T3083] netdevsim netdevsim0 netdevsim1: set [1, 0] type 2 family 0 port 6081 - 0 [ 601.973445][ T3083] netdevsim netdevsim0 netdevsim2: set [1, 0] type 2 family 0 port 6081 - 0 [ 601.975256][ T3083] netdevsim netdevsim0 netdevsim3: set [1, 0] type 2 family 0 port 6081 - 0 [ 605.233594][ T3083] cgroup: cgroup: disabling cgroup2 socket matching due to net_prio or net_cls activation [ 606.272340][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bridge: link becomes ready [ 606.314300][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_0: link becomes ready [ 606.471145][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bridge: link becomes ready [ 606.516435][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_1: link becomes ready [ 606.697919][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bond: link becomes ready [ 606.924534][ T11] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bond: link becomes ready [ 607.836475][ T3242] hsr0: Slave A (hsr_slave_0) is not up; please bring it up to get a fully working HSR network [ 607.842761][ T3242] hsr0: Slave B (hsr_slave_1) is not up; please bring it up to get a fully working HSR network [ 607.962151][ T3519] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_hsr: link becomes ready [ 607.994147][ T3519] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_0: link becomes ready [ 608.056295][ T3519] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_hsr: link becomes ready [ 608.094479][ T3519] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_1: link becomes ready [ 608.185423][ T11] IPv6: ADDRCONF(NETDEV_CHANGE): hsr0: link becomes ready [ 609.115366][ T3515] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan1: link becomes ready [ 609.118435][ T3515] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan0: link becomes ready 00:10:11 executing program 0: syz_usb_connect$cdc_ecm(0x0, 0x4d, &(0x7f0000000080)={{0x12, 0x1, 0x0, 0x2, 0x0, 0x0, 0x20, 0x525, 0xa4a1, 0x40, 0x0, 0x0, 0xffffffffffff8001, 0x1, [{{0x9, 0x2, 0x3b, 0x1, 0x1, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0x2, 0x6, 0x0, 0x0, {{0x5}, {0x5}, {0xd}}}}]}}]}}, 0x0) syz_usb_connect$hid(0x1, 0x3f, &(0x7f0000000000)={{0x12, 0x1, 0x110, 0x0, 0x0, 0x0, 0x20, 0x56a, 0x101, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x2d, 0x1, 0x1, 0x0, 0x18, 0x7, [{{0x9, 0x4, 0x0, 0x3, 0x1, 0x3, 0x1, 0x2, 0x3, {0x9, 0x21, 0x8, 0x81, 0x1, {0x22, 0x901}}, {{{0x9, 0x5, 0x81, 0x3, 0x20, 0x3, 0x6, 0x6}}, [{{0x9, 0x5, 0x2, 0x3, 0x40, 0x1, 0x4, 0x4}}]}}}]}}]}}, &(0x7f0000000240)={0xa, &(0x7f0000000040)={0xa, 0x6, 0x250, 0x20, 0x0, 0x9, 0x8, 0x7}, 0x3e, &(0x7f0000000100)={0x5, 0xf, 0x3e, 0x4, [@ss_container_id={0x14, 0x10, 0x4, 0x9, "0fbe839305698c67234f2e8cd010c4d1"}, @ext_cap={0x7, 0x10, 0x2, 0x12, 0x1, 0x0, 0x4}, @ss_container_id={0x14, 0x10, 0x4, 0x88, "95bb61ea3c7fa02920f1ea1e3e270f93"}, @ss_cap={0xa, 0x10, 0x3, 0x0, 0x2, 0x9, 0xf0, 0x1ff}]}, 0x3, [{0x4, &(0x7f0000000140)=@lang_id={0x4, 0x3, 0xc0a}}, {0x43, &(0x7f0000000180)=@string={0x43, 0x3, "929f19ad21540582b258eef41f505c0b390ea9f9958482a7825121530bd2adfc00d1c803fd48d5849c0e09f80d93f1693b2d0e26efa682519e7fcc9aff66243058"}}, {0x4, &(0x7f0000000200)=@lang_id={0x4, 0x3, 0x449}}]}) r0 = syz_usb_connect(0x5, 0x339, &(0x7f0000000280)={{0x12, 0x1, 0x310, 0x95, 0xff, 0x6c, 0x8, 0x13d3, 0x3358, 0x6a6a, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x327, 0x2, 0x3, 0x2a, 0x0, 0x1f, [{{0x9, 0x4, 0xea, 0x9, 0xb, 0xfc, 0x6, 0xd5, 0x37, [@cdc_ecm={{0x7, 0x24, 0x6, 0x0, 0x0, "d3af"}, {0x5, 0x24, 0x0, 0x18d}, {0xd, 0x24, 0xf, 0x1, 0x1, 0x1000, 0x3, 0x2}, [@mdlm_detail={0xe3, 0x24, 0x13, 0xe0, "0062b92f463467ed898378e17818fa98e2cef04bee80a4753f732f877319ed3ebcd4c730a5fe7484722fd33dac5069baeabb99222660ccb21bf31762d99c56a6fcf4dfadf6fd629a1868761ec39ba5f00c6aacedb86763db8ca2d41efeaf328b02245f0bda7a4aad28463aaaac596ffe091ff6702058af5c797616d007632b8fc0a5a85780273b924f76967d4c58cb2772d8a84c1bb388cede878e08dab8392bef558007bf7b905dcc25fa05d248ff19328ced0dd192b25e59256e20bd306eb81d96af369b45041c44ff160cdca5d9e2b3f08f96cbbdd3b337e1b6425e09d5"}, @obex={0x5, 0x24, 0x15, 0x6}, @call_mgmt={0x5, 0x24, 0x1, 0x1, 0x2}, @ncm={0x6, 0x24, 0x1a, 0x5, 0x9}, @mbim_extended={0x8, 0x24, 0x1c, 0x8, 0xab, 0x1000}]}, @uac_as={[@as_header={0x7, 0x24, 0x1, 0x4, 0x8, 0x1}, @format_type_i_discrete={0xf, 0x24, 0x2, 0x1, 0x0, 0x4, 0x80, 0xf0, "b78b4959ad90f1"}, @format_type_i_discrete={0x11, 0x24, 0x2, 0x1, 0x0, 0x1, 0x3f, 0x40, "c49888d537da738887"}, @as_header={0x7, 0x24, 0x1, 0x4, 0x2, 0x5}, @format_type_i_discrete={0xb, 0x24, 0x2, 0x1, 0x7, 0x4, 0x8, 0x4, '.\fZ'}, @format_type_ii_discrete={0xa, 0x24, 0x2, 0x2, 0x9, 0x1, 0x8, 'Q'}]}], [{{0x9, 0x5, 0x5, 0xc, 0x3ff, 0xfc, 0xe4, 0x0, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x4, 0xfffc}]}}, {{0x9, 0x5, 0x8, 0x4, 0x3ff, 0x0, 0x6, 0x7}}, {{0x9, 0x5, 0x2, 0x10, 0x40, 0x20, 0x4, 0x3}}, {{0x9, 0x5, 0x1, 0x11, 0x40, 0x3, 0x2, 0x0, [@generic={0xa7, 0x5, "db7c6b5427ac1a44789fe2f7dfc7a5ce0bf69ac6b85a3625bb783b1c586b8a7d364f83c79e5643d3d4f37e72490bb7a87486c4f24236eb695dfdd54c52e9cd4d28e7a6343e64e1d9a4512b607d0b63468f7ea986e8a45fb609f9dea50998fd400ca6b70ff95b77381c8400d41ffcc58c9622b0800b60c8093931c964b15e4bc836ff80474dc2dc64715eacf6c9a384aacfdf61413e46c0b1eeb2c470381c26db3f2293ace2"}]}}, {{0x9, 0x5, 0x6, 0x4, 0x40, 0x8, 0x88, 0x7f}}, {{0x9, 0x5, 0x84, 0xc, 0x400, 0x7, 0x4, 0x1}}, {{0x9, 0x5, 0x3, 0x0, 0x20, 0x3f, 0x9, 0x8, [@generic={0x1b, 0x22, "dade654806af7664435d5572bd6ede389484bdf74707588eb2"}]}}, {{0x9, 0x5, 0x8, 0x8, 0x40, 0x1, 0x4, 0x8, [@uac_iso={0x7}]}}, {{0x9, 0x5, 0x8, 0x2, 0x3ff, 0x3, 0x3, 0x95}}, {{0x9, 0x5, 0x1, 0x0, 0x20, 0x9, 0x8, 0x1f, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x58, 0xbf}, @generic={0x35, 0xe, "e31c8fecfebb55d94bf3c5d2c645d66d6c1fa4655cd0ce114e88b714c1552cfc28f66408297831c5f022ad98537599bc2cd165"}]}}, {{0x9, 0x5, 0xb, 0x1d, 0x40, 0x20, 0x8, 0x20, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x4c}]}}]}}, {{0x9, 0x4, 0x47, 0x3, 0x0, 0x9b, 0x2c, 0x88, 0x3, [@cdc_ncm={{0x7, 0x24, 0x6, 0x0, 0x1, '\'}'}, {0x5, 0x24, 0x0, 0x7f}, {0xd, 0x24, 0xf, 0x1, 0x7, 0xffff, 0x8, 0x3}, {0x6, 0x24, 0x1a, 0x6}, [@mbim={0xc, 0x24, 0x1b, 0x40, 0x3f, 0x8, 0x20, 0x1, 0xbc}, @network_terminal={0x7, 0x24, 0xa, 0x1, 0x5, 0x80, 0x6}, @country_functional={0x6, 0x24, 0x7, 0xff, 0x8000}, @dmm={0x7, 0x24, 0x14, 0x5, 0x7}]}]}}]}}]}}, &(0x7f0000000700)={0xa, &(0x7f00000005c0)={0xa, 0x6, 0x201, 0x80, 0x7f, 0xe, 0x40, 0x8}, 0x94, &(0x7f0000000600)={0x5, 0xf, 0x94, 0x6, [@wireless={0xb, 0x10, 0x1, 0x4, 0x9e, 0x0, 0x0, 0x80}, @generic={0x6a, 0x10, 0x4, "6a8a67bb87d929c5ede96d928925e12a854347612e6357faf4f70b591fdf01441a6aaa6411841c813a32813ba9140f3ac0ebed64b7e20857e984d9ea62ed84ca55057792419920d50c22bdb9a709d31e553aa69118ef10e3c7aa94e1ed8b2270dc98966cff954a"}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x3, 0x5, 0x9, 0x3f}, @ptm_cap={0x3}, @ptm_cap={0x3}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x3, 0x8, 0x0, 0x2}]}, 0x1, [{0x4, &(0x7f00000006c0)=@lang_id={0x4, 0x3, 0x44c}}]}) syz_usb_control_io$cdc_ecm(r0, &(0x7f0000000840)={0x14, &(0x7f0000000740)={0x40, 0x4, 0x8f, {0x8f, 0x24, "14ae2b4f9665f077939173fa843c6e12653b0c104f16d81a738749ec83e524c72e18f2525632c1bebb118b94e0dff1d66d5cc5c9930f84572bd771e3f33cc07dc8a3cebfb663e47e3e31ef651a3283acd2797dfbdc048e00c9321c3ea0a6dbd55532811ccdb4f5f27a62571cadd5c65fa5e2e3c314dec8193728817da6884982b4482e05b2aab514caf25c3344"}}, &(0x7f0000000800)={0x0, 0x3, 0x1a, {0x1a}}}, &(0x7f0000000980)={0x1c, &(0x7f0000000880)={0x0, 0x6, 0x3e, "588a3bdb97843364e2c2dfce14286524b66b9535b308fa55ccffbab9c3c7dae674bebc1cabdb4bbb4e57fe41efafaadd67069add78a2773dca3aae202b12"}, &(0x7f0000000900)={0x0, 0xa, 0x1, 0x8}, &(0x7f0000000940)={0x0, 0x8, 0x1, 0x2}}) syz_usb_control_io$hid(0xffffffffffffffff, &(0x7f0000000d40)={0x24, &(0x7f0000000bc0)={0x20, 0x5, 0x18, {0x18, 0xe, "88e2509c82199ec5b189ada051bd564b909f984a3421"}}, &(0x7f0000000c00)={0x0, 0x3, 0x7b, @string={0x7b, 0x3, "7733b64eb4dc118f002fb8f905d9337db0b0ece4a9a70fe2bac42c5a3e7a68c8c20873672d841544e20338b62d1af91cad00a5d7d3022b0307323958bac083ceb4cd058fe738505cfdb90862f6ddb3e140344bf73f2eb146c83b47c6c6899d3bbea98d41bf1919e1830c6f1f7bf2063fd7758d3333039a6cae"}}, &(0x7f0000000cc0)={0x0, 0x22, 0xf, {[@main=@item_012={0x1, 0x0, 0x8, 'X'}, @local=@item_4={0x3, 0x2, 0x3, "3faf6688"}, @local=@item_012={0x2, 0x2, 0x2, "d191"}, @main=@item_012={0x1, 0x0, 0xa, "bf"}, @local=@item_012={0x2, 0x2, 0x9, "a5cb"}]}}, &(0x7f0000000d00)={0x0, 0x21, 0x9, {0x9, 0x21, 0x3, 0x8, 0x1, {0x22, 0x50a}}}}, &(0x7f0000000f80)={0x2c, &(0x7f0000000d80)=ANY=[@ANYBLOB="200fc80000008560e8b45e048169fbff10ff0aaa79dd140e2c31bf5655756e50633f6f9b6d6d6d7ed742e8c62a426c37450d43ba9a8ca1bb0b1642e7775645c6efb3d4887b84ca691a3d6151775f4b54a6535cce03a197219903d6beca6cca1069798c9a634ddbf24f285498a2c7052265f4478b1de7a05a2bb0fe08266a34903740d0b1e925093f2a386e4465d693cfd78633e99905cde6e86feb2955a099a3a481c5cc96f40854aae365afb32148a4ff5f15cb6d05f4fac00ebee0d3ac91fffafc0c18143f7989334a51a69f8e"], &(0x7f0000000e80)={0x0, 0xa, 0x1}, &(0x7f0000000ec0)={0x0, 0x8, 0x1, 0x3}, &(0x7f0000000f00)={0x20, 0x1, 0x26, "b196d26ed2b344daf77857df363429cb13cff9013ca3c16aa3d85f271579e337c5757600352a"}, &(0x7f0000000f40)={0x20, 0x3, 0x1, 0x9}}) [ 615.653501][ T3497] usb 1-1: new high-speed USB device number 2 using dummy_hcd [ 615.992311][ T3497] usb 1-1: Using ep0 maxpacket: 32 [ 616.133991][ T3497] usb 1-1: config 1 interface 0 altsetting 0 has 2 endpoint descriptors, different from the interface descriptor's value: 0 [ 616.294510][ T3497] usb 1-1: New USB device found, idVendor=0525, idProduct=a4a1, bcdDevice= 0.40 [ 616.296741][ T3497] usb 1-1: New USB device strings: Mfr=0, Product=0, SerialNumber=1 [ 616.298254][ T3497] usb 1-1: SerialNumber: syz [ 616.896413][ T3497] cdc_ether: probe of 1-1:1.0 failed with error -22 [ 617.058178][ T3534] udc-core: couldn't find an available UDC or it's busy [ 617.065158][ T3534] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 617.197047][ T3534] udc-core: couldn't find an available UDC or it's busy [ 617.200982][ T3534] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 617.292621][ T3410] usb 1-1: USB disconnect, device number 2 [ 619.344231][ T5] usb 1-1: new high-speed USB device number 3 using dummy_hcd [ 619.633664][ T5] usb 1-1: Using ep0 maxpacket: 32 [ 619.791764][ T5] usb 1-1: config 1 interface 0 altsetting 0 has 2 endpoint descriptors, different from the interface descriptor's value: 0 [ 619.905573][ T5] usb 1-1: New USB device found, idVendor=0525, idProduct=a4a1, bcdDevice= 0.40 [ 619.907531][ T5] usb 1-1: New USB device strings: Mfr=0, Product=0, SerialNumber=1 [ 619.912668][ T5] usb 1-1: SerialNumber: syz [ 620.207391][ T5] cdc_ether: probe of 1-1:1.0 failed with error -22 [ 620.445963][ T3534] udc-core: couldn't find an available UDC or it's busy [ 620.447131][ T3534] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 620.516053][ T3553] udc-core: couldn't find an available UDC or it's busy [ 620.517678][ T3553] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 620.612749][ T3410] usb 1-1: USB disconnect, device number 3 00:10:21 executing program 0: syz_usb_connect$cdc_ecm(0x0, 0x4d, &(0x7f0000000080)={{0x12, 0x1, 0x0, 0x2, 0x0, 0x0, 0x20, 0x525, 0xa4a1, 0x40, 0x0, 0x0, 0xffffffffffff8001, 0x1, [{{0x9, 0x2, 0x3b, 0x1, 0x1, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0x2, 0x6, 0x0, 0x0, {{0x5}, {0x5}, {0xd}}}}]}}]}}, 0x0) syz_usb_connect$hid(0x1, 0x3f, &(0x7f0000000000)={{0x12, 0x1, 0x110, 0x0, 0x0, 0x0, 0x20, 0x56a, 0x101, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x2d, 0x1, 0x1, 0x0, 0x18, 0x7, [{{0x9, 0x4, 0x0, 0x3, 0x1, 0x3, 0x1, 0x2, 0x3, {0x9, 0x21, 0x8, 0x81, 0x1, {0x22, 0x901}}, {{{0x9, 0x5, 0x81, 0x3, 0x20, 0x3, 0x6, 0x6}}, [{{0x9, 0x5, 0x2, 0x3, 0x40, 0x1, 0x4, 0x4}}]}}}]}}]}}, &(0x7f0000000240)={0xa, &(0x7f0000000040)={0xa, 0x6, 0x250, 0x20, 0x0, 0x9, 0x8, 0x7}, 0x3e, &(0x7f0000000100)={0x5, 0xf, 0x3e, 0x4, [@ss_container_id={0x14, 0x10, 0x4, 0x9, "0fbe839305698c67234f2e8cd010c4d1"}, @ext_cap={0x7, 0x10, 0x2, 0x12, 0x1, 0x0, 0x4}, @ss_container_id={0x14, 0x10, 0x4, 0x88, "95bb61ea3c7fa02920f1ea1e3e270f93"}, @ss_cap={0xa, 0x10, 0x3, 0x0, 0x2, 0x9, 0xf0, 0x1ff}]}, 0x3, [{0x4, &(0x7f0000000140)=@lang_id={0x4, 0x3, 0xc0a}}, {0x43, &(0x7f0000000180)=@string={0x43, 0x3, "929f19ad21540582b258eef41f505c0b390ea9f9958482a7825121530bd2adfc00d1c803fd48d5849c0e09f80d93f1693b2d0e26efa682519e7fcc9aff66243058"}}, {0x4, &(0x7f0000000200)=@lang_id={0x4, 0x3, 0x449}}]}) r0 = syz_usb_connect(0x5, 0x339, &(0x7f0000000280)={{0x12, 0x1, 0x310, 0x95, 0xff, 0x6c, 0x8, 0x13d3, 0x3358, 0x6a6a, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x327, 0x2, 0x3, 0x2a, 0x0, 0x1f, [{{0x9, 0x4, 0xea, 0x9, 0xb, 0xfc, 0x6, 0xd5, 0x37, [@cdc_ecm={{0x7, 0x24, 0x6, 0x0, 0x0, "d3af"}, {0x5, 0x24, 0x0, 0x18d}, {0xd, 0x24, 0xf, 0x1, 0x1, 0x1000, 0x3, 0x2}, [@mdlm_detail={0xe3, 0x24, 0x13, 0xe0, "0062b92f463467ed898378e17818fa98e2cef04bee80a4753f732f877319ed3ebcd4c730a5fe7484722fd33dac5069baeabb99222660ccb21bf31762d99c56a6fcf4dfadf6fd629a1868761ec39ba5f00c6aacedb86763db8ca2d41efeaf328b02245f0bda7a4aad28463aaaac596ffe091ff6702058af5c797616d007632b8fc0a5a85780273b924f76967d4c58cb2772d8a84c1bb388cede878e08dab8392bef558007bf7b905dcc25fa05d248ff19328ced0dd192b25e59256e20bd306eb81d96af369b45041c44ff160cdca5d9e2b3f08f96cbbdd3b337e1b6425e09d5"}, @obex={0x5, 0x24, 0x15, 0x6}, @call_mgmt={0x5, 0x24, 0x1, 0x1, 0x2}, @ncm={0x6, 0x24, 0x1a, 0x5, 0x9}, @mbim_extended={0x8, 0x24, 0x1c, 0x8, 0xab, 0x1000}]}, @uac_as={[@as_header={0x7, 0x24, 0x1, 0x4, 0x8, 0x1}, @format_type_i_discrete={0xf, 0x24, 0x2, 0x1, 0x0, 0x4, 0x80, 0xf0, "b78b4959ad90f1"}, @format_type_i_discrete={0x11, 0x24, 0x2, 0x1, 0x0, 0x1, 0x3f, 0x40, "c49888d537da738887"}, @as_header={0x7, 0x24, 0x1, 0x4, 0x2, 0x5}, @format_type_i_discrete={0xb, 0x24, 0x2, 0x1, 0x7, 0x4, 0x8, 0x4, '.\fZ'}, @format_type_ii_discrete={0xa, 0x24, 0x2, 0x2, 0x9, 0x1, 0x8, 'Q'}]}], [{{0x9, 0x5, 0x5, 0xc, 0x3ff, 0xfc, 0xe4, 0x0, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x4, 0xfffc}]}}, {{0x9, 0x5, 0x8, 0x4, 0x3ff, 0x0, 0x6, 0x7}}, {{0x9, 0x5, 0x2, 0x10, 0x40, 0x20, 0x4, 0x3}}, {{0x9, 0x5, 0x1, 0x11, 0x40, 0x3, 0x2, 0x0, [@generic={0xa7, 0x5, "db7c6b5427ac1a44789fe2f7dfc7a5ce0bf69ac6b85a3625bb783b1c586b8a7d364f83c79e5643d3d4f37e72490bb7a87486c4f24236eb695dfdd54c52e9cd4d28e7a6343e64e1d9a4512b607d0b63468f7ea986e8a45fb609f9dea50998fd400ca6b70ff95b77381c8400d41ffcc58c9622b0800b60c8093931c964b15e4bc836ff80474dc2dc64715eacf6c9a384aacfdf61413e46c0b1eeb2c470381c26db3f2293ace2"}]}}, {{0x9, 0x5, 0x6, 0x4, 0x40, 0x8, 0x88, 0x7f}}, {{0x9, 0x5, 0x84, 0xc, 0x400, 0x7, 0x4, 0x1}}, {{0x9, 0x5, 0x3, 0x0, 0x20, 0x3f, 0x9, 0x8, [@generic={0x1b, 0x22, "dade654806af7664435d5572bd6ede389484bdf74707588eb2"}]}}, {{0x9, 0x5, 0x8, 0x8, 0x40, 0x1, 0x4, 0x8, [@uac_iso={0x7}]}}, {{0x9, 0x5, 0x8, 0x2, 0x3ff, 0x3, 0x3, 0x95}}, {{0x9, 0x5, 0x1, 0x0, 0x20, 0x9, 0x8, 0x1f, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x58, 0xbf}, @generic={0x35, 0xe, "e31c8fecfebb55d94bf3c5d2c645d66d6c1fa4655cd0ce114e88b714c1552cfc28f66408297831c5f022ad98537599bc2cd165"}]}}, {{0x9, 0x5, 0xb, 0x1d, 0x40, 0x20, 0x8, 0x20, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x4c}]}}]}}, {{0x9, 0x4, 0x47, 0x3, 0x0, 0x9b, 0x2c, 0x88, 0x3, [@cdc_ncm={{0x7, 0x24, 0x6, 0x0, 0x1, '\'}'}, {0x5, 0x24, 0x0, 0x7f}, {0xd, 0x24, 0xf, 0x1, 0x7, 0xffff, 0x8, 0x3}, {0x6, 0x24, 0x1a, 0x6}, [@mbim={0xc, 0x24, 0x1b, 0x40, 0x3f, 0x8, 0x20, 0x1, 0xbc}, @network_terminal={0x7, 0x24, 0xa, 0x1, 0x5, 0x80, 0x6}, @country_functional={0x6, 0x24, 0x7, 0xff, 0x8000}, @dmm={0x7, 0x24, 0x14, 0x5, 0x7}]}]}}]}}]}}, &(0x7f0000000700)={0xa, &(0x7f00000005c0)={0xa, 0x6, 0x201, 0x80, 0x7f, 0xe, 0x40, 0x8}, 0x94, &(0x7f0000000600)={0x5, 0xf, 0x94, 0x6, [@wireless={0xb, 0x10, 0x1, 0x4, 0x9e, 0x0, 0x0, 0x80}, @generic={0x6a, 0x10, 0x4, "6a8a67bb87d929c5ede96d928925e12a854347612e6357faf4f70b591fdf01441a6aaa6411841c813a32813ba9140f3ac0ebed64b7e20857e984d9ea62ed84ca55057792419920d50c22bdb9a709d31e553aa69118ef10e3c7aa94e1ed8b2270dc98966cff954a"}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x3, 0x5, 0x9, 0x3f}, @ptm_cap={0x3}, @ptm_cap={0x3}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x3, 0x8, 0x0, 0x2}]}, 0x1, [{0x4, &(0x7f00000006c0)=@lang_id={0x4, 0x3, 0x44c}}]}) syz_usb_control_io$cdc_ecm(r0, &(0x7f0000000840)={0x14, &(0x7f0000000740)={0x40, 0x4, 0x8f, {0x8f, 0x24, "14ae2b4f9665f077939173fa843c6e12653b0c104f16d81a738749ec83e524c72e18f2525632c1bebb118b94e0dff1d66d5cc5c9930f84572bd771e3f33cc07dc8a3cebfb663e47e3e31ef651a3283acd2797dfbdc048e00c9321c3ea0a6dbd55532811ccdb4f5f27a62571cadd5c65fa5e2e3c314dec8193728817da6884982b4482e05b2aab514caf25c3344"}}, &(0x7f0000000800)={0x0, 0x3, 0x1a, {0x1a}}}, &(0x7f0000000980)={0x1c, &(0x7f0000000880)={0x0, 0x6, 0x3e, "588a3bdb97843364e2c2dfce14286524b66b9535b308fa55ccffbab9c3c7dae674bebc1cabdb4bbb4e57fe41efafaadd67069add78a2773dca3aae202b12"}, &(0x7f0000000900)={0x0, 0xa, 0x1, 0x8}, &(0x7f0000000940)={0x0, 0x8, 0x1, 0x2}}) syz_usb_control_io$hid(0xffffffffffffffff, &(0x7f0000000d40)={0x24, &(0x7f0000000bc0)={0x20, 0x5, 0x18, {0x18, 0xe, "88e2509c82199ec5b189ada051bd564b909f984a3421"}}, &(0x7f0000000c00)={0x0, 0x3, 0x7b, @string={0x7b, 0x3, "7733b64eb4dc118f002fb8f905d9337db0b0ece4a9a70fe2bac42c5a3e7a68c8c20873672d841544e20338b62d1af91cad00a5d7d3022b0307323958bac083ceb4cd058fe738505cfdb90862f6ddb3e140344bf73f2eb146c83b47c6c6899d3bbea98d41bf1919e1830c6f1f7bf2063fd7758d3333039a6cae"}}, &(0x7f0000000cc0)={0x0, 0x22, 0xf, {[@main=@item_012={0x1, 0x0, 0x8, 'X'}, @local=@item_4={0x3, 0x2, 0x3, "3faf6688"}, @local=@item_012={0x2, 0x2, 0x2, "d191"}, @main=@item_012={0x1, 0x0, 0xa, "bf"}, @local=@item_012={0x2, 0x2, 0x9, "a5cb"}]}}, &(0x7f0000000d00)={0x0, 0x21, 0x9, {0x9, 0x21, 0x3, 0x8, 0x1, {0x22, 0x50a}}}}, &(0x7f0000000f80)={0x2c, &(0x7f0000000d80)=ANY=[@ANYBLOB="200fc80000008560e8b45e048169fbff10ff0aaa79dd140e2c31bf5655756e50633f6f9b6d6d6d7ed742e8c62a426c37450d43ba9a8ca1bb0b1642e7775645c6efb3d4887b84ca691a3d6151775f4b54a6535cce03a197219903d6beca6cca1069798c9a634ddbf24f285498a2c7052265f4478b1de7a05a2bb0fe08266a34903740d0b1e925093f2a386e4465d693cfd78633e99905cde6e86feb2955a099a3a481c5cc96f40854aae365afb32148a4ff5f15cb6d05f4fac00ebee0d3ac91fffafc0c18143f7989334a51a69f8e"], &(0x7f0000000e80)={0x0, 0xa, 0x1}, &(0x7f0000000ec0)={0x0, 0x8, 0x1, 0x3}, &(0x7f0000000f00)={0x20, 0x1, 0x26, "b196d26ed2b344daf77857df363429cb13cff9013ca3c16aa3d85f271579e337c5757600352a"}, &(0x7f0000000f40)={0x20, 0x3, 0x1, 0x9}}) [ 624.016271][ T3410] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_virt_wifi: link becomes ready [ 624.076268][ T3410] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_virt_wifi: link becomes ready [ 625.173854][ T3497] usb 1-1: new high-speed USB device number 4 using dummy_hcd [ 625.463439][ T3497] usb 1-1: Using ep0 maxpacket: 32 [ 625.585731][ T3497] usb 1-1: config 1 interface 0 altsetting 0 has 2 endpoint descriptors, different from the interface descriptor's value: 0 [ 625.743926][ T3497] usb 1-1: New USB device found, idVendor=0525, idProduct=a4a1, bcdDevice= 0.40 [ 625.745798][ T3497] usb 1-1: New USB device strings: Mfr=0, Product=0, SerialNumber=1 [ 625.747218][ T3497] usb 1-1: SerialNumber: syz [ 626.160384][ T3497] cdc_ether: probe of 1-1:1.0 failed with error -22 [ 628.004079][ T3562] udc-core: couldn't find an available UDC or it's busy [ 628.005612][ T3562] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 628.203741][ T3562] udc-core: couldn't find an available UDC or it's busy [ 628.205197][ T3562] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 628.457136][ T3410] usb 1-1: USB disconnect, device number 4 [ 633.498440][ T3497] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_vlan: link becomes ready [ 633.536064][ T3497] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_vlan: link becomes ready [ 633.777093][ T3497] IPv6: ADDRCONF(NETDEV_CHANGE): vlan0: link becomes ready [ 633.815968][ T3497] IPv6: ADDRCONF(NETDEV_CHANGE): vlan1: link becomes ready [ 633.881919][ T3242] device veth0_vlan entered promiscuous mode [ 634.524202][ T3242] device veth1_vlan entered promiscuous mode 00:10:32 executing program 0: syz_usb_connect$cdc_ecm(0x0, 0x4d, &(0x7f0000000080)={{0x12, 0x1, 0x0, 0x2, 0x0, 0x0, 0x20, 0x525, 0xa4a1, 0x40, 0x0, 0x0, 0xffffffffffff8001, 0x1, [{{0x9, 0x2, 0x3b, 0x1, 0x1, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x0, 0x2, 0x6, 0x0, 0x0, {{0x5}, {0x5}, {0xd}}}}]}}]}}, 0x0) syz_usb_connect$hid(0x1, 0x3f, &(0x7f0000000000)={{0x12, 0x1, 0x110, 0x0, 0x0, 0x0, 0x20, 0x56a, 0x101, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x2d, 0x1, 0x1, 0x0, 0x18, 0x7, [{{0x9, 0x4, 0x0, 0x3, 0x1, 0x3, 0x1, 0x2, 0x3, {0x9, 0x21, 0x8, 0x81, 0x1, {0x22, 0x901}}, {{{0x9, 0x5, 0x81, 0x3, 0x20, 0x3, 0x6, 0x6}}, [{{0x9, 0x5, 0x2, 0x3, 0x40, 0x1, 0x4, 0x4}}]}}}]}}]}}, &(0x7f0000000240)={0xa, &(0x7f0000000040)={0xa, 0x6, 0x250, 0x20, 0x0, 0x9, 0x8, 0x7}, 0x3e, &(0x7f0000000100)={0x5, 0xf, 0x3e, 0x4, [@ss_container_id={0x14, 0x10, 0x4, 0x9, "0fbe839305698c67234f2e8cd010c4d1"}, @ext_cap={0x7, 0x10, 0x2, 0x12, 0x1, 0x0, 0x4}, @ss_container_id={0x14, 0x10, 0x4, 0x88, "95bb61ea3c7fa02920f1ea1e3e270f93"}, @ss_cap={0xa, 0x10, 0x3, 0x0, 0x2, 0x9, 0xf0, 0x1ff}]}, 0x3, [{0x4, &(0x7f0000000140)=@lang_id={0x4, 0x3, 0xc0a}}, {0x43, &(0x7f0000000180)=@string={0x43, 0x3, "929f19ad21540582b258eef41f505c0b390ea9f9958482a7825121530bd2adfc00d1c803fd48d5849c0e09f80d93f1693b2d0e26efa682519e7fcc9aff66243058"}}, {0x4, &(0x7f0000000200)=@lang_id={0x4, 0x3, 0x449}}]}) r0 = syz_usb_connect(0x5, 0x339, &(0x7f0000000280)={{0x12, 0x1, 0x310, 0x95, 0xff, 0x6c, 0x8, 0x13d3, 0x3358, 0x6a6a, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x327, 0x2, 0x3, 0x2a, 0x0, 0x1f, [{{0x9, 0x4, 0xea, 0x9, 0xb, 0xfc, 0x6, 0xd5, 0x37, [@cdc_ecm={{0x7, 0x24, 0x6, 0x0, 0x0, "d3af"}, {0x5, 0x24, 0x0, 0x18d}, {0xd, 0x24, 0xf, 0x1, 0x1, 0x1000, 0x3, 0x2}, [@mdlm_detail={0xe3, 0x24, 0x13, 0xe0, "0062b92f463467ed898378e17818fa98e2cef04bee80a4753f732f877319ed3ebcd4c730a5fe7484722fd33dac5069baeabb99222660ccb21bf31762d99c56a6fcf4dfadf6fd629a1868761ec39ba5f00c6aacedb86763db8ca2d41efeaf328b02245f0bda7a4aad28463aaaac596ffe091ff6702058af5c797616d007632b8fc0a5a85780273b924f76967d4c58cb2772d8a84c1bb388cede878e08dab8392bef558007bf7b905dcc25fa05d248ff19328ced0dd192b25e59256e20bd306eb81d96af369b45041c44ff160cdca5d9e2b3f08f96cbbdd3b337e1b6425e09d5"}, @obex={0x5, 0x24, 0x15, 0x6}, @call_mgmt={0x5, 0x24, 0x1, 0x1, 0x2}, @ncm={0x6, 0x24, 0x1a, 0x5, 0x9}, @mbim_extended={0x8, 0x24, 0x1c, 0x8, 0xab, 0x1000}]}, @uac_as={[@as_header={0x7, 0x24, 0x1, 0x4, 0x8, 0x1}, @format_type_i_discrete={0xf, 0x24, 0x2, 0x1, 0x0, 0x4, 0x80, 0xf0, "b78b4959ad90f1"}, @format_type_i_discrete={0x11, 0x24, 0x2, 0x1, 0x0, 0x1, 0x3f, 0x40, "c49888d537da738887"}, @as_header={0x7, 0x24, 0x1, 0x4, 0x2, 0x5}, @format_type_i_discrete={0xb, 0x24, 0x2, 0x1, 0x7, 0x4, 0x8, 0x4, '.\fZ'}, @format_type_ii_discrete={0xa, 0x24, 0x2, 0x2, 0x9, 0x1, 0x8, 'Q'}]}], [{{0x9, 0x5, 0x5, 0xc, 0x3ff, 0xfc, 0xe4, 0x0, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x4, 0xfffc}]}}, {{0x9, 0x5, 0x8, 0x4, 0x3ff, 0x0, 0x6, 0x7}}, {{0x9, 0x5, 0x2, 0x10, 0x40, 0x20, 0x4, 0x3}}, {{0x9, 0x5, 0x1, 0x11, 0x40, 0x3, 0x2, 0x0, [@generic={0xa7, 0x5, "db7c6b5427ac1a44789fe2f7dfc7a5ce0bf69ac6b85a3625bb783b1c586b8a7d364f83c79e5643d3d4f37e72490bb7a87486c4f24236eb695dfdd54c52e9cd4d28e7a6343e64e1d9a4512b607d0b63468f7ea986e8a45fb609f9dea50998fd400ca6b70ff95b77381c8400d41ffcc58c9622b0800b60c8093931c964b15e4bc836ff80474dc2dc64715eacf6c9a384aacfdf61413e46c0b1eeb2c470381c26db3f2293ace2"}]}}, {{0x9, 0x5, 0x6, 0x4, 0x40, 0x8, 0x88, 0x7f}}, {{0x9, 0x5, 0x84, 0xc, 0x400, 0x7, 0x4, 0x1}}, {{0x9, 0x5, 0x3, 0x0, 0x20, 0x3f, 0x9, 0x8, [@generic={0x1b, 0x22, "dade654806af7664435d5572bd6ede389484bdf74707588eb2"}]}}, {{0x9, 0x5, 0x8, 0x8, 0x40, 0x1, 0x4, 0x8, [@uac_iso={0x7}]}}, {{0x9, 0x5, 0x8, 0x2, 0x3ff, 0x3, 0x3, 0x95}}, {{0x9, 0x5, 0x1, 0x0, 0x20, 0x9, 0x8, 0x1f, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x58, 0xbf}, @generic={0x35, 0xe, "e31c8fecfebb55d94bf3c5d2c645d66d6c1fa4655cd0ce114e88b714c1552cfc28f66408297831c5f022ad98537599bc2cd165"}]}}, {{0x9, 0x5, 0xb, 0x1d, 0x40, 0x20, 0x8, 0x20, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x4c}]}}]}}, {{0x9, 0x4, 0x47, 0x3, 0x0, 0x9b, 0x2c, 0x88, 0x3, [@cdc_ncm={{0x7, 0x24, 0x6, 0x0, 0x1, '\'}'}, {0x5, 0x24, 0x0, 0x7f}, {0xd, 0x24, 0xf, 0x1, 0x7, 0xffff, 0x8, 0x3}, {0x6, 0x24, 0x1a, 0x6}, [@mbim={0xc, 0x24, 0x1b, 0x40, 0x3f, 0x8, 0x20, 0x1, 0xbc}, @network_terminal={0x7, 0x24, 0xa, 0x1, 0x5, 0x80, 0x6}, @country_functional={0x6, 0x24, 0x7, 0xff, 0x8000}, @dmm={0x7, 0x24, 0x14, 0x5, 0x7}]}]}}]}}]}}, &(0x7f0000000700)={0xa, &(0x7f00000005c0)={0xa, 0x6, 0x201, 0x80, 0x7f, 0xe, 0x40, 0x8}, 0x94, &(0x7f0000000600)={0x5, 0xf, 0x94, 0x6, [@wireless={0xb, 0x10, 0x1, 0x4, 0x9e, 0x0, 0x0, 0x80}, @generic={0x6a, 0x10, 0x4, "6a8a67bb87d929c5ede96d928925e12a854347612e6357faf4f70b591fdf01441a6aaa6411841c813a32813ba9140f3ac0ebed64b7e20857e984d9ea62ed84ca55057792419920d50c22bdb9a709d31e553aa69118ef10e3c7aa94e1ed8b2270dc98966cff954a"}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x3, 0x5, 0x9, 0x3f}, @ptm_cap={0x3}, @ptm_cap={0x3}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x3, 0x8, 0x0, 0x2}]}, 0x1, [{0x4, &(0x7f00000006c0)=@lang_id={0x4, 0x3, 0x44c}}]}) syz_usb_control_io$cdc_ecm(r0, &(0x7f0000000840)={0x14, &(0x7f0000000740)={0x40, 0x4, 0x8f, {0x8f, 0x24, "14ae2b4f9665f077939173fa843c6e12653b0c104f16d81a738749ec83e524c72e18f2525632c1bebb118b94e0dff1d66d5cc5c9930f84572bd771e3f33cc07dc8a3cebfb663e47e3e31ef651a3283acd2797dfbdc048e00c9321c3ea0a6dbd55532811ccdb4f5f27a62571cadd5c65fa5e2e3c314dec8193728817da6884982b4482e05b2aab514caf25c3344"}}, &(0x7f0000000800)={0x0, 0x3, 0x1a, {0x1a}}}, &(0x7f0000000980)={0x1c, &(0x7f0000000880)={0x0, 0x6, 0x3e, "588a3bdb97843364e2c2dfce14286524b66b9535b308fa55ccffbab9c3c7dae674bebc1cabdb4bbb4e57fe41efafaadd67069add78a2773dca3aae202b12"}, &(0x7f0000000900)={0x0, 0xa, 0x1, 0x8}, &(0x7f0000000940)={0x0, 0x8, 0x1, 0x2}}) syz_usb_control_io$hid(0xffffffffffffffff, &(0x7f0000000d40)={0x24, &(0x7f0000000bc0)={0x20, 0x5, 0x18, {0x18, 0xe, "88e2509c82199ec5b189ada051bd564b909f984a3421"}}, &(0x7f0000000c00)={0x0, 0x3, 0x7b, @string={0x7b, 0x3, "7733b64eb4dc118f002fb8f905d9337db0b0ece4a9a70fe2bac42c5a3e7a68c8c20873672d841544e20338b62d1af91cad00a5d7d3022b0307323958bac083ceb4cd058fe738505cfdb90862f6ddb3e140344bf73f2eb146c83b47c6c6899d3bbea98d41bf1919e1830c6f1f7bf2063fd7758d3333039a6cae"}}, &(0x7f0000000cc0)={0x0, 0x22, 0xf, {[@main=@item_012={0x1, 0x0, 0x8, 'X'}, @local=@item_4={0x3, 0x2, 0x3, "3faf6688"}, @local=@item_012={0x2, 0x2, 0x2, "d191"}, @main=@item_012={0x1, 0x0, 0xa, "bf"}, @local=@item_012={0x2, 0x2, 0x9, "a5cb"}]}}, &(0x7f0000000d00)={0x0, 0x21, 0x9, {0x9, 0x21, 0x3, 0x8, 0x1, {0x22, 0x50a}}}}, &(0x7f0000000f80)={0x2c, &(0x7f0000000d80)=ANY=[@ANYBLOB="200fc80000008560e8b45e048169fbff10ff0aaa79dd140e2c31bf5655756e50633f6f9b6d6d6d7ed742e8c62a426c37450d43ba9a8ca1bb0b1642e7775645c6efb3d4887b84ca691a3d6151775f4b54a6535cce03a197219903d6beca6cca1069798c9a634ddbf24f285498a2c7052265f4478b1de7a05a2bb0fe08266a34903740d0b1e925093f2a386e4465d693cfd78633e99905cde6e86feb2955a099a3a481c5cc96f40854aae365afb32148a4ff5f15cb6d05f4fac00ebee0d3ac91fffafc0c18143f7989334a51a69f8e"], &(0x7f0000000e80)={0x0, 0xa, 0x1}, &(0x7f0000000ec0)={0x0, 0x8, 0x1, 0x3}, &(0x7f0000000f00)={0x20, 0x1, 0x26, "b196d26ed2b344daf77857df363429cb13cff9013ca3c16aa3d85f271579e337c5757600352a"}, &(0x7f0000000f40)={0x20, 0x3, 0x1, 0x9}}) [ 636.090302][ T3518] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_macvtap: link becomes ready [ 636.137026][ T3518] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_macvtap: link becomes ready [ 636.467232][ T3242] device veth0_macvtap entered promiscuous mode [ 636.715959][ T3242] device veth1_macvtap entered promiscuous mode [ 637.188280][ T3518] IPv6: ADDRCONF(NETDEV_CHANGE): macvtap0: link becomes ready [ 637.947830][ T3515] usb 1-1: new high-speed USB device number 5 using dummy_hcd [ 638.251602][ T3515] usb 1-1: Using ep0 maxpacket: 32 [ 638.384036][ T3515] usb 1-1: config 1 interface 0 altsetting 0 has 2 endpoint descriptors, different from the interface descriptor's value: 0 [ 638.408539][ T26] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_0: link becomes ready [ 638.458063][ T26] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_batadv: link becomes ready [ 638.664080][ T3515] usb 1-1: New USB device found, idVendor=0525, idProduct=a4a1, bcdDevice= 0.40 [ 638.665836][ T3515] usb 1-1: New USB device strings: Mfr=0, Product=0, SerialNumber=1 [ 638.667272][ T3515] usb 1-1: SerialNumber: syz [ 639.014208][ T3497] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_1: link becomes ready [ 639.088318][ T3515] cdc_ether: probe of 1-1:1.0 failed with error -22 [ 639.144370][ T3497] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_batadv: link becomes ready [ 639.551098][ T3242] netdevsim netdevsim1 netdevsim0: set [1, 0] type 2 family 0 port 6081 - 0 [ 639.556148][ T3242] netdevsim netdevsim1 netdevsim1: set [1, 0] type 2 family 0 port 6081 - 0 [ 639.557758][ T3242] netdevsim netdevsim1 netdevsim2: set [1, 0] type 2 family 0 port 6081 - 0 [ 639.607073][ T3242] netdevsim netdevsim1 netdevsim3: set [1, 0] type 2 family 0 port 6081 - 0 [ 640.554627][ T3576] udc-core: couldn't find an available UDC or it's busy [ 640.556084][ T3576] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 640.758094][ T3576] udc-core: couldn't find an available UDC or it's busy [ 640.783610][ T3576] misc raw-gadget: fail, usb_gadget_probe_driver returned -16 [ 641.184400][ T3410] usb 1-1: USB disconnect, device number 5 00:10:49 executing program 0: openat$hwrng(0xffffffffffffff9c, &(0x7f0000000000)='/dev/hwrng\x00', 0x2bc9c0, 0x0) 00:10:49 executing program 1: socketpair(0x1d, 0x0, 0x0, &(0x7f0000000840)={0xffffffffffffffff, 0xffffffffffffffff}) r1 = openat(0xffffffffffffff9c, &(0x7f0000000040)='./file0\x00', 0x0, 0x0) ioctl$FS_IOC_SET_ENCRYPTION_POLICY(r1, 0x800c6613, &(0x7f00000000c0)=@v1={0x0, @adiantum, 0x0, @desc3='\xe8\xda\xb9\x924\xbb1.'}) getsockopt$inet_sctp_SCTP_DEFAULT_SEND_PARAM(r0, 0x84, 0xa, &(0x7f0000000000)={0x9, 0xff, 0x8002, 0x7b, 0x3, 0x3ff, 0x8000, 0x400, 0x0}, &(0x7f0000000040)=0x20) setsockopt$inet_sctp6_SCTP_STREAM_SCHEDULER(r1, 0x84, 0x7b, &(0x7f0000000080)={r2, 0x2}, 0x8) [ 654.196347][ T3598] can: request_module (can-proto-0) failed. [ 655.186980][ T3598] can: request_module (can-proto-0) failed. 00:10:53 executing program 0: openat$binder_debug(0xffffffffffffff9c, &(0x7f0000000100)='/sys/kernel/debug/binder/failed_transaction_log\x00', 0x0, 0x0) r0 = syz_open_dev$vcsa(&(0x7f0000000000)='/dev/vcsa#\x00', 0x100000000ba3, 0x2000) getsockopt$CAN_RAW_JOIN_FILTERS(r0, 0x65, 0x6, &(0x7f0000000040), &(0x7f0000000080)=0x4) r1 = openat$vcsu(0xffffffffffffff9c, &(0x7f00000000c0)='/dev/vcsu\x00', 0xac000, 0x0) setsockopt$CAN_RAW_LOOPBACK(r1, 0x65, 0x3, &(0x7f0000000140)=0x1, 0x4) fsmount(r0, 0x1, 0x0) syz_open_procfs(0xffffffffffffffff, &(0x7f0000000bc0)='fd/3\x00') 00:10:54 executing program 1: r0 = ioctl$TIOCGPTPEER(0xffffffffffffffff, 0x5441, 0x4) ioctl$FS_IOC_GETVERSION(r0, 0x80087601, &(0x7f0000000080)) r1 = openat(0xffffffffffffff9c, &(0x7f0000000040)='./file1\x00', 0x6440, 0x4a) ioctl$KDSIGACCEPT(r1, 0x4b4e, 0x39) ioctl$VT_SETMODE(r0, 0x5602, &(0x7f0000000000)={0x32, 0xa, 0x0, 0x6, 0x200}) syz_mount_image$tmpfs(&(0x7f0000000380)='tmpfs\x00', &(0x7f00000003c0)='./file1\x00', 0x0, 0x0, 0x0, 0x2, &(0x7f0000000540)) [ 658.314438][ T39] audit: type=1800 audit(656.360:2): pid=3606 uid=0 auid=4294967295 ses=4294967295 subj==unconfined op=collect_data cause=failed(directio) comm="syz-executor.1" name="file1" dev="vda" ino=646 res=0 errno=0 [ 658.508154][ T39] audit: type=1800 audit(656.560:3): pid=3606 uid=0 auid=4294967295 ses=4294967295 subj==unconfined op=collect_data cause=failed(directio) comm="syz-executor.1" name="file1" dev="vda" ino=646 res=0 errno=0 00:10:58 executing program 0: openat$binder_debug(0xffffffffffffff9c, &(0x7f0000000100)='/sys/kernel/debug/binder/failed_transaction_log\x00', 0x0, 0x0) r0 = syz_open_dev$vcsa(&(0x7f0000000000)='/dev/vcsa#\x00', 0x100000000ba3, 0x2000) getsockopt$CAN_RAW_JOIN_FILTERS(r0, 0x65, 0x6, &(0x7f0000000040), &(0x7f0000000080)=0x4) r1 = openat$vcsu(0xffffffffffffff9c, &(0x7f00000000c0)='/dev/vcsu\x00', 0xac000, 0x0) setsockopt$CAN_RAW_LOOPBACK(r1, 0x65, 0x3, &(0x7f0000000140)=0x1, 0x4) fsmount(r0, 0x1, 0x0) syz_open_procfs(0xffffffffffffffff, &(0x7f0000000bc0)='fd/3\x00') 00:10:58 executing program 1: r0 = ioctl$TIOCGPTPEER(0xffffffffffffffff, 0x5441, 0x4) ioctl$FS_IOC_GETVERSION(r0, 0x80087601, &(0x7f0000000080)) r1 = openat(0xffffffffffffff9c, &(0x7f0000000040)='./file1\x00', 0x6440, 0x4a) ioctl$KDSIGACCEPT(r1, 0x4b4e, 0x39) ioctl$VT_SETMODE(r0, 0x5602, &(0x7f0000000000)={0x32, 0xa, 0x0, 0x6, 0x200}) syz_mount_image$tmpfs(&(0x7f0000000380)='tmpfs\x00', &(0x7f00000003c0)='./file1\x00', 0x0, 0x0, 0x0, 0x2, &(0x7f0000000540)) [ 661.108066][ T3610] Unable to handle kernel access to user memory without uaccess routines at virtual address 00000000345680d0 [ 661.155171][ T3610] Oops [#1] [ 661.155885][ T3610] Modules linked in: [ 661.156684][ T3610] CPU: 1 PID: 3610 Comm: syz-executor.0 Not tainted 5.12.0-rc5-syzkaller-00715-ga5e13c6df0e4 #0 [ 661.157680][ T3610] Hardware name: riscv-virtio,qemu (DT) [ 661.158400][ T3610] epc : schedule_tail+0x72/0xb2 [ 661.159561][ T3610] ra : schedule_tail+0x70/0xb2 [ 661.160745][ T3610] epc : ffffffe00008f4c4 ra : ffffffe00008f4c2 sp : ffffffe022897ec0 [ 661.161573][ T3610] gp : ffffffe004588b08 tp : ffffffe005fe17c0 t0 : 0000000000000000 [ 661.162314][ T3610] t1 : 0000000000000001 t2 : 00000000000f4240 s0 : ffffffe022897ee0 [ 661.163090][ T3610] s1 : 00000000345680d0 a0 : 0000000000000014 a1 : 0000000000000003 [ 661.163897][ T3610] a2 : 1ffffffc0cfac108 a3 : ffffffe0000d30bc a4 : 540869a282f68600 [ 661.164705][ T3610] a5 : 0000000000000000 a6 : 0000000000f00000 a7 : ffffffe0001041aa [ 661.165549][ T3610] s2 : 0000000000040000 s3 : ffffffe00c424740 s4 : ffffffe0057bbfe0 [ 661.166318][ T3610] s5 : 0000000000004000 s6 : ffffffe067d72ec0 s7 : ffffffe067d72910 [ 661.167103][ T3610] s8 : ffffffe067d71ed8 s9 : ffffffe067d72ec0 s10: ffffffe00c424b78 [ 661.167944][ T3610] s11: 00000099e1540ff8 t3 : 540869a282f68600 t4 : ffffffc403c957b2 [ 661.168771][ T3610] t5 : ffffffc403c957ba t6 : 0000000000040000 [ 661.170052][ T3610] status: 0000000000000120 badaddr: 00000000345680d0 cause: 000000000000000f [ 661.170966][ T3610] Call Trace: [ 661.171492][ T3610] [] schedule_tail+0x72/0xb2 [ 661.172467][ T3610] [] ret_from_exception+0x0/0x14 [ 661.217642][ T3610] ---[ end trace 84e5ed52940e5e23 ]--- [ 661.231616][ T3610] Kernel panic - not syncing: Fatal exception [ 661.233067][ T3610] SMP: stopping secondary CPUs [ 661.235203][ T3610] Rebooting in 86400 seconds.. VM DIAGNOSIS: 08:22:57 Registers: info registers vcpu 0 pc ffffffe0001d0f9e mhartid 0000000000000000 mstatus 00000000000000a0 mip 0000000000000000 mie 00000000000002aa mideleg 0000000000000222 medeleg 000000000000b109 mtvec 0000000080000540 stvec ffffffe00000542c mepc ffffffe00000e9d4 sepc ffffffe0000dd9c0 mcause 0000000000000009 scause 8000000000000005 mtval 0000000000000000 stval 0000000000000000 x0/zero 0000000000000000 x1/ra ffffffe0000d0d22 x2/sp ffffffe0058fb980 x3/gp ffffffe004588b08 x4/tp ffffffe0058f0000 x5/t0 ffffffe00a17c08e x6/t1 ffffffc400b1f74c x7/t2 00000000046808eb x8/s0 ffffffe0058fba20 x9/s1 0000000079622d32 x10/a0 ffffffe0058fba54 x11/a1 00000000000f0000 x12/a2 000000006d451ecd x13/a3 000000006a1d6eee x14/a4 0000000000000003 x15/a5 0000000000000000 x16/a6 0000000000f00000 x17/a7 ffffffe0058fbb43 x18/s2 00000000741f84b0 x19/s3 ffffffe0058f0000 x20/s4 0000000000000009 x21/s5 ffffffe00a265f28 x22/s6 ffffffe00a265f00 x23/s7 ffffffe00458c0d0 x24/s8 ffffffff9c053d31 x25/s9 ffffffe010e2ba00 x26/s10 0000000000000000 x27/s11 ffffffe00d8d8488 x28/t3 000000000000002f x29/t4 ffffffc400b1f76d x30/t5 ffffffc400b1f76e x31/t6 00000000000000af f0/ft0 0000000000000000 f1/ft1 0000000000000000 f2/ft2 0000000000000000 f3/ft3 0000000000000000 f4/ft4 0000000000000000 f5/ft5 0000000000000000 f6/ft6 0000000000000000 f7/ft7 0000000000000000 f8/fs0 0000000000000000 f9/fs1 0000000000000000 f10/fa0 0000000000000000 f11/fa1 0000000000000000 f12/fa2 0000000000000000 f13/fa3 0000000000000000 f14/fa4 0000000000000000 f15/fa5 0000000000000000 f16/fa6 0000000000000000 f17/fa7 0000000000000000 f18/fs2 0000000000000000 f19/fs3 0000000000000000 f20/fs4 0000000000000000 f21/fs5 0000000000000000 f22/fs6 0000000000000000 f23/fs7 0000000000000000 f24/fs8 0000000000000000 f25/fs9 0000000000000000 f26/fs10 0000000000000000 f27/fs11 0000000000000000 f28/ft8 0000000000000000 f29/ft9 0000000000000000 f30/ft10 0000000000000000 f31/ft11 0000000000000000 info registers vcpu 1 pc ffffffe0000d3c46 mhartid 0000000000000001 mstatus 00000000000001a2 mip 0000000000000000 mie 00000000000002aa mideleg 0000000000000222 medeleg 000000000000b109 mtvec 0000000080000540 stvec ffffffe00000542c mepc ffffffe00000e9d4 sepc ffffffe000332aa4 mcause 0000000000000009 scause 8000000000000005 mtval 0000000000000000 stval 0000000000000000 x0/zero 0000000000000000 x1/ra ffffffe0000d8c34 x2/sp ffffffe0057b3c50 x3/gp ffffffe004588b08 x4/tp ffffffe0057a8000 x5/t0 ffffffe0217ef278 x6/t1 0000000000000001 x7/t2 0000003fb40bac00 x8/s0 ffffffe0057b3bf0 x9/s1 0000000000000000 x10/a0 0000000000000120 x11/a1 0000000000000007 x12/a2 0000000000000000 x13/a3 ffffffe000999334 x14/a4 ffffffe0050495a8 x15/a5 0000000000000000 x16/a6 0000000000f00000 x17/a7 ffffffe0012b7202 x18/s2 0000000000000000 x19/s3 000000003b9aca00 x20/s4 000000995f5e1e80 x21/s5 0000000000000001 x22/s6 0000000000000000 x23/s7 ffffffe0012b7202 x24/s8 ffffffe0068fab40 x25/s9 ffffffe0043e7a80 x26/s10 ffffffe00458e8e8 x27/s11 ffffffe00458c228 x28/t3 540869a282f68600 x29/t4 0000000000000695 x30/t5 ffffffc4042fde4f x31/t6 0000000000000001 f0/ft0 0000000000000000 f1/ft1 0000000000000000 f2/ft2 0000000000000000 f3/ft3 0000000000000000 f4/ft4 0000000000000000 f5/ft5 0000000000000000 f6/ft6 0000000000000000 f7/ft7 0000000000000000 f8/fs0 0000000000000000 f9/fs1 0000000000000000 f10/fa0 0000000000000000 f11/fa1 0000000000000000 f12/fa2 0000000000000000 f13/fa3 0000000000000000 f14/fa4 0000000000000000 f15/fa5 0000000000000000 f16/fa6 0000000000000000 f17/fa7 0000000000000000 f18/fs2 0000000000000000 f19/fs3 0000000000000000 f20/fs4 0000000000000000 f21/fs5 0000000000000000 f22/fs6 0000000000000000 f23/fs7 0000000000000000 f24/fs8 0000000000000000 f25/fs9 0000000000000000 f26/fs10 0000000000000000 f27/fs11 0000000000000000 f28/ft8 0000000000000000 f29/ft9 0000000000000000 f30/ft10 0000000000000000 f31/ft11 0000000000000000