[ OK ] Reached target Graphical Interface. Starting Update UTMP about System Runlevel Changes... Starting Load/Save RF Kill Switch Status... [ OK ] Started Load/Save RF Kill Switch Status. [ OK ] Started Update UTMP about System Runlevel Changes. Debian GNU/Linux 9 syzkaller ttyS0 Warning: Permanently added '10.128.0.96' (ECDSA) to the list of known hosts. 2021/01/18 02:20:12 fuzzer started 2021/01/18 02:20:13 dialing manager at 10.128.0.26:34827 2021/01/18 02:20:13 syscalls: 3465 2021/01/18 02:20:13 code coverage: enabled 2021/01/18 02:20:13 comparison tracing: enabled 2021/01/18 02:20:13 extra coverage: enabled 2021/01/18 02:20:13 setuid sandbox: enabled 2021/01/18 02:20:13 namespace sandbox: enabled 2021/01/18 02:20:13 Android sandbox: /sys/fs/selinux/policy does not exist 2021/01/18 02:20:13 fault injection: enabled 2021/01/18 02:20:13 leak checking: CONFIG_DEBUG_KMEMLEAK is not enabled 2021/01/18 02:20:13 net packet injection: enabled 2021/01/18 02:20:13 net device setup: enabled 2021/01/18 02:20:13 concurrency sanitizer: /sys/kernel/debug/kcsan does not exist 2021/01/18 02:20:13 devlink PCI setup: PCI device 0000:00:10.0 is not available 2021/01/18 02:20:13 USB emulation: enabled 2021/01/18 02:20:13 hci packet injection: enabled 2021/01/18 02:20:13 wifi device emulation: enabled 2021/01/18 02:20:13 fetching corpus: 0, signal 0/2000 (executing program) 2021/01/18 02:20:13 fetching corpus: 50, signal 50346/54075 (executing program) 2021/01/18 02:20:13 fetching corpus: 100, signal 88612/93893 (executing program) 2021/01/18 02:20:14 fetching corpus: 148, signal 117267/123982 (executing program) 2021/01/18 02:20:14 fetching corpus: 198, signal 137405/145484 (executing program) 2021/01/18 02:20:14 fetching corpus: 248, signal 155319/164656 (executing program) 2021/01/18 02:20:14 fetching corpus: 298, signal 165006/175700 (executing program) 2021/01/18 02:20:14 fetching corpus: 348, signal 176023/187996 (executing program) 2021/01/18 02:20:15 fetching corpus: 398, signal 186249/199455 (executing program) 2021/01/18 02:20:15 fetching corpus: 448, signal 209867/223844 (executing program) 2021/01/18 02:20:15 fetching corpus: 498, signal 222186/237203 (executing program) 2021/01/18 02:20:15 fetching corpus: 547, signal 242817/258473 (executing program) 2021/01/18 02:20:16 fetching corpus: 597, signal 256466/272920 (executing program) 2021/01/18 02:20:16 fetching corpus: 646, signal 266012/283297 (executing program) 2021/01/18 02:20:16 fetching corpus: 694, signal 277683/295673 (executing program) 2021/01/18 02:20:16 fetching corpus: 742, signal 286115/304908 (executing program) 2021/01/18 02:20:16 fetching corpus: 792, signal 293238/312914 (executing program) 2021/01/18 02:20:16 fetching corpus: 841, signal 299013/319536 (executing program) 2021/01/18 02:20:17 fetching corpus: 890, signal 306323/327585 (executing program) 2021/01/18 02:20:17 fetching corpus: 940, signal 312521/334502 (executing program) 2021/01/18 02:20:17 fetching corpus: 990, signal 319000/341650 (executing program) 2021/01/18 02:20:17 fetching corpus: 1040, signal 323830/347281 (executing program) 2021/01/18 02:20:17 fetching corpus: 1088, signal 331182/355221 (executing program) 2021/01/18 02:20:17 fetching corpus: 1138, signal 339103/363652 (executing program) 2021/01/18 02:20:18 fetching corpus: 1188, signal 343749/369043 (executing program) 2021/01/18 02:20:18 fetching corpus: 1237, signal 350426/376227 (executing program) 2021/01/18 02:20:18 fetching corpus: 1285, signal 356516/382821 (executing program) 2021/01/18 02:20:18 fetching corpus: 1334, signal 361995/388833 (executing program) 2021/01/18 02:20:18 fetching corpus: 1384, signal 367486/394861 (executing program) 2021/01/18 02:20:18 fetching corpus: 1430, signal 371169/399180 (executing program) 2021/01/18 02:20:19 fetching corpus: 1480, signal 374813/403423 (executing program) 2021/01/18 02:20:19 fetching corpus: 1528, signal 380125/409173 (executing program) 2021/01/18 02:20:19 fetching corpus: 1575, signal 383834/413418 (executing program) 2021/01/18 02:20:19 fetching corpus: 1625, signal 388111/418186 (executing program) 2021/01/18 02:20:19 fetching corpus: 1674, signal 396095/426240 (executing program) 2021/01/18 02:20:20 fetching corpus: 1723, signal 400479/431018 (executing program) 2021/01/18 02:20:20 fetching corpus: 1773, signal 404148/435134 (executing program) 2021/01/18 02:20:20 fetching corpus: 1823, signal 407259/438793 (executing program) 2021/01/18 02:20:20 fetching corpus: 1872, signal 411943/443790 (executing program) 2021/01/18 02:20:20 fetching corpus: 1921, signal 415558/447874 (executing program) 2021/01/18 02:20:21 fetching corpus: 1970, signal 422429/454746 (executing program) 2021/01/18 02:20:21 fetching corpus: 2020, signal 425002/457794 (executing program) 2021/01/18 02:20:21 fetching corpus: 2070, signal 428646/461780 (executing program) 2021/01/18 02:20:21 fetching corpus: 2119, signal 431303/464902 (executing program) 2021/01/18 02:20:21 fetching corpus: 2169, signal 434171/468127 (executing program) 2021/01/18 02:20:21 fetching corpus: 2218, signal 437027/471343 (executing program) 2021/01/18 02:20:22 fetching corpus: 2268, signal 441638/476006 (executing program) 2021/01/18 02:20:22 fetching corpus: 2318, signal 443863/478704 (executing program) 2021/01/18 02:20:22 fetching corpus: 2367, signal 447545/482587 (executing program) 2021/01/18 02:20:22 fetching corpus: 2417, signal 450992/486253 (executing program) 2021/01/18 02:20:23 fetching corpus: 2466, signal 454528/489992 (executing program) 2021/01/18 02:20:23 fetching corpus: 2516, signal 458300/493905 (executing program) 2021/01/18 02:20:23 fetching corpus: 2566, signal 461324/497109 (executing program) 2021/01/18 02:20:23 fetching corpus: 2615, signal 464084/500124 (executing program) 2021/01/18 02:20:23 fetching corpus: 2665, signal 466765/503050 (executing program) 2021/01/18 02:20:23 fetching corpus: 2715, signal 469764/506228 (executing program) 2021/01/18 02:20:24 fetching corpus: 2765, signal 472071/508785 (executing program) 2021/01/18 02:20:24 fetching corpus: 2815, signal 475043/511875 (executing program) 2021/01/18 02:20:24 fetching corpus: 2865, signal 477280/514341 (executing program) 2021/01/18 02:20:24 fetching corpus: 2915, signal 480163/517305 (executing program) 2021/01/18 02:20:24 fetching corpus: 2963, signal 483132/520410 (executing program) 2021/01/18 02:20:25 fetching corpus: 3013, signal 485297/522804 (executing program) 2021/01/18 02:20:25 fetching corpus: 3062, signal 488392/525935 (executing program) 2021/01/18 02:20:25 fetching corpus: 3112, signal 491369/528963 (executing program) 2021/01/18 02:20:25 fetching corpus: 3162, signal 494064/531680 (executing program) 2021/01/18 02:20:25 fetching corpus: 3211, signal 497708/535192 (executing program) 2021/01/18 02:20:26 fetching corpus: 3261, signal 500355/537902 (executing program) 2021/01/18 02:20:26 fetching corpus: 3311, signal 504381/541701 (executing program) 2021/01/18 02:20:26 fetching corpus: 3361, signal 508174/545285 (executing program) 2021/01/18 02:20:26 fetching corpus: 3410, signal 510476/547634 (executing program) 2021/01/18 02:20:26 fetching corpus: 3460, signal 512863/550076 (executing program) 2021/01/18 02:20:27 fetching corpus: 3509, signal 514936/552303 (executing program) 2021/01/18 02:20:27 fetching corpus: 3559, signal 517763/555126 (executing program) 2021/01/18 02:20:27 fetching corpus: 3609, signal 519646/557150 (executing program) 2021/01/18 02:20:27 fetching corpus: 3658, signal 521992/559482 (executing program) 2021/01/18 02:20:27 fetching corpus: 3707, signal 524580/562035 (executing program) 2021/01/18 02:20:28 fetching corpus: 3757, signal 526935/564369 (executing program) 2021/01/18 02:20:28 fetching corpus: 3807, signal 529433/566751 (executing program) 2021/01/18 02:20:28 fetching corpus: 3857, signal 532679/569771 (executing program) 2021/01/18 02:20:28 fetching corpus: 3907, signal 535675/572576 (executing program) 2021/01/18 02:20:28 fetching corpus: 3957, signal 537927/574741 (executing program) 2021/01/18 02:20:28 fetching corpus: 4006, signal 540505/577154 (executing program) 2021/01/18 02:20:29 fetching corpus: 4056, signal 543248/579667 (executing program) 2021/01/18 02:20:29 fetching corpus: 4105, signal 544972/581383 (executing program) 2021/01/18 02:20:29 fetching corpus: 4154, signal 547503/583761 (executing program) 2021/01/18 02:20:29 fetching corpus: 4203, signal 550269/586223 (executing program) 2021/01/18 02:20:29 fetching corpus: 4252, signal 551879/587855 (executing program) 2021/01/18 02:20:30 fetching corpus: 4302, signal 553418/589445 (executing program) 2021/01/18 02:20:30 fetching corpus: 4351, signal 556127/591919 (executing program) 2021/01/18 02:20:30 fetching corpus: 4401, signal 558244/593881 (executing program) 2021/01/18 02:20:30 fetching corpus: 4451, signal 561361/596572 (executing program) 2021/01/18 02:20:30 fetching corpus: 4501, signal 563826/598756 (executing program) 2021/01/18 02:20:31 fetching corpus: 4550, signal 566463/601071 (executing program) 2021/01/18 02:20:31 fetching corpus: 4600, signal 568525/602972 (executing program) 2021/01/18 02:20:31 fetching corpus: 4649, signal 570109/604511 (executing program) 2021/01/18 02:20:31 fetching corpus: 4697, signal 572191/606396 (executing program) 2021/01/18 02:20:31 fetching corpus: 4746, signal 575440/609114 (executing program) 2021/01/18 02:20:32 fetching corpus: 4796, signal 576926/610519 (executing program) 2021/01/18 02:20:32 fetching corpus: 4845, signal 578348/611874 (executing program) 2021/01/18 02:20:32 fetching corpus: 4894, signal 579974/613432 (executing program) 2021/01/18 02:20:32 fetching corpus: 4943, signal 582517/615608 (executing program) 2021/01/18 02:20:32 fetching corpus: 4992, signal 584172/617115 (executing program) 2021/01/18 02:20:33 fetching corpus: 5041, signal 585849/618612 (executing program) 2021/01/18 02:20:33 fetching corpus: 5091, signal 587212/619835 (executing program) 2021/01/18 02:20:33 fetching corpus: 5139, signal 589746/621919 (executing program) 2021/01/18 02:20:33 fetching corpus: 5189, signal 591923/623735 (executing program) 2021/01/18 02:20:33 fetching corpus: 5239, signal 594254/625662 (executing program) 2021/01/18 02:20:34 fetching corpus: 5289, signal 596316/627401 (executing program) 2021/01/18 02:20:34 fetching corpus: 5338, signal 598292/629042 (executing program) 2021/01/18 02:20:34 fetching corpus: 5388, signal 599599/630203 (executing program) 2021/01/18 02:20:34 fetching corpus: 5437, signal 600882/631376 (executing program) 2021/01/18 02:20:34 fetching corpus: 5487, signal 602666/632835 (executing program) 2021/01/18 02:20:35 fetching corpus: 5537, signal 604859/634616 (executing program) 2021/01/18 02:20:35 fetching corpus: 5587, signal 606592/636070 (executing program) 2021/01/18 02:20:35 fetching corpus: 5636, signal 609701/638411 (executing program) 2021/01/18 02:20:35 fetching corpus: 5684, signal 611365/639796 (executing program) 2021/01/18 02:20:35 fetching corpus: 5734, signal 613149/641256 (executing program) 2021/01/18 02:20:36 fetching corpus: 5783, signal 614691/642601 (executing program) 2021/01/18 02:20:36 fetching corpus: 5832, signal 616744/644248 (executing program) 2021/01/18 02:20:36 fetching corpus: 5882, signal 619050/645962 (executing program) 2021/01/18 02:20:36 fetching corpus: 5928, signal 621193/647576 (executing program) 2021/01/18 02:20:36 fetching corpus: 5978, signal 622971/648907 (executing program) 2021/01/18 02:20:37 fetching corpus: 6027, signal 624205/649913 (executing program) 2021/01/18 02:20:37 fetching corpus: 6077, signal 626250/651432 (executing program) 2021/01/18 02:20:37 fetching corpus: 6126, signal 627684/652567 (executing program) 2021/01/18 02:20:37 fetching corpus: 6174, signal 629581/653961 (executing program) 2021/01/18 02:20:37 fetching corpus: 6222, signal 631114/655164 (executing program) 2021/01/18 02:20:38 fetching corpus: 6271, signal 633836/657113 (executing program) 2021/01/18 02:20:38 fetching corpus: 6320, signal 637999/659906 (executing program) 2021/01/18 02:20:38 fetching corpus: 6367, signal 639103/660795 (executing program) 2021/01/18 02:20:38 fetching corpus: 6417, signal 640336/661730 (executing program) 2021/01/18 02:20:38 fetching corpus: 6467, signal 641309/662503 (executing program) 2021/01/18 02:20:38 fetching corpus: 6513, signal 642403/663384 (executing program) 2021/01/18 02:20:39 fetching corpus: 6563, signal 644593/664852 (executing program) 2021/01/18 02:20:39 fetching corpus: 6611, signal 645881/665791 (executing program) 2021/01/18 02:20:39 fetching corpus: 6659, signal 647593/666968 (executing program) 2021/01/18 02:20:39 fetching corpus: 6708, signal 649282/668122 (executing program) 2021/01/18 02:20:40 fetching corpus: 6757, signal 650960/669287 (executing program) 2021/01/18 02:20:40 fetching corpus: 6807, signal 652667/670437 (executing program) 2021/01/18 02:20:40 fetching corpus: 6857, signal 654726/671812 (executing program) 2021/01/18 02:20:40 fetching corpus: 6905, signal 656243/672869 (executing program) 2021/01/18 02:20:40 fetching corpus: 6955, signal 658245/674214 (executing program) 2021/01/18 02:20:41 fetching corpus: 7005, signal 659627/675121 (executing program) 2021/01/18 02:20:41 fetching corpus: 7055, signal 661527/676376 (executing program) 2021/01/18 02:20:41 fetching corpus: 7105, signal 662858/677237 (executing program) 2021/01/18 02:20:41 fetching corpus: 7155, signal 664495/678271 (executing program) 2021/01/18 02:20:41 fetching corpus: 7205, signal 666423/679509 (executing program) 2021/01/18 02:20:42 fetching corpus: 7255, signal 667487/680226 (executing program) 2021/01/18 02:20:42 fetching corpus: 7305, signal 668570/680952 (executing program) 2021/01/18 02:20:42 fetching corpus: 7355, signal 670529/682180 (executing program) 2021/01/18 02:20:42 fetching corpus: 7405, signal 671808/683012 (executing program) 2021/01/18 02:20:43 fetching corpus: 7455, signal 673192/683882 (executing program) 2021/01/18 02:20:43 fetching corpus: 7505, signal 674428/684669 (executing program) 2021/01/18 02:20:43 fetching corpus: 7555, signal 676045/685639 (executing program) 2021/01/18 02:20:43 fetching corpus: 7603, signal 677136/686323 (executing program) 2021/01/18 02:20:44 fetching corpus: 7651, signal 678246/687013 (executing program) 2021/01/18 02:20:44 fetching corpus: 7699, signal 679073/687529 (executing program) 2021/01/18 02:20:44 fetching corpus: 7746, signal 680923/688605 (executing program) 2021/01/18 02:20:44 fetching corpus: 7796, signal 682828/689671 (executing program) 2021/01/18 02:20:45 fetching corpus: 7845, signal 684230/690466 (executing program) 2021/01/18 02:20:45 fetching corpus: 7893, signal 685446/691140 (executing program) 2021/01/18 02:20:45 fetching corpus: 7943, signal 686421/691696 (executing program) 2021/01/18 02:20:45 fetching corpus: 7993, signal 689294/693292 (executing program) 2021/01/18 02:20:45 fetching corpus: 8036, signal 689879/693638 (executing program) 2021/01/18 02:20:45 fetching corpus: 8036, signal 689880/693667 (executing program) 2021/01/18 02:20:45 fetching corpus: 8037, signal 689881/693700 (executing program) 2021/01/18 02:20:45 fetching corpus: 8037, signal 689881/693728 (executing program) 2021/01/18 02:20:45 fetching corpus: 8037, signal 689881/693750 (executing program) 2021/01/18 02:20:45 fetching corpus: 8037, signal 689881/693776 (executing program) 2021/01/18 02:20:45 fetching corpus: 8037, signal 689881/693808 (executing program) 2021/01/18 02:20:45 fetching corpus: 8037, signal 689881/693831 (executing program) 2021/01/18 02:20:45 fetching corpus: 8037, signal 689881/693863 (executing program) 2021/01/18 02:20:45 fetching corpus: 8038, signal 689906/693917 (executing program) 2021/01/18 02:20:45 fetching corpus: 8038, signal 689906/693949 (executing program) 2021/01/18 02:20:45 fetching corpus: 8038, signal 689906/693977 (executing program) 2021/01/18 02:20:45 fetching corpus: 8038, signal 689906/694001 (executing program) 2021/01/18 02:20:45 fetching corpus: 8038, signal 689906/694020 (executing program) 2021/01/18 02:20:45 fetching corpus: 8039, signal 689912/694048 (executing program) 2021/01/18 02:20:45 fetching corpus: 8039, signal 689914/694078 (executing program) 2021/01/18 02:20:45 fetching corpus: 8039, signal 689914/694106 (executing program) 2021/01/18 02:20:45 fetching corpus: 8039, signal 689914/694134 (executing program) 2021/01/18 02:20:45 fetching corpus: 8039, signal 689914/694164 (executing program) 2021/01/18 02:20:45 fetching corpus: 8039, signal 689914/694187 (executing program) 2021/01/18 02:20:45 fetching corpus: 8039, signal 689914/694205 (executing program) 2021/01/18 02:20:45 fetching corpus: 8039, signal 689914/694231 (executing program) 2021/01/18 02:20:45 fetching corpus: 8039, signal 689914/694253 (executing program) 2021/01/18 02:20:45 fetching corpus: 8039, signal 689914/694277 (executing program) 2021/01/18 02:20:45 fetching corpus: 8039, signal 689914/694303 (executing program) 2021/01/18 02:20:45 fetching corpus: 8039, signal 689914/694323 (executing program) 2021/01/18 02:20:45 fetching corpus: 8039, signal 689914/694356 (executing program) 2021/01/18 02:20:45 fetching corpus: 8039, signal 689914/694391 (executing program) 2021/01/18 02:20:45 fetching corpus: 8039, signal 689914/694404 (executing program) 2021/01/18 02:20:45 fetching corpus: 8039, signal 689914/694422 (executing program) 2021/01/18 02:20:45 fetching corpus: 8039, signal 689914/694443 (executing program) 2021/01/18 02:20:45 fetching corpus: 8039, signal 689914/694463 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689914/694498 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689914/694522 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689914/694549 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689914/694579 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/694605 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/694634 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/694662 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/694688 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/694711 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/694732 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/694758 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/694791 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/694816 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/694843 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/694858 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/694886 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/694912 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/694946 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/694966 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/694986 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/695017 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/695037 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/695065 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689915/695095 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695156 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695178 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695203 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695230 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695251 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695276 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695301 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695324 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695344 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695365 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695388 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695410 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695440 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695460 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695480 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695509 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695528 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695549 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695574 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695596 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695611 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695645 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695673 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695701 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695737 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695767 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695791 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695807 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695823 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695850 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695883 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695908 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695941 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695962 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689959/695977 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696001 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696026 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696049 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696085 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696110 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696132 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696149 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696167 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696191 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696216 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696239 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696273 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696302 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696325 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696356 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696387 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696411 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696435 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696463 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696487 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696512 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696539 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696567 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696586 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696612 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696642 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696665 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696695 (executing program) 2021/01/18 02:20:46 fetching corpus: 8039, signal 689960/696720 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 689999/696759 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 689999/696786 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 689999/696805 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 689999/696834 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 689999/696863 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 689999/696889 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 689999/696916 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 689999/696943 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 690000/696962 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 690000/696986 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 690000/697011 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 690000/697045 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 690000/697065 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 690000/697092 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 690000/697114 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 690000/697149 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 690000/697177 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 690000/697215 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 690000/697243 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 690000/697273 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 690000/697288 (executing program) 2021/01/18 02:20:46 fetching corpus: 8040, signal 690001/697315 (executing program) 2021/01/18 02:20:46 fetching corpus: 8041, signal 690004/697338 (executing program) 2021/01/18 02:20:46 fetching corpus: 8041, signal 690004/697359 (executing program) 2021/01/18 02:20:46 fetching corpus: 8041, signal 690004/697393 (executing program) 2021/01/18 02:20:46 fetching corpus: 8041, signal 690004/697416 (executing program) 2021/01/18 02:20:46 fetching corpus: 8042, signal 690084/697456 (executing program) 2021/01/18 02:20:46 fetching corpus: 8042, signal 690084/697493 (executing program) 2021/01/18 02:20:46 fetching corpus: 8042, signal 690084/697521 (executing program) 2021/01/18 02:20:46 fetching corpus: 8042, signal 690084/697541 (executing program) 2021/01/18 02:20:46 fetching corpus: 8042, signal 690084/697563 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/697584 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/697610 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/697638 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/697660 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/697684 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/697702 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/697732 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/697757 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/697787 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/697824 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/697845 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/697870 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/697894 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/697915 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/697936 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/697960 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/697989 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/698023 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/698044 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/698069 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/698092 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/698122 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/698147 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/698167 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/698192 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/698218 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/698242 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/698278 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/698311 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/698337 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/698366 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/698380 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/698398 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/698433 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690084/698458 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690085/698463 (executing program) 2021/01/18 02:20:47 fetching corpus: 8042, signal 690085/698463 (executing program) 2021/01/18 02:20:49 starting 6 fuzzer processes 02:20:49 executing program 0: r0 = syz_open_dev$tty1(0xc, 0x4, 0x1) write$binfmt_elf64(r0, &(0x7f0000000100)={{0x1b, 0x5b, 0x39, 0x3b, 0x0, 0x0, 0x0, 0x0, 0x0, 0xa5d, 0x0, 0x0, 0x0, 0x40}}, 0x40) 02:20:50 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_genetlink_get_family_id$ipvs(&(0x7f0000000040)='IPVS\x00') sendmsg$IPVS_CMD_NEW_DAEMON(r0, &(0x7f0000000080)={0x0, 0x0, &(0x7f0000000580)={&(0x7f0000000200)=ANY=[@ANYBLOB='P\x00\x00\x00', @ANYRES16=r1, @ANYBLOB="31000000000000000000090000003c0003000800400000000000140002006c6f000000000000000000000000000014000300ff0300000000000000400300000000010800010001"], 0x50}}, 0x0) 02:20:50 executing program 2: perf_event_open(&(0x7f0000000100)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3c3f, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r0 = bpf$PROG_LOAD(0x5, &(0x7f0000000200)={0xc, 0xe, &(0x7f0000000880)=ANY=[@ANYBLOB="b702000007000000bfa30000000000000703000000feffff7a0af0fff8ffffff79a4f0ff00000000b7060000ffffffff2d6405000000000065040400010000000404000001007d60b7030000000000006a0a00fe00000000850000000d000000b70000000000000095000000000000002c3f3dc2b7954244cef7baf48e6d2885a09a87507e66262d39c75ebf4e43bc0609b199b6d9ddc1cfb437648368f5ed90e0ebcdac5f7a860c00269c781f6428457253e89ad528d985636a86ec0f60f5a6d1159a2c2e85d726859a919cc9548a349980d1ccdce27f94bc074c27f81070545cab5d5b0da0f0575cc2727e8d974927676468582d8621c3ac94712ed9cf6b40b3cf252a47c05af3a30d57cc3ed67d1867b54d24e2da18568c3b0f34b52616bf84d3b042d6e432cd0e3b57239f0127473e6ba922aff649609d40b47ec349ccba3ce8d530ffff19a6471bf3abc742d9cbcfb964b13831034694a6aad86cf08a2c7b2235dc99de9aa3e6b77c7a2877261ed72da90864987f30926c9013eec3b86836dcd9d74c9bfb78a0c0dea5362b686448ae504479f60b0ec9204d2b85627aa5a79f670000000000000000000000008f02712c3d8fc4e2686e21a855e823887196d4f4e9f2013d2aef4a3b5092be4d6852b88317c5adbbdb0015f89e9939bc424d1bafe5725c8a4047b91da3768c1ca6a4410009f95d4ba21068285afa8d3b4a6893d3626ab5becbcdb887af2c85c2d9ab09b5dd7d3c4406d273650bf7b2ff4602aec1eea200000064881c560c371a08fc51374cf05c921a06fb78183e7e68de9dabe35f1a5d50f20209eec6"], &(0x7f0000000340)='syzkaller\x00'}, 0x48) bpf$BPF_PROG_TEST_RUN(0xa, &(0x7f00000000c0)={r0, 0x20e, 0xe, 0xd000000, &(0x7f00000004c0)="b91803b700030703009e40f088a81fff06ffffff8700008477fbac141412e0000801c699da153f08e0e6e380f60104f683317585d7473f1cab4439f0f570ff155bc5f73ba3f8bb99a6e8ded1ce485cf058105cd981b42493481cd659416a2e10c9119664f36eb00b333c20c9ec0c222d644bdcb178c1cc53d6960fbb842d6a33dfcde3a1e1848135214baf139753866cadcbe3ce52505e992818cc452bee339d9ab076f484020eaa348a21d7911e4c44905256ec2cc54cca47a198b00c10aff62a4bed43a2ebcad92743fb22c593f28fd4bb7c703cde9cae0569d4c8d9a823f2c12863f7a6c0cf88ed22aae4f6f084508833b61429a25773eedf63dd9f33d430f2a0a30a7761db16fe0f743b95ded898c28aac1256ce2751b3d738899b8b19d9052b7f13ff94", 0x0, 0xfd, 0x6000000000000000, 0xfffffffffffffe7e, 0x1d4}, 0x28) 02:20:50 executing program 3: pipe(&(0x7f0000000000)={0xffffffffffffffff, 0xffffffffffffffff}) r2 = socket$inet_udp(0x2, 0x2, 0x0) writev(r1, &(0x7f0000000200)=[{&(0x7f00000000c0)="580000001400ad", 0x7}], 0x1) write$binfmt_elf64(r1, &(0x7f00000006c0)=ANY=[@ANYBLOB="5f454c44065b05007311"], 0xa) r3 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_tx_ring(r3, 0x107, 0xd, &(0x7f00000000c0)=@req3={0x8000, 0x6, 0x8000, 0x6}, 0x1c) close(r2) r4 = socket$netlink(0x10, 0x3, 0x4) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000140), &(0x7f00000003c0)=0xc) write$binfmt_misc(r1, &(0x7f0000000140)=ANY=[], 0x4240a2a0) splice(r0, 0x0, r2, 0x0, 0x4f0a, 0x0) 02:20:50 executing program 4: syz_usb_connect$cdc_ecm(0x0, 0x56, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x2, 0x0, 0x0, 0x8, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x44, 0x1, 0x1, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x3, 0x2, 0x6, 0x0, 0x0, {{0x5}, {0x5}, {0xd}}, {[{}]}}}]}}]}}, 0x0) 02:20:51 executing program 5: bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f00000003c0)={0x11, 0x5, &(0x7f0000000280)=@framed={{}, [@map={0x18, 0x0, 0x1, 0x0, 0xffffffffffffffff, 0x0, 0x7a}]}, &(0x7f00000000c0)='syzkaller\x00', 0x6, 0x93, &(0x7f00000001c0)=""/147, 0x0, 0x0, [], 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) syzkaller login: [ 108.811942][ T8456] IPVS: ftp: loaded support on port[0] = 21 [ 109.049567][ T8458] IPVS: ftp: loaded support on port[0] = 21 [ 109.179881][ T8456] chnl_net:caif_netlink_parms(): no params data found [ 109.268826][ T8460] IPVS: ftp: loaded support on port[0] = 21 [ 109.433915][ T8456] bridge0: port 1(bridge_slave_0) entered blocking state [ 109.468471][ T8456] bridge0: port 1(bridge_slave_0) entered disabled state [ 109.476875][ T8456] device bridge_slave_0 entered promiscuous mode [ 109.523563][ T8458] chnl_net:caif_netlink_parms(): no params data found [ 109.552372][ T8456] bridge0: port 2(bridge_slave_1) entered blocking state [ 109.564082][ T8456] bridge0: port 2(bridge_slave_1) entered disabled state [ 109.573312][ T8456] device bridge_slave_1 entered promiscuous mode [ 109.615739][ T8462] IPVS: ftp: loaded support on port[0] = 21 [ 109.616772][ T8456] bond0: (slave bond_slave_0): Enslaving as an active interface with an up link [ 109.657206][ T8456] bond0: (slave bond_slave_1): Enslaving as an active interface with an up link [ 109.811791][ T8456] team0: Port device team_slave_0 added [ 109.833044][ T8464] IPVS: ftp: loaded support on port[0] = 21 [ 109.838031][ T8456] team0: Port device team_slave_1 added [ 109.864469][ T8456] batman_adv: batadv0: Adding interface: batadv_slave_0 [ 109.872409][ T8456] batman_adv: batadv0: The MTU of interface batadv_slave_0 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 109.899724][ T8456] batman_adv: batadv0: Not using interface batadv_slave_0 (retrying later): interface not active [ 109.917023][ T8456] batman_adv: batadv0: Adding interface: batadv_slave_1 [ 109.924084][ T8456] batman_adv: batadv0: The MTU of interface batadv_slave_1 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 109.950379][ T8456] batman_adv: batadv0: Not using interface batadv_slave_1 (retrying later): interface not active [ 110.032528][ T8458] bridge0: port 1(bridge_slave_0) entered blocking state [ 110.047053][ T8458] bridge0: port 1(bridge_slave_0) entered disabled state [ 110.059133][ T8458] device bridge_slave_0 entered promiscuous mode [ 110.079560][ T8456] device hsr_slave_0 entered promiscuous mode [ 110.092376][ T8456] device hsr_slave_1 entered promiscuous mode [ 110.193742][ T8494] IPVS: ftp: loaded support on port[0] = 21 [ 110.206553][ T8458] bridge0: port 2(bridge_slave_1) entered blocking state [ 110.222749][ T8458] bridge0: port 2(bridge_slave_1) entered disabled state [ 110.233407][ T8458] device bridge_slave_1 entered promiscuous mode [ 110.258964][ T8458] bond0: (slave bond_slave_0): Enslaving as an active interface with an up link [ 110.330838][ T8458] bond0: (slave bond_slave_1): Enslaving as an active interface with an up link [ 110.424203][ T8460] chnl_net:caif_netlink_parms(): no params data found [ 110.446873][ T8462] chnl_net:caif_netlink_parms(): no params data found [ 110.471597][ T8458] team0: Port device team_slave_0 added [ 110.508672][ T8458] team0: Port device team_slave_1 added [ 110.623023][ T8458] batman_adv: batadv0: Adding interface: batadv_slave_0 [ 110.634142][ T8458] batman_adv: batadv0: The MTU of interface batadv_slave_0 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 110.661230][ T8458] batman_adv: batadv0: Not using interface batadv_slave_0 (retrying later): interface not active [ 110.675941][ T8458] batman_adv: batadv0: Adding interface: batadv_slave_1 [ 110.683268][ T8458] batman_adv: batadv0: The MTU of interface batadv_slave_1 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 110.710074][ T8458] batman_adv: batadv0: Not using interface batadv_slave_1 (retrying later): interface not active [ 110.758303][ T4885] Bluetooth: hci0: command 0x0409 tx timeout [ 110.875675][ T8464] chnl_net:caif_netlink_parms(): no params data found [ 110.886227][ T8460] bridge0: port 1(bridge_slave_0) entered blocking state [ 110.893771][ T8460] bridge0: port 1(bridge_slave_0) entered disabled state [ 110.907014][ T8460] device bridge_slave_0 entered promiscuous mode [ 110.919785][ T8460] bridge0: port 2(bridge_slave_1) entered blocking state [ 110.926861][ T8460] bridge0: port 2(bridge_slave_1) entered disabled state [ 110.935800][ T8460] device bridge_slave_1 entered promiscuous mode [ 110.949146][ T8462] bridge0: port 1(bridge_slave_0) entered blocking state [ 110.956205][ T8462] bridge0: port 1(bridge_slave_0) entered disabled state [ 110.964569][ T8462] device bridge_slave_0 entered promiscuous mode [ 111.006293][ T4885] Bluetooth: hci1: command 0x0409 tx timeout [ 111.029647][ T8458] device hsr_slave_0 entered promiscuous mode [ 111.036534][ T8458] device hsr_slave_1 entered promiscuous mode [ 111.044763][ T8458] debugfs: Directory 'hsr0' with parent 'hsr' already present! [ 111.052786][ T8458] Cannot create hsr debugfs directory [ 111.062833][ T8462] bridge0: port 2(bridge_slave_1) entered blocking state [ 111.070286][ T8462] bridge0: port 2(bridge_slave_1) entered disabled state [ 111.082581][ T8462] device bridge_slave_1 entered promiscuous mode [ 111.150925][ T8460] bond0: (slave bond_slave_0): Enslaving as an active interface with an up link [ 111.175014][ T8462] bond0: (slave bond_slave_0): Enslaving as an active interface with an up link [ 111.206664][ T8460] bond0: (slave bond_slave_1): Enslaving as an active interface with an up link [ 111.227664][ T8462] bond0: (slave bond_slave_1): Enslaving as an active interface with an up link [ 111.258099][ T8462] team0: Port device team_slave_0 added [ 111.270514][ T5] Bluetooth: hci2: command 0x0409 tx timeout [ 111.296437][ T8462] team0: Port device team_slave_1 added [ 111.331631][ T8460] team0: Port device team_slave_0 added [ 111.411038][ T8460] team0: Port device team_slave_1 added [ 111.434642][ T8464] bridge0: port 1(bridge_slave_0) entered blocking state [ 111.445193][ T8464] bridge0: port 1(bridge_slave_0) entered disabled state [ 111.459147][ T8464] device bridge_slave_0 entered promiscuous mode [ 111.467615][ T8462] batman_adv: batadv0: Adding interface: batadv_slave_0 [ 111.474579][ T8462] batman_adv: batadv0: The MTU of interface batadv_slave_0 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 111.501806][ T8462] batman_adv: batadv0: Not using interface batadv_slave_0 (retrying later): interface not active [ 111.507873][ T8] Bluetooth: hci3: command 0x0409 tx timeout [ 111.567657][ T8464] bridge0: port 2(bridge_slave_1) entered blocking state [ 111.574775][ T8464] bridge0: port 2(bridge_slave_1) entered disabled state [ 111.583442][ T8464] device bridge_slave_1 entered promiscuous mode [ 111.593150][ T8462] batman_adv: batadv0: Adding interface: batadv_slave_1 [ 111.603339][ T8462] batman_adv: batadv0: The MTU of interface batadv_slave_1 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 111.630282][ T8462] batman_adv: batadv0: Not using interface batadv_slave_1 (retrying later): interface not active [ 111.645496][ T8460] batman_adv: batadv0: Adding interface: batadv_slave_0 [ 111.653653][ T8460] batman_adv: batadv0: The MTU of interface batadv_slave_0 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 111.680129][ T8460] batman_adv: batadv0: Not using interface batadv_slave_0 (retrying later): interface not active [ 111.694279][ T8456] netdevsim netdevsim0 netdevsim0: renamed from eth0 [ 111.717573][ T4885] Bluetooth: hci4: command 0x0409 tx timeout [ 111.746161][ T8494] chnl_net:caif_netlink_parms(): no params data found [ 111.756716][ T8460] batman_adv: batadv0: Adding interface: batadv_slave_1 [ 111.765332][ T8460] batman_adv: batadv0: The MTU of interface batadv_slave_1 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 111.791638][ T8460] batman_adv: batadv0: Not using interface batadv_slave_1 (retrying later): interface not active [ 111.814977][ T8456] netdevsim netdevsim0 netdevsim1: renamed from eth1 [ 111.849237][ T8462] device hsr_slave_0 entered promiscuous mode [ 111.856740][ T8462] device hsr_slave_1 entered promiscuous mode [ 111.865076][ T8462] debugfs: Directory 'hsr0' with parent 'hsr' already present! [ 111.873085][ T8462] Cannot create hsr debugfs directory [ 111.893721][ T8456] netdevsim netdevsim0 netdevsim2: renamed from eth2 [ 111.906163][ T8456] netdevsim netdevsim0 netdevsim3: renamed from eth3 [ 111.936236][ T8464] bond0: (slave bond_slave_0): Enslaving as an active interface with an up link [ 111.950477][ T8464] bond0: (slave bond_slave_1): Enslaving as an active interface with an up link [ 111.976306][ T8460] device hsr_slave_0 entered promiscuous mode [ 111.985575][ T8460] device hsr_slave_1 entered promiscuous mode [ 111.994718][ T8460] debugfs: Directory 'hsr0' with parent 'hsr' already present! [ 112.002478][ T8460] Cannot create hsr debugfs directory [ 112.065389][ T8464] team0: Port device team_slave_0 added [ 112.118357][ T20] Bluetooth: hci5: command 0x0409 tx timeout [ 112.130019][ T8464] team0: Port device team_slave_1 added [ 112.216306][ T8494] bridge0: port 1(bridge_slave_0) entered blocking state [ 112.224125][ T8494] bridge0: port 1(bridge_slave_0) entered disabled state [ 112.233870][ T8494] device bridge_slave_0 entered promiscuous mode [ 112.265364][ T8464] batman_adv: batadv0: Adding interface: batadv_slave_0 [ 112.274250][ T8464] batman_adv: batadv0: The MTU of interface batadv_slave_0 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 112.301395][ T8464] batman_adv: batadv0: Not using interface batadv_slave_0 (retrying later): interface not active [ 112.319735][ T8494] bridge0: port 2(bridge_slave_1) entered blocking state [ 112.326821][ T8494] bridge0: port 2(bridge_slave_1) entered disabled state [ 112.336407][ T8494] device bridge_slave_1 entered promiscuous mode [ 112.363862][ T8464] batman_adv: batadv0: Adding interface: batadv_slave_1 [ 112.371217][ T8464] batman_adv: batadv0: The MTU of interface batadv_slave_1 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 112.400816][ T8464] batman_adv: batadv0: Not using interface batadv_slave_1 (retrying later): interface not active [ 112.430813][ T8458] netdevsim netdevsim1 netdevsim0: renamed from eth0 [ 112.528359][ T8458] netdevsim netdevsim1 netdevsim1: renamed from eth1 [ 112.543453][ T8458] netdevsim netdevsim1 netdevsim2: renamed from eth2 [ 112.580357][ T8494] bond0: (slave bond_slave_0): Enslaving as an active interface with an up link [ 112.590085][ T8458] netdevsim netdevsim1 netdevsim3: renamed from eth3 [ 112.616670][ T8464] device hsr_slave_0 entered promiscuous mode [ 112.624283][ T8464] device hsr_slave_1 entered promiscuous mode [ 112.632684][ T8464] debugfs: Directory 'hsr0' with parent 'hsr' already present! [ 112.641247][ T8464] Cannot create hsr debugfs directory [ 112.649668][ T8494] bond0: (slave bond_slave_1): Enslaving as an active interface with an up link [ 112.734827][ T8494] team0: Port device team_slave_0 added [ 112.776671][ T8494] team0: Port device team_slave_1 added [ 112.821476][ T8462] netdevsim netdevsim3 netdevsim0: renamed from eth0 [ 112.841673][ T4885] Bluetooth: hci0: command 0x041b tx timeout [ 112.861668][ T8494] batman_adv: batadv0: Adding interface: batadv_slave_0 [ 112.872307][ T8494] batman_adv: batadv0: The MTU of interface batadv_slave_0 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 112.899792][ T8494] batman_adv: batadv0: Not using interface batadv_slave_0 (retrying later): interface not active [ 112.912282][ T8462] netdevsim netdevsim3 netdevsim1: renamed from eth1 [ 112.925584][ T8462] netdevsim netdevsim3 netdevsim2: renamed from eth2 [ 112.944699][ T8494] batman_adv: batadv0: Adding interface: batadv_slave_1 [ 112.952574][ T8494] batman_adv: batadv0: The MTU of interface batadv_slave_1 is too small (1500) to handle the transport of batman-adv packets. Packets going over this interface will be fragmented on layer2 which could impact the performance. Setting the MTU to 1560 would solve the problem. [ 112.979917][ T8494] batman_adv: batadv0: Not using interface batadv_slave_1 (retrying later): interface not active [ 113.002562][ T8456] 8021q: adding VLAN 0 to HW filter on device bond0 [ 113.013450][ T8462] netdevsim netdevsim3 netdevsim3: renamed from eth3 [ 113.065763][ T8494] device hsr_slave_0 entered promiscuous mode [ 113.073463][ T8494] device hsr_slave_1 entered promiscuous mode [ 113.079903][ T20] Bluetooth: hci1: command 0x041b tx timeout [ 113.086707][ T8494] debugfs: Directory 'hsr0' with parent 'hsr' already present! [ 113.094691][ T8494] Cannot create hsr debugfs directory [ 113.172270][ T8460] netdevsim netdevsim2 netdevsim0: renamed from eth0 [ 113.193440][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): veth1: link becomes ready [ 113.203696][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): veth0: link becomes ready [ 113.224976][ T8460] netdevsim netdevsim2 netdevsim1: renamed from eth1 [ 113.246294][ T8456] 8021q: adding VLAN 0 to HW filter on device team0 [ 113.276908][ T8460] netdevsim netdevsim2 netdevsim2: renamed from eth2 [ 113.304796][ T8460] netdevsim netdevsim2 netdevsim3: renamed from eth3 [ 113.329386][ T35] Bluetooth: hci2: command 0x041b tx timeout [ 113.359885][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bridge: link becomes ready [ 113.370233][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_0: link becomes ready [ 113.380305][ T35] bridge0: port 1(bridge_slave_0) entered blocking state [ 113.387686][ T35] bridge0: port 1(bridge_slave_0) entered forwarding state [ 113.398363][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bridge: link becomes ready [ 113.407071][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_1: link becomes ready [ 113.415935][ T35] bridge0: port 2(bridge_slave_1) entered blocking state [ 113.423190][ T35] bridge0: port 2(bridge_slave_1) entered forwarding state [ 113.469333][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): bridge0: link becomes ready [ 113.482162][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bond: link becomes ready [ 113.495484][ T8458] 8021q: adding VLAN 0 to HW filter on device bond0 [ 113.566745][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth1: link becomes ready [ 113.576720][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth0: link becomes ready [ 113.586606][ T35] Bluetooth: hci3: command 0x041b tx timeout [ 113.615858][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bond: link becomes ready [ 113.638684][ T8458] 8021q: adding VLAN 0 to HW filter on device team0 [ 113.707390][ T8464] netdevsim netdevsim4 netdevsim0: renamed from eth0 [ 113.726021][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bridge: link becomes ready [ 113.735292][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_0: link becomes ready [ 113.749443][ T35] bridge0: port 1(bridge_slave_0) entered blocking state [ 113.756511][ T35] bridge0: port 1(bridge_slave_0) entered forwarding state [ 113.768843][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_team: link becomes ready [ 113.777982][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_0: link becomes ready [ 113.786326][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_team: link becomes ready [ 113.795647][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_1: link becomes ready [ 113.818577][ T20] Bluetooth: hci4: command 0x041b tx timeout [ 113.825559][ T8464] netdevsim netdevsim4 netdevsim1: renamed from eth1 [ 113.848365][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): bridge0: link becomes ready [ 113.856373][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): team0: link becomes ready [ 113.864934][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bridge: link becomes ready [ 113.874613][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_1: link becomes ready [ 113.884536][ T35] bridge0: port 2(bridge_slave_1) entered blocking state [ 113.891693][ T35] bridge0: port 2(bridge_slave_1) entered forwarding state [ 113.900358][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bond: link becomes ready [ 113.909395][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bond: link becomes ready [ 113.926614][ T8462] 8021q: adding VLAN 0 to HW filter on device bond0 [ 113.939735][ T8494] netdevsim netdevsim5 netdevsim0: renamed from eth0 [ 113.956090][ T8464] netdevsim netdevsim4 netdevsim2: renamed from eth2 [ 113.974323][ T8464] netdevsim netdevsim4 netdevsim3: renamed from eth3 [ 113.985071][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_hsr: link becomes ready [ 113.994423][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_0: link becomes ready [ 114.003238][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_team: link becomes ready [ 114.012528][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_0: link becomes ready [ 114.021678][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_team: link becomes ready [ 114.030810][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_1: link becomes ready [ 114.053508][ T8456] hsr0: Slave B (hsr_slave_1) is not up; please bring it up to get a fully working HSR network [ 114.068974][ T8456] IPv6: ADDRCONF(NETDEV_CHANGE): hsr0: link becomes ready [ 114.076682][ T8494] netdevsim netdevsim5 netdevsim1: renamed from eth1 [ 114.096348][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): team0: link becomes ready [ 114.105444][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_hsr: link becomes ready [ 114.114084][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_1: link becomes ready [ 114.140223][ T8462] 8021q: adding VLAN 0 to HW filter on device team0 [ 114.147102][ T8494] netdevsim netdevsim5 netdevsim2: renamed from eth2 [ 114.156835][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): veth1: link becomes ready [ 114.165961][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): veth0: link becomes ready [ 114.184541][ T8494] netdevsim netdevsim5 netdevsim3: renamed from eth3 [ 114.197521][ T20] Bluetooth: hci5: command 0x041b tx timeout [ 114.208932][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_hsr: link becomes ready [ 114.218158][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_0: link becomes ready [ 114.234623][ T8460] 8021q: adding VLAN 0 to HW filter on device bond0 [ 114.247584][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bridge: link becomes ready [ 114.256256][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_0: link becomes ready [ 114.272391][ T5] bridge0: port 1(bridge_slave_0) entered blocking state [ 114.279546][ T5] bridge0: port 1(bridge_slave_0) entered forwarding state [ 114.312153][ T8458] hsr0: Slave B (hsr_slave_1) is not up; please bring it up to get a fully working HSR network [ 114.324789][ T8458] IPv6: ADDRCONF(NETDEV_CHANGE): hsr0: link becomes ready [ 114.343905][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): bridge0: link becomes ready [ 114.352680][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan1: link becomes ready [ 114.361143][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan0: link becomes ready [ 114.370679][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_hsr: link becomes ready [ 114.380274][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_1: link becomes ready [ 114.389037][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bridge: link becomes ready [ 114.398146][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_1: link becomes ready [ 114.408352][ T8905] bridge0: port 2(bridge_slave_1) entered blocking state [ 114.415461][ T8905] bridge0: port 2(bridge_slave_1) entered forwarding state [ 114.448555][ T8456] 8021q: adding VLAN 0 to HW filter on device batadv0 [ 114.477891][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth1: link becomes ready [ 114.485734][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth0: link becomes ready [ 114.527709][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bond: link becomes ready [ 114.536540][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bond: link becomes ready [ 114.561326][ T8460] 8021q: adding VLAN 0 to HW filter on device team0 [ 114.609880][ T8462] hsr0: Slave A (hsr_slave_0) is not up; please bring it up to get a fully working HSR network [ 114.620417][ T8462] hsr0: Slave B (hsr_slave_1) is not up; please bring it up to get a fully working HSR network [ 114.634721][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bridge: link becomes ready [ 114.643857][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_0: link becomes ready [ 114.652712][ T4885] bridge0: port 1(bridge_slave_0) entered blocking state [ 114.659826][ T4885] bridge0: port 1(bridge_slave_0) entered forwarding state [ 114.670084][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bridge: link becomes ready [ 114.679870][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_1: link becomes ready [ 114.688751][ T4885] bridge0: port 2(bridge_slave_1) entered blocking state [ 114.695812][ T4885] bridge0: port 2(bridge_slave_1) entered forwarding state [ 114.704035][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bond: link becomes ready [ 114.714034][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_team: link becomes ready [ 114.723299][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_0: link becomes ready [ 114.732466][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_team: link becomes ready [ 114.742536][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_1: link becomes ready [ 114.751470][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_hsr: link becomes ready [ 114.760478][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_0: link becomes ready [ 114.769899][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_hsr: link becomes ready [ 114.778664][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_1: link becomes ready [ 114.787707][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): bridge0: link becomes ready [ 114.795764][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): team0: link becomes ready [ 114.804568][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): hsr0: link becomes ready [ 114.830738][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bond: link becomes ready [ 114.841493][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_team: link becomes ready [ 114.851465][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_0: link becomes ready [ 114.869183][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): team0: link becomes ready [ 114.877136][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan1: link becomes ready [ 114.885945][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan0: link becomes ready [ 114.913836][ T8460] hsr0: Slave A (hsr_slave_0) is not up; please bring it up to get a fully working HSR network [ 114.924570][ T20] Bluetooth: hci0: command 0x040f tx timeout [ 114.924700][ T8460] hsr0: Slave B (hsr_slave_1) is not up; please bring it up to get a fully working HSR network [ 114.950652][ T8458] 8021q: adding VLAN 0 to HW filter on device batadv0 [ 114.987580][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_team: link becomes ready [ 114.996162][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_1: link becomes ready [ 115.007058][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_hsr: link becomes ready [ 115.024363][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_0: link becomes ready [ 115.033397][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_hsr: link becomes ready [ 115.042142][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_1: link becomes ready [ 115.051086][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_virt_wifi: link becomes ready [ 115.060151][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_virt_wifi: link becomes ready [ 115.069700][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): hsr0: link becomes ready [ 115.141024][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan1: link becomes ready [ 115.148907][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan0: link becomes ready [ 115.163893][ T8462] 8021q: adding VLAN 0 to HW filter on device batadv0 [ 115.192168][ T35] Bluetooth: hci1: command 0x040f tx timeout [ 115.200455][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_virt_wifi: link becomes ready [ 115.217518][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_virt_wifi: link becomes ready [ 115.226224][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_vlan: link becomes ready [ 115.244344][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_vlan: link becomes ready [ 115.283662][ T8456] device veth0_vlan entered promiscuous mode [ 115.319450][ T8494] 8021q: adding VLAN 0 to HW filter on device bond0 [ 115.334076][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): vlan0: link becomes ready [ 115.342824][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): vlan1: link becomes ready [ 115.351232][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan1: link becomes ready [ 115.360417][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan0: link becomes ready [ 115.376130][ T8458] device veth0_vlan entered promiscuous mode [ 115.389554][ T8464] 8021q: adding VLAN 0 to HW filter on device bond0 [ 115.397945][ T35] Bluetooth: hci2: command 0x040f tx timeout [ 115.404980][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_vlan: link becomes ready [ 115.415668][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_vlan: link becomes ready [ 115.426061][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): vlan0: link becomes ready [ 115.434159][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): vlan1: link becomes ready [ 115.454562][ T8458] device veth1_vlan entered promiscuous mode [ 115.463931][ T8456] device veth1_vlan entered promiscuous mode [ 115.475682][ T8460] 8021q: adding VLAN 0 to HW filter on device batadv0 [ 115.498998][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): macvlan0: link becomes ready [ 115.508097][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): macvlan0: link becomes ready [ 115.516006][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth1: link becomes ready [ 115.524189][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth0: link becomes ready [ 115.568109][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): macvlan1: link becomes ready [ 115.576234][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): macvlan1: link becomes ready [ 115.585869][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth1: link becomes ready [ 115.594572][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth0: link becomes ready [ 115.610379][ T8464] 8021q: adding VLAN 0 to HW filter on device team0 [ 115.629649][ T8494] 8021q: adding VLAN 0 to HW filter on device team0 [ 115.640216][ T20] Bluetooth: hci3: command 0x040f tx timeout [ 115.666966][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bridge: link becomes ready [ 115.676230][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_0: link becomes ready [ 115.684875][ T35] bridge0: port 1(bridge_slave_0) entered blocking state [ 115.692062][ T35] bridge0: port 1(bridge_slave_0) entered forwarding state [ 115.700205][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bridge: link becomes ready [ 115.708988][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_1: link becomes ready [ 115.718330][ T35] bridge0: port 2(bridge_slave_1) entered blocking state [ 115.725523][ T35] bridge0: port 2(bridge_slave_1) entered forwarding state [ 115.734899][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_virt_wifi: link becomes ready [ 115.744371][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_virt_wifi: link becomes ready [ 115.753699][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_macvtap: link becomes ready [ 115.762939][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_macvtap: link becomes ready [ 115.811079][ T8456] device veth0_macvtap entered promiscuous mode [ 115.820288][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): bridge0: link becomes ready [ 115.830357][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): macvtap0: link becomes ready [ 115.840211][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_virt_wifi: link becomes ready [ 115.849190][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_virt_wifi: link becomes ready [ 115.858759][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bond: link becomes ready [ 115.867772][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bond: link becomes ready [ 115.876280][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_team: link becomes ready [ 115.885496][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_0: link becomes ready [ 115.894418][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bridge: link becomes ready [ 115.903266][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_0: link becomes ready [ 115.912153][ T20] bridge0: port 1(bridge_slave_0) entered blocking state [ 115.919411][ T20] bridge0: port 1(bridge_slave_0) entered forwarding state [ 115.935916][ T8458] device veth0_macvtap entered promiscuous mode [ 115.942852][ T35] Bluetooth: hci4: command 0x040f tx timeout [ 115.965737][ T8458] device veth1_macvtap entered promiscuous mode [ 115.985434][ T8456] device veth1_macvtap entered promiscuous mode [ 116.000726][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): macsec0: link becomes ready [ 116.009136][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): team0: link becomes ready [ 116.016854][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): bridge0: link becomes ready [ 116.025026][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_macvtap: link becomes ready [ 116.034009][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_macvtap: link becomes ready [ 116.044283][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): macsec0: link becomes ready [ 116.053515][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_team: link becomes ready [ 116.062703][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_1: link becomes ready [ 116.071819][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bridge: link becomes ready [ 116.081339][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): bridge_slave_1: link becomes ready [ 116.090610][ T35] bridge0: port 2(bridge_slave_1) entered blocking state [ 116.097772][ T35] bridge0: port 2(bridge_slave_1) entered forwarding state [ 116.105338][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_bond: link becomes ready [ 116.114316][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_vlan: link becomes ready [ 116.123112][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_vlan: link becomes ready [ 116.131669][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_hsr: link becomes ready [ 116.140421][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_0: link becomes ready [ 116.149166][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): macvtap0: link becomes ready [ 116.157743][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): vlan0: link becomes ready [ 116.165643][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): vlan1: link becomes ready [ 116.176028][ T8460] device veth0_vlan entered promiscuous mode [ 116.193032][ T8462] device veth0_vlan entered promiscuous mode [ 116.225040][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_vlan: link becomes ready [ 116.233789][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_vlan: link becomes ready [ 116.243880][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_bond: link becomes ready [ 116.253045][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_team: link becomes ready [ 116.261815][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_0: link becomes ready [ 116.270974][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): vlan0: link becomes ready [ 116.280555][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): vlan1: link becomes ready [ 116.288708][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): team0: link becomes ready [ 116.296458][ T4885] Bluetooth: hci5: command 0x040f tx timeout [ 116.306424][ T8462] device veth1_vlan entered promiscuous mode [ 116.334995][ T8456] batman_adv: batadv0: Interface activated: batadv_slave_0 [ 116.358939][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): macvlan0: link becomes ready [ 116.367078][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_hsr: link becomes ready [ 116.376073][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_1: link becomes ready [ 116.388756][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_team: link becomes ready [ 116.398449][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): team_slave_1: link becomes ready [ 116.406798][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_0: link becomes ready [ 116.415735][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_batadv: link becomes ready [ 116.431355][ T8458] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3d) already exists on: batadv_slave_0 [ 116.445373][ T8458] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 116.458100][ T8458] batman_adv: batadv0: Interface activated: batadv_slave_0 [ 116.466133][ T8460] device veth1_vlan entered promiscuous mode [ 116.478500][ T8464] IPv6: ADDRCONF(NETDEV_CHANGE): hsr0: link becomes ready [ 116.495494][ T8456] batman_adv: batadv0: Interface activated: batadv_slave_1 [ 116.509060][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): macvlan0: link becomes ready [ 116.518530][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): macvlan1: link becomes ready [ 116.526647][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): macvlan1: link becomes ready [ 116.536715][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_0: link becomes ready [ 116.545773][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_batadv: link becomes ready [ 116.555097][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_hsr: link becomes ready [ 116.564113][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_0: link becomes ready [ 116.573628][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_1: link becomes ready [ 116.583475][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_batadv: link becomes ready [ 116.609430][ T8458] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_1 [ 116.620846][ T8458] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 116.633143][ T8458] batman_adv: batadv0: Interface activated: batadv_slave_1 [ 116.659151][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_hsr: link becomes ready [ 116.669772][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): hsr_slave_1: link becomes ready [ 116.681292][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_1: link becomes ready [ 116.692872][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_batadv: link becomes ready [ 116.711091][ T8456] netdevsim netdevsim0 netdevsim0: set [1, 0] type 2 family 0 port 6081 - 0 [ 116.720007][ T8456] netdevsim netdevsim0 netdevsim1: set [1, 0] type 2 family 0 port 6081 - 0 [ 116.729044][ T8456] netdevsim netdevsim0 netdevsim2: set [1, 0] type 2 family 0 port 6081 - 0 [ 116.737894][ T8456] netdevsim netdevsim0 netdevsim3: set [1, 0] type 2 family 0 port 6081 - 0 [ 116.758458][ T8458] netdevsim netdevsim1 netdevsim0: set [1, 0] type 2 family 0 port 6081 - 0 [ 116.767627][ T8458] netdevsim netdevsim1 netdevsim1: set [1, 0] type 2 family 0 port 6081 - 0 [ 116.776359][ T8458] netdevsim netdevsim1 netdevsim2: set [1, 0] type 2 family 0 port 6081 - 0 [ 116.796843][ T8458] netdevsim netdevsim1 netdevsim3: set [1, 0] type 2 family 0 port 6081 - 0 [ 116.811806][ T8494] IPv6: ADDRCONF(NETDEV_CHANGE): hsr0: link becomes ready [ 116.852453][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan1: link becomes ready [ 116.862041][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan0: link becomes ready [ 116.870770][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_macvtap: link becomes ready [ 116.879809][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_macvtap: link becomes ready [ 116.888870][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_macvtap: link becomes ready [ 116.897657][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_macvtap: link becomes ready [ 116.940524][ T8464] 8021q: adding VLAN 0 to HW filter on device batadv0 [ 116.951973][ T8460] device veth0_macvtap entered promiscuous mode [ 116.995800][ T8462] device veth0_macvtap entered promiscuous mode [ 117.008883][ T20] Bluetooth: hci0: command 0x0419 tx timeout [ 117.052105][ T8460] device veth1_macvtap entered promiscuous mode [ 117.143674][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): macvtap0: link becomes ready [ 117.172436][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): macvtap0: link becomes ready [ 117.192923][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): macsec0: link becomes ready [ 117.202072][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan1: link becomes ready [ 117.227792][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): vxcan0: link becomes ready [ 117.238168][ T20] Bluetooth: hci1: command 0x0419 tx timeout [ 117.248555][ T8462] device veth1_macvtap entered promiscuous mode [ 117.274246][ T8460] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3d) already exists on: batadv_slave_0 [ 117.307246][ T8460] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 117.317077][ T8460] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3d) already exists on: batadv_slave_0 [ 117.344337][ T8460] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 117.356835][ T8460] batman_adv: batadv0: Interface activated: batadv_slave_0 [ 117.387818][ T3399] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 117.395850][ T3399] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 117.433061][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): macsec0: link becomes ready [ 117.442535][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_0: link becomes ready [ 117.466876][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_batadv: link becomes ready [ 117.476843][ T20] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready [ 117.497140][ T8462] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3d) already exists on: batadv_slave_0 [ 117.508074][ T8462] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 117.518563][ T4885] Bluetooth: hci2: command 0x0419 tx timeout [ 117.528934][ T8462] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3d) already exists on: batadv_slave_0 [ 117.540362][ T8462] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 117.550830][ T8462] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3d) already exists on: batadv_slave_0 [ 117.561958][ T8462] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 117.573446][ T8462] batman_adv: batadv0: Interface activated: batadv_slave_0 [ 117.584371][ T8460] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_1 [ 117.595911][ T8460] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 117.606125][ T8460] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_1 [ 117.616949][ T8460] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 117.628803][ T8460] batman_adv: batadv0: Interface activated: batadv_slave_1 [ 117.645337][ T8460] netdevsim netdevsim2 netdevsim0: set [1, 0] type 2 family 0 port 6081 - 0 [ 117.654225][ T8460] netdevsim netdevsim2 netdevsim1: set [1, 0] type 2 family 0 port 6081 - 0 [ 117.693868][ T8460] netdevsim netdevsim2 netdevsim2: set [1, 0] type 2 family 0 port 6081 - 0 [ 117.713332][ T8460] netdevsim netdevsim2 netdevsim3: set [1, 0] type 2 family 0 port 6081 - 0 [ 117.717854][ T9727] Bluetooth: hci3: command 0x0419 tx timeout [ 117.748119][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_0: link becomes ready [ 117.756843][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_batadv: link becomes ready [ 117.766682][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_1: link becomes ready [ 117.776182][ T4885] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_batadv: link becomes ready [ 117.785344][ T8462] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_1 [ 117.796622][ T8462] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 117.807062][ T8462] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_1 [ 117.817962][ T8462] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 117.827858][ T8462] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_1 [ 117.838337][ T8462] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 117.850125][ T8462] batman_adv: batadv0: Interface activated: batadv_slave_1 [ 117.860308][ T8494] 8021q: adding VLAN 0 to HW filter on device batadv0 [ 117.900745][ T3399] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 117.933267][ T9727] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_1: link becomes ready [ 117.951233][ T3399] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 117.956476][ T9727] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_batadv: link becomes ready [ 117.988704][ T9727] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready [ 118.000803][ T8462] netdevsim netdevsim3 netdevsim0: set [1, 0] type 2 family 0 port 6081 - 0 [ 118.009793][ T8462] netdevsim netdevsim3 netdevsim1: set [1, 0] type 2 family 0 port 6081 - 0 [ 118.019005][ T9805] Bluetooth: hci4: command 0x0419 tx timeout [ 118.027733][ T8462] netdevsim netdevsim3 netdevsim2: set [1, 0] type 2 family 0 port 6081 - 0 [ 118.036519][ T8462] netdevsim netdevsim3 netdevsim3: set [1, 0] type 2 family 0 port 6081 - 0 [ 118.083009][ T9805] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_virt_wifi: link becomes ready [ 118.094378][ T9805] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_virt_wifi: link becomes ready [ 118.133778][ T56] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 118.147306][ T56] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 118.190816][ T3399] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 118.215511][ T3399] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 118.223581][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready [ 118.238006][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_vlan: link becomes ready [ 118.249533][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_vlan: link becomes ready [ 118.281501][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready [ 118.298225][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): vlan0: link becomes ready [ 118.306267][ T8905] IPv6: ADDRCONF(NETDEV_CHANGE): vlan1: link becomes ready [ 118.333962][ T8464] device veth0_vlan entered promiscuous mode [ 118.358362][ T4885] Bluetooth: hci5: command 0x0419 tx timeout [ 118.378090][ T3399] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 118.391094][ T3399] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 118.425167][ T8464] device veth1_vlan entered promiscuous mode [ 118.448450][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): macvlan0: link becomes ready [ 118.465723][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready [ 118.497740][ T108] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 118.505733][ T108] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 118.543327][ T9764] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready [ 118.554910][ T9764] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_virt_wifi: link becomes ready [ 118.578299][ T9764] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_virt_wifi: link becomes ready [ 118.645367][ T56] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 118.658094][ T9845] netlink: 'syz-executor.1': attribute type 3 has an invalid length. [ 118.684434][ T56] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 118.705539][ T8494] device veth0_vlan entered promiscuous mode 02:21:01 executing program 0: r0 = syz_open_dev$tty1(0xc, 0x4, 0x1) write$binfmt_elf64(r0, &(0x7f0000000100)={{0x1b, 0x5b, 0x39, 0x3b, 0x0, 0x0, 0x0, 0x0, 0x0, 0xa5d, 0x0, 0x0, 0x0, 0x40}}, 0x40) [ 118.748819][ T9764] IPv6: ADDRCONF(NETDEV_CHANGE): macvlan1: link becomes ready [ 118.757085][ T9764] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready 02:21:01 executing program 1: r0 = fcntl$dupfd(0xffffffffffffffff, 0x0, 0xffffffffffffffff) ioctl$EVIOCGABS2F(r0, 0x8018456f, &(0x7f0000000040)=""/58) prctl$PR_SET_SECCOMP(0x16, 0x2, &(0x7f0000000000)={0x1, &(0x7f0000000180)=[{0x200000000006, 0x0, 0x0, 0x7ffc0001}]}) openat$rtc(0xffffffffffffff9c, 0x0, 0x0, 0x0) perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x41c1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) syz_mount_image$squashfs(&(0x7f0000000000)='squashfs\x00', &(0x7f0000000100)='./file0\x00', 0x1000, 0x1, &(0x7f0000000200)=[{&(0x7f0000010000)="6873717307000000911d675f001000000000000001000c00d00002000400000026010000000000000602000000000000b501000000000000ee0100000000000099000000000000003e010000000000008501000000000000a30100000000000078da2baeacca4eccc9492d2aa61d030085492b1f78da2baeacca4eccc9492d2a1e658c324619a30c1803005565c4a273797a6b616c6c657273a30078da636278cbc8c0c0c83051363d1ec8604800e2ff4000a41852805804889990d43003f925486aa4581818a4813433c37fb81aa01003c82c3520d62fc92dd02faeacd2cdcc4d4c4f4d4fcd3331b434373733b634d24fcbcc493580908c48363031400088d604627620e644926705f2fba16ab81850012392cba0f28cc87ad9806233a1721acaa87a9990f4229b0131f700dc0c76a818282442807ee400d2008b6a3509450078da63648000662056016226061686b4cc9c54030f0646a0208463c80255c508a5991838c0127ac9f939296d40614698b6654006cc0cc3470cac708e1132c71800421f11fb1c0078da636080803628ad02a53da0f43228fd084aab3142680046df02a2850100000000000008805cf90100535f0100ab010000000000001d0078da63606063a8482c29293264636080b21860624670312300b5bc09ab108000000000000000000200000024000000bd0100000000000001", 0x1f7}], 0x0, &(0x7f0000010200)) [ 118.792418][ T9764] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_macvtap: link becomes ready [ 118.821218][ T9764] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_macvtap: link becomes ready [ 118.835532][ T9764] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_vlan: link becomes ready [ 118.845762][ T9764] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_vlan: link becomes ready [ 118.862204][ T8464] device veth0_macvtap entered promiscuous mode [ 118.885161][ T8494] device veth1_vlan entered promiscuous mode 02:21:01 executing program 0: r0 = syz_open_dev$tty1(0xc, 0x4, 0x1) write$binfmt_elf64(r0, &(0x7f0000000100)={{0x1b, 0x5b, 0x39, 0x3b, 0x0, 0x0, 0x0, 0x0, 0x0, 0xa5d, 0x0, 0x0, 0x0, 0x40}}, 0x40) [ 118.901261][ T56] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 118.912799][ T56] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 118.933063][ T8464] device veth1_macvtap entered promiscuous mode [ 118.943980][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): macvtap0: link becomes ready [ 118.960176][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): vlan0: link becomes ready [ 118.969427][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): vlan1: link becomes ready [ 118.978529][ T5] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready [ 119.100305][ T8464] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3d) already exists on: batadv_slave_0 [ 119.149931][ T8464] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 119.176597][ T8464] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3d) already exists on: batadv_slave_0 02:21:01 executing program 0: r0 = syz_open_dev$tty1(0xc, 0x4, 0x1) write$binfmt_elf64(r0, &(0x7f0000000100)={{0x1b, 0x5b, 0x39, 0x3b, 0x0, 0x0, 0x0, 0x0, 0x0, 0xa5d, 0x0, 0x0, 0x0, 0x40}}, 0x40) [ 119.201004][ T8464] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 119.227972][ T9869] loop1: detected capacity change from 8 to 0 [ 119.244508][ T8464] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3d) already exists on: batadv_slave_0 [ 119.262915][ T36] audit: type=1326 audit(1610936461.627:2): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9862 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=228 compat=0 ip=0x46107a code=0x7ffc0000 [ 119.283116][ T8464] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 119.314584][ T8464] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3d) already exists on: batadv_slave_0 [ 119.334218][ T36] audit: type=1326 audit(1610936461.667:3): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9862 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=202 compat=0 ip=0x45e219 code=0x7ffc0000 [ 119.377899][ T8464] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 119.437228][ C1] hrtimer: interrupt took 75781 ns [ 119.476971][ T8464] batman_adv: batadv0: Interface activated: batadv_slave_0 [ 119.491907][ T36] audit: type=1326 audit(1610936461.667:4): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9862 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=202 compat=0 ip=0x45e219 code=0x7ffc0000 02:21:01 executing program 1: r0 = fcntl$dupfd(0xffffffffffffffff, 0x0, 0xffffffffffffffff) ioctl$EVIOCGABS2F(r0, 0x8018456f, &(0x7f0000000040)=""/58) prctl$PR_SET_SECCOMP(0x16, 0x2, &(0x7f0000000000)={0x1, &(0x7f0000000180)=[{0x200000000006, 0x0, 0x0, 0x7ffc0001}]}) openat$rtc(0xffffffffffffff9c, 0x0, 0x0, 0x0) perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x41c1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) syz_mount_image$squashfs(&(0x7f0000000000)='squashfs\x00', &(0x7f0000000100)='./file0\x00', 0x1000, 0x1, &(0x7f0000000200)=[{&(0x7f0000010000)="6873717307000000911d675f001000000000000001000c00d00002000400000026010000000000000602000000000000b501000000000000ee0100000000000099000000000000003e010000000000008501000000000000a30100000000000078da2baeacca4eccc9492d2aa61d030085492b1f78da2baeacca4eccc9492d2a1e658c324619a30c1803005565c4a273797a6b616c6c657273a30078da636278cbc8c0c0c83051363d1ec8604800e2ff4000a41852805804889990d43003f925486aa4581818a4813433c37fb81aa01003c82c3520d62fc92dd02faeacd2cdcc4d4c4f4d4fcd3331b434373733b634d24fcbcc493580908c48363031400088d604627620e644926705f2fba16ab81850012392cba0f28cc87ad9806233a1721acaa87a9990f4229b0131f700dc0c76a818282442807ee400d2008b6a3509450078da63648000662056016226061686b4cc9c54030f0646a0208463c80255c508a5991838c0127ac9f939296d40614698b6654006cc0cc3470cac708e1132c71800421f11fb1c0078da636080803628ad02a53da0f43228fd084aab3142680046df02a2850100000000000008805cf90100535f0100ab010000000000001d0078da63606063a8482c29293264636080b21860624670312300b5bc09ab108000000000000000000200000024000000bd0100000000000001", 0x1f7}], 0x0, &(0x7f0000010200)) [ 119.561385][ T9727] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_0: link becomes ready [ 119.579684][ T9727] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_batadv: link becomes ready 02:21:02 executing program 0: r0 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r0, &(0x7f0000000080)={0xa, 0x4e22}, 0x1c) listen(r0, 0x0) syz_emit_ethernet(0x36, &(0x7f00000001c0)={@local, @dev, @void, {@ipv4={0x800, @tcp={{0x5, 0x4, 0x0, 0x0, 0x28, 0x0, 0x0, 0x0, 0x6, 0x0, @empty, @empty}, {{0x0, 0x4e22, 0x41424344, 0x41424344, 0x0, 0x0, 0x5, 0x2}}}}}}, 0x0) [ 119.633753][ T36] audit: type=1326 audit(1610936461.797:5): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9862 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=228 compat=0 ip=0x46107a code=0x7ffc0000 [ 119.675744][ T8464] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_1 [ 119.702735][ T8464] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! 02:21:02 executing program 2: perf_event_open(&(0x7f0000000100)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3c3f, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r0 = bpf$PROG_LOAD(0x5, &(0x7f0000000200)={0xc, 0xe, &(0x7f0000000880)=ANY=[@ANYBLOB="b702000007000000bfa30000000000000703000000feffff7a0af0fff8ffffff79a4f0ff00000000b7060000ffffffff2d6405000000000065040400010000000404000001007d60b7030000000000006a0a00fe00000000850000000d000000b70000000000000095000000000000002c3f3dc2b7954244cef7baf48e6d2885a09a87507e66262d39c75ebf4e43bc0609b199b6d9ddc1cfb437648368f5ed90e0ebcdac5f7a860c00269c781f6428457253e89ad528d985636a86ec0f60f5a6d1159a2c2e85d726859a919cc9548a349980d1ccdce27f94bc074c27f81070545cab5d5b0da0f0575cc2727e8d974927676468582d8621c3ac94712ed9cf6b40b3cf252a47c05af3a30d57cc3ed67d1867b54d24e2da18568c3b0f34b52616bf84d3b042d6e432cd0e3b57239f0127473e6ba922aff649609d40b47ec349ccba3ce8d530ffff19a6471bf3abc742d9cbcfb964b13831034694a6aad86cf08a2c7b2235dc99de9aa3e6b77c7a2877261ed72da90864987f30926c9013eec3b86836dcd9d74c9bfb78a0c0dea5362b686448ae504479f60b0ec9204d2b85627aa5a79f670000000000000000000000008f02712c3d8fc4e2686e21a855e823887196d4f4e9f2013d2aef4a3b5092be4d6852b88317c5adbbdb0015f89e9939bc424d1bafe5725c8a4047b91da3768c1ca6a4410009f95d4ba21068285afa8d3b4a6893d3626ab5becbcdb887af2c85c2d9ab09b5dd7d3c4406d273650bf7b2ff4602aec1eea200000064881c560c371a08fc51374cf05c921a06fb78183e7e68de9dabe35f1a5d50f20209eec6"], &(0x7f0000000340)='syzkaller\x00'}, 0x48) bpf$BPF_PROG_TEST_RUN(0xa, &(0x7f00000000c0)={r0, 0x20e, 0xe, 0xd000000, &(0x7f00000004c0)="b91803b700030703009e40f088a81fff06ffffff8700008477fbac141412e0000801c699da153f08e0e6e380f60104f683317585d7473f1cab4439f0f570ff155bc5f73ba3f8bb99a6e8ded1ce485cf058105cd981b42493481cd659416a2e10c9119664f36eb00b333c20c9ec0c222d644bdcb178c1cc53d6960fbb842d6a33dfcde3a1e1848135214baf139753866cadcbe3ce52505e992818cc452bee339d9ab076f484020eaa348a21d7911e4c44905256ec2cc54cca47a198b00c10aff62a4bed43a2ebcad92743fb22c593f28fd4bb7c703cde9cae0569d4c8d9a823f2c12863f7a6c0cf88ed22aae4f6f084508833b61429a25773eedf63dd9f33d430f2a0a30a7761db16fe0f743b95ded898c28aac1256ce2751b3d738899b8b19d9052b7f13ff94", 0x0, 0xfd, 0x6000000000000000, 0xfffffffffffffe7e, 0x1d4}, 0x28) [ 119.721720][ T36] audit: type=1326 audit(1610936461.797:6): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9862 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=72 compat=0 ip=0x45e219 code=0x7ffc0000 [ 119.743396][ T8464] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_1 [ 119.754300][ T8464] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 119.765450][ T36] audit: type=1326 audit(1610936461.797:7): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9862 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=228 compat=0 ip=0x46107a code=0x7ffc0000 [ 119.789324][ T8464] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_1 [ 119.801791][ T8464] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 119.812396][ T36] audit: type=1326 audit(1610936461.797:8): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9862 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=202 compat=0 ip=0x45e219 code=0x7ffc0000 [ 119.822478][ T8464] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_1 [ 119.853561][ T8464] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 119.857551][ T36] audit: type=1326 audit(1610936461.797:9): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9862 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=202 compat=0 ip=0x45e219 code=0x7ffc0000 [ 119.877113][ T8464] batman_adv: batadv0: Interface activated: batadv_slave_1 [ 119.947780][ T9727] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_macvtap: link becomes ready [ 119.949865][ T36] audit: type=1326 audit(1610936461.797:10): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9862 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=228 compat=0 ip=0x46107a code=0x7ffc0000 [ 119.966066][ T9727] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_macvtap: link becomes ready 02:21:02 executing program 0: r0 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r0, &(0x7f0000000080)={0xa, 0x4e22}, 0x1c) listen(r0, 0x0) syz_emit_ethernet(0x36, &(0x7f00000001c0)={@local, @dev, @void, {@ipv4={0x800, @tcp={{0x5, 0x4, 0x0, 0x0, 0x28, 0x0, 0x0, 0x0, 0x6, 0x0, @empty, @empty}, {{0x0, 0x4e22, 0x41424344, 0x41424344, 0x0, 0x0, 0x5, 0x2}}}}}}, 0x0) [ 120.051779][ T9727] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_1: link becomes ready [ 120.070871][ T9727] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_batadv: link becomes ready [ 120.093963][ T36] audit: type=1326 audit(1610936461.797:11): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9862 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=16 compat=0 ip=0x45e219 code=0x7ffc0000 [ 120.151781][ T8494] device veth0_macvtap entered promiscuous mode [ 120.180318][ T9890] loop1: detected capacity change from 8 to 0 [ 120.239652][ T8464] netdevsim netdevsim4 netdevsim0: set [1, 0] type 2 family 0 port 6081 - 0 [ 120.296122][ T8464] netdevsim netdevsim4 netdevsim1: set [1, 0] type 2 family 0 port 6081 - 0 [ 120.317966][ T8464] netdevsim netdevsim4 netdevsim2: set [1, 0] type 2 family 0 port 6081 - 0 [ 120.326781][ T8464] netdevsim netdevsim4 netdevsim3: set [1, 0] type 2 family 0 port 6081 - 0 02:21:02 executing program 2: perf_event_open(&(0x7f0000000100)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3c3f, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r0 = bpf$PROG_LOAD(0x5, &(0x7f0000000200)={0xc, 0xe, &(0x7f0000000880)=ANY=[@ANYBLOB="b702000007000000bfa30000000000000703000000feffff7a0af0fff8ffffff79a4f0ff00000000b7060000ffffffff2d6405000000000065040400010000000404000001007d60b7030000000000006a0a00fe00000000850000000d000000b70000000000000095000000000000002c3f3dc2b7954244cef7baf48e6d2885a09a87507e66262d39c75ebf4e43bc0609b199b6d9ddc1cfb437648368f5ed90e0ebcdac5f7a860c00269c781f6428457253e89ad528d985636a86ec0f60f5a6d1159a2c2e85d726859a919cc9548a349980d1ccdce27f94bc074c27f81070545cab5d5b0da0f0575cc2727e8d974927676468582d8621c3ac94712ed9cf6b40b3cf252a47c05af3a30d57cc3ed67d1867b54d24e2da18568c3b0f34b52616bf84d3b042d6e432cd0e3b57239f0127473e6ba922aff649609d40b47ec349ccba3ce8d530ffff19a6471bf3abc742d9cbcfb964b13831034694a6aad86cf08a2c7b2235dc99de9aa3e6b77c7a2877261ed72da90864987f30926c9013eec3b86836dcd9d74c9bfb78a0c0dea5362b686448ae504479f60b0ec9204d2b85627aa5a79f670000000000000000000000008f02712c3d8fc4e2686e21a855e823887196d4f4e9f2013d2aef4a3b5092be4d6852b88317c5adbbdb0015f89e9939bc424d1bafe5725c8a4047b91da3768c1ca6a4410009f95d4ba21068285afa8d3b4a6893d3626ab5becbcdb887af2c85c2d9ab09b5dd7d3c4406d273650bf7b2ff4602aec1eea200000064881c560c371a08fc51374cf05c921a06fb78183e7e68de9dabe35f1a5d50f20209eec6"], &(0x7f0000000340)='syzkaller\x00'}, 0x48) bpf$BPF_PROG_TEST_RUN(0xa, &(0x7f00000000c0)={r0, 0x20e, 0xe, 0xd000000, &(0x7f00000004c0)="b91803b700030703009e40f088a81fff06ffffff8700008477fbac141412e0000801c699da153f08e0e6e380f60104f683317585d7473f1cab4439f0f570ff155bc5f73ba3f8bb99a6e8ded1ce485cf058105cd981b42493481cd659416a2e10c9119664f36eb00b333c20c9ec0c222d644bdcb178c1cc53d6960fbb842d6a33dfcde3a1e1848135214baf139753866cadcbe3ce52505e992818cc452bee339d9ab076f484020eaa348a21d7911e4c44905256ec2cc54cca47a198b00c10aff62a4bed43a2ebcad92743fb22c593f28fd4bb7c703cde9cae0569d4c8d9a823f2c12863f7a6c0cf88ed22aae4f6f084508833b61429a25773eedf63dd9f33d430f2a0a30a7761db16fe0f743b95ded898c28aac1256ce2751b3d738899b8b19d9052b7f13ff94", 0x0, 0xfd, 0x6000000000000000, 0xfffffffffffffe7e, 0x1d4}, 0x28) [ 120.346069][ T8494] device veth1_macvtap entered promiscuous mode 02:21:02 executing program 3: pipe(&(0x7f0000000000)={0xffffffffffffffff, 0xffffffffffffffff}) r2 = socket$inet_udp(0x2, 0x2, 0x0) writev(r1, &(0x7f0000000200)=[{&(0x7f00000000c0)="580000001400ad", 0x7}], 0x1) write$binfmt_elf64(r1, &(0x7f00000006c0)=ANY=[@ANYBLOB="5f454c44065b05007311"], 0xa) r3 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_tx_ring(r3, 0x107, 0xd, &(0x7f00000000c0)=@req3={0x8000, 0x6, 0x8000, 0x6}, 0x1c) close(r2) r4 = socket$netlink(0x10, 0x3, 0x4) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000140), &(0x7f00000003c0)=0xc) write$binfmt_misc(r1, &(0x7f0000000140)=ANY=[], 0x4240a2a0) splice(r0, 0x0, r2, 0x0, 0x4f0a, 0x0) [ 120.724813][ T8494] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3d) already exists on: batadv_slave_0 [ 120.780293][ T8494] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 120.856997][ T8494] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3d) already exists on: batadv_slave_0 [ 120.884099][ T8494] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 120.904612][ T8494] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3d) already exists on: batadv_slave_0 [ 120.928103][ T8494] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 120.946905][ T8494] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3d) already exists on: batadv_slave_0 [ 120.967377][ T8494] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 120.987293][ T8494] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3d) already exists on: batadv_slave_0 [ 121.014396][ T8494] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 121.056817][ T8494] batman_adv: batadv0: Interface activated: batadv_slave_0 [ 121.100135][ T9727] IPv6: ADDRCONF(NETDEV_CHANGE): macvtap0: link becomes ready [ 121.119448][ T9727] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_0: link becomes ready [ 121.132877][ T9727] IPv6: ADDRCONF(NETDEV_CHANGE): veth0_to_batadv: link becomes ready [ 121.153279][ T8494] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_1 [ 121.170131][ T8494] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 121.199182][ T8494] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_1 [ 121.252599][ T8494] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 121.273164][ T8494] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_1 [ 121.320713][ T8494] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 121.357444][ T8494] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_1 [ 121.390387][ T8494] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 121.423339][ T8494] batman_adv: The newly added mac address (aa:aa:aa:aa:aa:3e) already exists on: batadv_slave_1 [ 121.436977][ T8494] batman_adv: It is strongly recommended to keep mac addresses unique to avoid problems! [ 121.459357][ T8494] batman_adv: batadv0: Interface activated: batadv_slave_1 [ 121.466713][ T9727] IPv6: ADDRCONF(NETDEV_CHANGE): batadv_slave_1: link becomes ready [ 121.490552][ T9727] IPv6: ADDRCONF(NETDEV_CHANGE): veth1_to_batadv: link becomes ready [ 121.548185][ T8494] netdevsim netdevsim5 netdevsim0: set [1, 0] type 2 family 0 port 6081 - 0 [ 121.556929][ T8494] netdevsim netdevsim5 netdevsim1: set [1, 0] type 2 family 0 port 6081 - 0 [ 121.580401][ T8494] netdevsim netdevsim5 netdevsim2: set [1, 0] type 2 family 0 port 6081 - 0 [ 121.625739][ T8494] netdevsim netdevsim5 netdevsim3: set [1, 0] type 2 family 0 port 6081 - 0 [ 121.648049][ T3399] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 121.656080][ T3399] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 121.708364][ T35] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready [ 121.764594][ T3399] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 121.789114][ T3399] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 121.859202][ T9805] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready [ 121.905146][ T56] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 121.932929][ T56] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 121.982310][ T9764] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready [ 122.004149][ T56] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 122.027851][ T56] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 122.038610][ T8] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready [ 122.308956][ T9764] usb 5-1: new high-speed USB device number 2 using dummy_hcd [ 122.587483][ T9764] usb 5-1: Using ep0 maxpacket: 8 [ 122.707545][ T9764] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 0, changing to 7 [ 122.718982][ T9764] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 122.732992][ T9764] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x82 has invalid wMaxPacketSize 0 [ 122.743625][ T9764] usb 5-1: config 1 interface 0 altsetting 0 bulk endpoint 0x82 has invalid maxpacket 0 [ 122.754303][ T9764] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x3 has invalid wMaxPacketSize 0 [ 122.765173][ T9764] usb 5-1: config 1 interface 0 altsetting 0 bulk endpoint 0x3 has invalid maxpacket 0 [ 122.947503][ T9764] usb 5-1: New USB device found, idVendor=0525, idProduct=a4a1, bcdDevice= 0.40 [ 122.956614][ T9764] usb 5-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 122.966572][ T9764] usb 5-1: Product: syz [ 122.971409][ T9764] usb 5-1: Manufacturer: syz [ 122.976054][ T9764] usb 5-1: SerialNumber: syz [ 123.032194][ T9764] cdc_ether: probe of 5-1:1.0 failed with error -22 [ 123.246131][ T9727] usb 5-1: USB disconnect, device number 2 [ 124.057406][ T9764] usb 5-1: new high-speed USB device number 3 using dummy_hcd [ 124.337511][ T9764] usb 5-1: Using ep0 maxpacket: 8 [ 124.467488][ T9764] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 0, changing to 7 [ 124.479569][ T9764] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 124.489954][ T9764] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x82 has invalid wMaxPacketSize 0 [ 124.500152][ T9764] usb 5-1: config 1 interface 0 altsetting 0 bulk endpoint 0x82 has invalid maxpacket 0 [ 124.510334][ T9764] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x3 has invalid wMaxPacketSize 0 [ 124.521421][ T9764] usb 5-1: config 1 interface 0 altsetting 0 bulk endpoint 0x3 has invalid maxpacket 0 [ 124.698166][ T9764] usb 5-1: New USB device found, idVendor=0525, idProduct=a4a1, bcdDevice= 0.40 [ 124.708289][ T9764] usb 5-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 124.716307][ T9764] usb 5-1: Product: syz [ 124.721494][ T9764] usb 5-1: Manufacturer: syz [ 124.726098][ T9764] usb 5-1: SerialNumber: syz [ 124.779204][ T9764] cdc_ether: probe of 5-1:1.0 failed with error -22 02:21:07 executing program 1: r0 = fcntl$dupfd(0xffffffffffffffff, 0x0, 0xffffffffffffffff) ioctl$EVIOCGABS2F(r0, 0x8018456f, &(0x7f0000000040)=""/58) prctl$PR_SET_SECCOMP(0x16, 0x2, &(0x7f0000000000)={0x1, &(0x7f0000000180)=[{0x200000000006, 0x0, 0x0, 0x7ffc0001}]}) openat$rtc(0xffffffffffffff9c, 0x0, 0x0, 0x0) perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x41c1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) syz_mount_image$squashfs(&(0x7f0000000000)='squashfs\x00', &(0x7f0000000100)='./file0\x00', 0x1000, 0x1, &(0x7f0000000200)=[{&(0x7f0000010000)="6873717307000000911d675f001000000000000001000c00d00002000400000026010000000000000602000000000000b501000000000000ee0100000000000099000000000000003e010000000000008501000000000000a30100000000000078da2baeacca4eccc9492d2aa61d030085492b1f78da2baeacca4eccc9492d2a1e658c324619a30c1803005565c4a273797a6b616c6c657273a30078da636278cbc8c0c0c83051363d1ec8604800e2ff4000a41852805804889990d43003f925486aa4581818a4813433c37fb81aa01003c82c3520d62fc92dd02faeacd2cdcc4d4c4f4d4fcd3331b434373733b634d24fcbcc493580908c48363031400088d604627620e644926705f2fba16ab81850012392cba0f28cc87ad9806233a1721acaa87a9990f4229b0131f700dc0c76a818282442807ee400d2008b6a3509450078da63648000662056016226061686b4cc9c54030f0646a0208463c80255c508a5991838c0127ac9f939296d40614698b6654006cc0cc3470cac708e1132c71800421f11fb1c0078da636080803628ad02a53da0f43228fd084aab3142680046df02a2850100000000000008805cf90100535f0100ab010000000000001d0078da63606063a8482c29293264636080b21860624670312300b5bc09ab108000000000000000000200000024000000bd0100000000000001", 0x1f7}], 0x0, &(0x7f0000010200)) 02:21:07 executing program 0: r0 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r0, &(0x7f0000000080)={0xa, 0x4e22}, 0x1c) listen(r0, 0x0) syz_emit_ethernet(0x36, &(0x7f00000001c0)={@local, @dev, @void, {@ipv4={0x800, @tcp={{0x5, 0x4, 0x0, 0x0, 0x28, 0x0, 0x0, 0x0, 0x6, 0x0, @empty, @empty}, {{0x0, 0x4e22, 0x41424344, 0x41424344, 0x0, 0x0, 0x5, 0x2}}}}}}, 0x0) 02:21:07 executing program 2: perf_event_open(&(0x7f0000000100)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x3c3f, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r0 = bpf$PROG_LOAD(0x5, &(0x7f0000000200)={0xc, 0xe, &(0x7f0000000880)=ANY=[@ANYBLOB="b702000007000000bfa30000000000000703000000feffff7a0af0fff8ffffff79a4f0ff00000000b7060000ffffffff2d6405000000000065040400010000000404000001007d60b7030000000000006a0a00fe00000000850000000d000000b70000000000000095000000000000002c3f3dc2b7954244cef7baf48e6d2885a09a87507e66262d39c75ebf4e43bc0609b199b6d9ddc1cfb437648368f5ed90e0ebcdac5f7a860c00269c781f6428457253e89ad528d985636a86ec0f60f5a6d1159a2c2e85d726859a919cc9548a349980d1ccdce27f94bc074c27f81070545cab5d5b0da0f0575cc2727e8d974927676468582d8621c3ac94712ed9cf6b40b3cf252a47c05af3a30d57cc3ed67d1867b54d24e2da18568c3b0f34b52616bf84d3b042d6e432cd0e3b57239f0127473e6ba922aff649609d40b47ec349ccba3ce8d530ffff19a6471bf3abc742d9cbcfb964b13831034694a6aad86cf08a2c7b2235dc99de9aa3e6b77c7a2877261ed72da90864987f30926c9013eec3b86836dcd9d74c9bfb78a0c0dea5362b686448ae504479f60b0ec9204d2b85627aa5a79f670000000000000000000000008f02712c3d8fc4e2686e21a855e823887196d4f4e9f2013d2aef4a3b5092be4d6852b88317c5adbbdb0015f89e9939bc424d1bafe5725c8a4047b91da3768c1ca6a4410009f95d4ba21068285afa8d3b4a6893d3626ab5becbcdb887af2c85c2d9ab09b5dd7d3c4406d273650bf7b2ff4602aec1eea200000064881c560c371a08fc51374cf05c921a06fb78183e7e68de9dabe35f1a5d50f20209eec6"], &(0x7f0000000340)='syzkaller\x00'}, 0x48) bpf$BPF_PROG_TEST_RUN(0xa, &(0x7f00000000c0)={r0, 0x20e, 0xe, 0xd000000, &(0x7f00000004c0)="b91803b700030703009e40f088a81fff06ffffff8700008477fbac141412e0000801c699da153f08e0e6e380f60104f683317585d7473f1cab4439f0f570ff155bc5f73ba3f8bb99a6e8ded1ce485cf058105cd981b42493481cd659416a2e10c9119664f36eb00b333c20c9ec0c222d644bdcb178c1cc53d6960fbb842d6a33dfcde3a1e1848135214baf139753866cadcbe3ce52505e992818cc452bee339d9ab076f484020eaa348a21d7911e4c44905256ec2cc54cca47a198b00c10aff62a4bed43a2ebcad92743fb22c593f28fd4bb7c703cde9cae0569d4c8d9a823f2c12863f7a6c0cf88ed22aae4f6f084508833b61429a25773eedf63dd9f33d430f2a0a30a7761db16fe0f743b95ded898c28aac1256ce2751b3d738899b8b19d9052b7f13ff94", 0x0, 0xfd, 0x6000000000000000, 0xfffffffffffffe7e, 0x1d4}, 0x28) 02:21:07 executing program 3: pipe(&(0x7f0000000000)={0xffffffffffffffff, 0xffffffffffffffff}) r2 = socket$inet_udp(0x2, 0x2, 0x0) writev(r1, &(0x7f0000000200)=[{&(0x7f00000000c0)="580000001400ad", 0x7}], 0x1) write$binfmt_elf64(r1, &(0x7f00000006c0)=ANY=[@ANYBLOB="5f454c44065b05007311"], 0xa) r3 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_tx_ring(r3, 0x107, 0xd, &(0x7f00000000c0)=@req3={0x8000, 0x6, 0x8000, 0x6}, 0x1c) close(r2) r4 = socket$netlink(0x10, 0x3, 0x4) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000140), &(0x7f00000003c0)=0xc) write$binfmt_misc(r1, &(0x7f0000000140)=ANY=[], 0x4240a2a0) splice(r0, 0x0, r2, 0x0, 0x4f0a, 0x0) 02:21:07 executing program 5: bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f00000003c0)={0x11, 0x5, &(0x7f0000000280)=@framed={{}, [@map={0x18, 0x0, 0x1, 0x0, 0xffffffffffffffff, 0x0, 0x7a}]}, &(0x7f00000000c0)='syzkaller\x00', 0x6, 0x93, &(0x7f00000001c0)=""/147, 0x0, 0x0, [], 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) 02:21:07 executing program 4: syz_usb_connect$cdc_ecm(0x0, 0x56, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x2, 0x0, 0x0, 0x8, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x44, 0x1, 0x1, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x3, 0x2, 0x6, 0x0, 0x0, {{0x5}, {0x5}, {0xd}}, {[{}]}}}]}}]}}, 0x0) [ 124.991601][ T9727] usb 5-1: USB disconnect, device number 3 [ 125.093805][ T36] kauditd_printk_skb: 42 callbacks suppressed [ 125.093858][ T36] audit: type=1326 audit(1610936467.457:54): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9982 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=228 compat=0 ip=0x46107a code=0x7ffc0000 02:21:07 executing program 5: bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f00000003c0)={0x11, 0x5, &(0x7f0000000280)=@framed={{}, [@map={0x18, 0x0, 0x1, 0x0, 0xffffffffffffffff, 0x0, 0x7a}]}, &(0x7f00000000c0)='syzkaller\x00', 0x6, 0x93, &(0x7f00000001c0)=""/147, 0x0, 0x0, [], 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) 02:21:07 executing program 0: r0 = socket$inet6_tcp(0xa, 0x1, 0x0) bind$inet6(r0, &(0x7f0000000080)={0xa, 0x4e22}, 0x1c) listen(r0, 0x0) syz_emit_ethernet(0x36, &(0x7f00000001c0)={@local, @dev, @void, {@ipv4={0x800, @tcp={{0x5, 0x4, 0x0, 0x0, 0x28, 0x0, 0x0, 0x0, 0x6, 0x0, @empty, @empty}, {{0x0, 0x4e22, 0x41424344, 0x41424344, 0x0, 0x0, 0x5, 0x2}}}}}}, 0x0) [ 125.249405][ T9991] loop1: detected capacity change from 8 to 0 [ 125.260175][ T36] audit: type=1326 audit(1610936467.487:55): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9982 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=202 compat=0 ip=0x45e219 code=0x7ffc0000 [ 125.442215][ T36] audit: type=1326 audit(1610936467.487:56): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9982 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=202 compat=0 ip=0x45e219 code=0x7ffc0000 [ 125.472272][ T36] audit: type=1326 audit(1610936467.507:57): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9982 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=228 compat=0 ip=0x46107a code=0x7ffc0000 [ 125.495827][ T36] audit: type=1326 audit(1610936467.507:58): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9982 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=298 compat=0 ip=0x45e219 code=0x7ffc0000 [ 125.498120][ T9727] usb 5-1: new high-speed USB device number 4 using dummy_hcd 02:21:07 executing program 2: syz_open_dev$dri(0x0, 0x0, 0x0) ftruncate(0xffffffffffffffff, 0x0) sendfile(0xffffffffffffffff, 0xffffffffffffffff, 0x0, 0x0) r0 = perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x81, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = syz_init_net_socket$bt_l2cap(0x1f, 0x3, 0x0) socket$key(0xf, 0x3, 0x2) connect$bt_l2cap(r1, &(0x7f0000000000)={0x1f, 0x0, @fixed={[], 0x10}}, 0xe) sendmmsg$inet(r1, &(0x7f0000003f00)=[{{0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2a0}}], 0x500, 0x0) perf_event_open(0x0, 0x0, 0x0, r0, 0x1) mmap(&(0x7f0000008000/0x600000)=nil, 0x600000, 0x0, 0x11, r0, 0x0) [ 125.516706][ T36] audit: type=1326 audit(1610936467.507:59): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9982 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=228 compat=0 ip=0x46107a code=0x7ffc0000 02:21:07 executing program 0: perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x41c1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x4}, 0x0, 0x0, 0xffffffffffffffff, 0x0) r0 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_route(r0, &(0x7f0000000000)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000140)=@newlink={0x44, 0x10, 0xffffff1f, 0x0, 0x0, {}, [@IFLA_LINKINFO={0x24, 0x12, 0x0, 0x1, @bridge={{0xb, 0x1, 'bridge\x00'}, {0x14, 0x2, 0x0, 0x1, [@IFLA_BR_MCAST_STARTUP_QUERY_CNT={0x8, 0x1d, 0x2}, @IFLA_BR_MCAST_SNOOPING={0x5}]}}}]}, 0x44}}, 0x0) socket$inet6_udp(0xa, 0x2, 0x0) ioctl$TIOCMGET(0xffffffffffffffff, 0x5415, &(0x7f0000000080)) r1 = open(0x0, 0x200c2, 0x0) sendfile(r1, r1, &(0x7f00000001c0), 0x0) ioctl$F2FS_IOC_START_VOLATILE_WRITE(r1, 0xf503, 0x0) 02:21:08 executing program 1: r0 = fcntl$dupfd(0xffffffffffffffff, 0x0, 0xffffffffffffffff) ioctl$EVIOCGABS2F(r0, 0x8018456f, &(0x7f0000000040)=""/58) prctl$PR_SET_SECCOMP(0x16, 0x2, &(0x7f0000000000)={0x1, &(0x7f0000000180)=[{0x200000000006, 0x0, 0x0, 0x7ffc0001}]}) openat$rtc(0xffffffffffffff9c, 0x0, 0x0, 0x0) perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x41c1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) syz_mount_image$squashfs(&(0x7f0000000000)='squashfs\x00', &(0x7f0000000100)='./file0\x00', 0x1000, 0x1, &(0x7f0000000200)=[{&(0x7f0000010000)="6873717307000000911d675f001000000000000001000c00d00002000400000026010000000000000602000000000000b501000000000000ee0100000000000099000000000000003e010000000000008501000000000000a30100000000000078da2baeacca4eccc9492d2aa61d030085492b1f78da2baeacca4eccc9492d2a1e658c324619a30c1803005565c4a273797a6b616c6c657273a30078da636278cbc8c0c0c83051363d1ec8604800e2ff4000a41852805804889990d43003f925486aa4581818a4813433c37fb81aa01003c82c3520d62fc92dd02faeacd2cdcc4d4c4f4d4fcd3331b434373733b634d24fcbcc493580908c48363031400088d604627620e644926705f2fba16ab81850012392cba0f28cc87ad9806233a1721acaa87a9990f4229b0131f700dc0c76a818282442807ee400d2008b6a3509450078da63648000662056016226061686b4cc9c54030f0646a0208463c80255c508a5991838c0127ac9f939296d40614698b6654006cc0cc3470cac708e1132c71800421f11fb1c0078da636080803628ad02a53da0f43228fd084aab3142680046df02a2850100000000000008805cf90100535f0100ab010000000000001d0078da63606063a8482c29293264636080b21860624670312300b5bc09ab108000000000000000000200000024000000bd0100000000000001", 0x1f7}], 0x0, &(0x7f0000010200)) 02:21:08 executing program 5: bpf$BPF_PROG_RAW_TRACEPOINT_LOAD(0x5, &(0x7f00000003c0)={0x11, 0x5, &(0x7f0000000280)=@framed={{}, [@map={0x18, 0x0, 0x1, 0x0, 0xffffffffffffffff, 0x0, 0x7a}]}, &(0x7f00000000c0)='syzkaller\x00', 0x6, 0x93, &(0x7f00000001c0)=""/147, 0x0, 0x0, [], 0x0, 0x0, 0xffffffffffffffff, 0x8, 0x0, 0x0, 0x10, 0x0}, 0x78) [ 125.619877][ T36] audit: type=1326 audit(1610936467.507:60): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9982 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=202 compat=0 ip=0x45e219 code=0x7ffc0000 [ 125.724093][ T36] audit: type=1326 audit(1610936467.517:61): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9982 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=202 compat=0 ip=0x45e219 code=0x7ffc0000 02:21:08 executing program 5: r0 = perf_event_open(&(0x7f0000000180)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0xc6, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = perf_event_open(&(0x7f000025c000)={0x400000001, 0x70, 0x2005, 0x0, 0x0, 0x0, 0x0, 0x1, 0x8090, 0xf, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, r0, 0x0) r2 = perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) mmap(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x0, 0x11, r2, 0x0) ioctl$PERF_EVENT_IOC_SET_OUTPUT(r1, 0x2405, r2) r3 = socket$inet(0x2, 0x4000000000000001, 0x0) bind$inet(r3, &(0x7f0000000040)={0x2, 0x4e23, @multicast1}, 0x10) sendto$inet(r3, 0x0, 0x10b, 0x200007fe, &(0x7f0000000100)={0x2, 0x10004e23, @dev={0xac, 0x14, 0x14, 0xa}}, 0x10) sendto$inet(r3, &(0x7f0000d7cfcb), 0xffffffffffffffef, 0x0, 0x0, 0x53) [ 125.893292][ T36] audit: type=1326 audit(1610936467.517:62): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9982 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=228 compat=0 ip=0x46107a code=0x7ffc0000 [ 125.915940][ T9727] usb 5-1: Using ep0 maxpacket: 8 02:21:08 executing program 2: syz_open_dev$dri(0x0, 0x0, 0x0) ftruncate(0xffffffffffffffff, 0x0) sendfile(0xffffffffffffffff, 0xffffffffffffffff, 0x0, 0x0) r0 = perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x81, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = syz_init_net_socket$bt_l2cap(0x1f, 0x3, 0x0) socket$key(0xf, 0x3, 0x2) connect$bt_l2cap(r1, &(0x7f0000000000)={0x1f, 0x0, @fixed={[], 0x10}}, 0xe) sendmmsg$inet(r1, &(0x7f0000003f00)=[{{0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2a0}}], 0x500, 0x0) perf_event_open(0x0, 0x0, 0x0, r0, 0x1) mmap(&(0x7f0000008000/0x600000)=nil, 0x600000, 0x0, 0x11, r0, 0x0) 02:21:08 executing program 3: pipe(&(0x7f0000000000)={0xffffffffffffffff, 0xffffffffffffffff}) r2 = socket$inet_udp(0x2, 0x2, 0x0) writev(r1, &(0x7f0000000200)=[{&(0x7f00000000c0)="580000001400ad", 0x7}], 0x1) write$binfmt_elf64(r1, &(0x7f00000006c0)=ANY=[@ANYBLOB="5f454c44065b05007311"], 0xa) r3 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_tx_ring(r3, 0x107, 0xd, &(0x7f00000000c0)=@req3={0x8000, 0x6, 0x8000, 0x6}, 0x1c) close(r2) r4 = socket$netlink(0x10, 0x3, 0x4) getsockopt$sock_cred(r4, 0x1, 0x11, &(0x7f0000000140), &(0x7f00000003c0)=0xc) write$binfmt_misc(r1, &(0x7f0000000140)=ANY=[], 0x4240a2a0) splice(r0, 0x0, r2, 0x0, 0x4f0a, 0x0) [ 126.045575][ T9727] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 0, changing to 7 [ 126.080955][T10019] loop1: detected capacity change from 8 to 0 [ 126.085945][ T36] audit: type=1326 audit(1610936467.537:63): auid=0 uid=0 gid=0 ses=4 subj==unconfined pid=9982 comm="syz-executor.1" exe="/root/syz-executor.1" sig=0 arch=c000003e syscall=319 compat=0 ip=0x45e219 code=0x7ffc0000 [ 126.277193][ T9727] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 126.412831][ T9727] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x82 has invalid wMaxPacketSize 0 02:21:08 executing program 0: perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x41c1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x4}, 0x0, 0x0, 0xffffffffffffffff, 0x0) r0 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_route(r0, &(0x7f0000000000)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000140)=@newlink={0x44, 0x10, 0xffffff1f, 0x0, 0x0, {}, [@IFLA_LINKINFO={0x24, 0x12, 0x0, 0x1, @bridge={{0xb, 0x1, 'bridge\x00'}, {0x14, 0x2, 0x0, 0x1, [@IFLA_BR_MCAST_STARTUP_QUERY_CNT={0x8, 0x1d, 0x2}, @IFLA_BR_MCAST_SNOOPING={0x5}]}}}]}, 0x44}}, 0x0) socket$inet6_udp(0xa, 0x2, 0x0) ioctl$TIOCMGET(0xffffffffffffffff, 0x5415, &(0x7f0000000080)) r1 = open(0x0, 0x200c2, 0x0) sendfile(r1, r1, &(0x7f00000001c0), 0x0) ioctl$F2FS_IOC_START_VOLATILE_WRITE(r1, 0xf503, 0x0) [ 126.526107][ T9727] usb 5-1: config 1 interface 0 altsetting 0 bulk endpoint 0x82 has invalid maxpacket 0 [ 126.553584][ T9727] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x3 has invalid wMaxPacketSize 0 [ 126.571516][ T9727] usb 5-1: config 1 interface 0 altsetting 0 bulk endpoint 0x3 has invalid maxpacket 0 [ 126.747840][ T9727] usb 5-1: New USB device found, idVendor=0525, idProduct=a4a1, bcdDevice= 0.40 [ 126.766108][ T9727] usb 5-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 126.786399][ T9727] usb 5-1: Product: syz [ 126.796911][ T9727] usb 5-1: Manufacturer: syz [ 126.803227][ T9727] usb 5-1: SerialNumber: syz [ 126.848870][ T9727] cdc_ether: probe of 5-1:1.0 failed with error -22 02:21:09 executing program 1: perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x41c1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x4}, 0x0, 0x0, 0xffffffffffffffff, 0x0) r0 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_route(r0, &(0x7f0000000000)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000140)=@newlink={0x44, 0x10, 0xffffff1f, 0x0, 0x0, {}, [@IFLA_LINKINFO={0x24, 0x12, 0x0, 0x1, @bridge={{0xb, 0x1, 'bridge\x00'}, {0x14, 0x2, 0x0, 0x1, [@IFLA_BR_MCAST_STARTUP_QUERY_CNT={0x8, 0x1d, 0x2}, @IFLA_BR_MCAST_SNOOPING={0x5}]}}}]}, 0x44}}, 0x0) socket$inet6_udp(0xa, 0x2, 0x0) ioctl$TIOCMGET(0xffffffffffffffff, 0x5415, &(0x7f0000000080)) r1 = open(0x0, 0x200c2, 0x0) sendfile(r1, r1, &(0x7f00000001c0), 0x0) ioctl$F2FS_IOC_START_VOLATILE_WRITE(r1, 0xf503, 0x0) [ 127.165074][ T35] usb 5-1: USB disconnect, device number 4 02:21:10 executing program 2: syz_open_dev$dri(0x0, 0x0, 0x0) ftruncate(0xffffffffffffffff, 0x0) sendfile(0xffffffffffffffff, 0xffffffffffffffff, 0x0, 0x0) r0 = perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x81, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = syz_init_net_socket$bt_l2cap(0x1f, 0x3, 0x0) socket$key(0xf, 0x3, 0x2) connect$bt_l2cap(r1, &(0x7f0000000000)={0x1f, 0x0, @fixed={[], 0x10}}, 0xe) sendmmsg$inet(r1, &(0x7f0000003f00)=[{{0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2a0}}], 0x500, 0x0) perf_event_open(0x0, 0x0, 0x0, r0, 0x1) mmap(&(0x7f0000008000/0x600000)=nil, 0x600000, 0x0, 0x11, r0, 0x0) 02:21:10 executing program 3: syz_open_dev$dri(0x0, 0x0, 0x0) ftruncate(0xffffffffffffffff, 0x0) sendfile(0xffffffffffffffff, 0xffffffffffffffff, 0x0, 0x0) r0 = perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x81, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = syz_init_net_socket$bt_l2cap(0x1f, 0x3, 0x0) socket$key(0xf, 0x3, 0x2) connect$bt_l2cap(r1, &(0x7f0000000000)={0x1f, 0x0, @fixed={[], 0x10}}, 0xe) sendmmsg$inet(r1, &(0x7f0000003f00)=[{{0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2a0}}], 0x500, 0x0) perf_event_open(0x0, 0x0, 0x0, r0, 0x1) mmap(&(0x7f0000008000/0x600000)=nil, 0x600000, 0x0, 0x11, r0, 0x0) 02:21:10 executing program 0: perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x41c1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x4}, 0x0, 0x0, 0xffffffffffffffff, 0x0) r0 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_route(r0, &(0x7f0000000000)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000140)=@newlink={0x44, 0x10, 0xffffff1f, 0x0, 0x0, {}, [@IFLA_LINKINFO={0x24, 0x12, 0x0, 0x1, @bridge={{0xb, 0x1, 'bridge\x00'}, {0x14, 0x2, 0x0, 0x1, [@IFLA_BR_MCAST_STARTUP_QUERY_CNT={0x8, 0x1d, 0x2}, @IFLA_BR_MCAST_SNOOPING={0x5}]}}}]}, 0x44}}, 0x0) socket$inet6_udp(0xa, 0x2, 0x0) ioctl$TIOCMGET(0xffffffffffffffff, 0x5415, &(0x7f0000000080)) r1 = open(0x0, 0x200c2, 0x0) sendfile(r1, r1, &(0x7f00000001c0), 0x0) ioctl$F2FS_IOC_START_VOLATILE_WRITE(r1, 0xf503, 0x0) 02:21:10 executing program 4: syz_usb_connect$cdc_ecm(0x0, 0x56, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x2, 0x0, 0x0, 0x8, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x44, 0x1, 0x1, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x3, 0x2, 0x6, 0x0, 0x0, {{0x5}, {0x5}, {0xd}}, {[{}]}}}]}}]}}, 0x0) 02:21:10 executing program 1: perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x41c1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x4}, 0x0, 0x0, 0xffffffffffffffff, 0x0) r0 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_route(r0, &(0x7f0000000000)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000140)=@newlink={0x44, 0x10, 0xffffff1f, 0x0, 0x0, {}, [@IFLA_LINKINFO={0x24, 0x12, 0x0, 0x1, @bridge={{0xb, 0x1, 'bridge\x00'}, {0x14, 0x2, 0x0, 0x1, [@IFLA_BR_MCAST_STARTUP_QUERY_CNT={0x8, 0x1d, 0x2}, @IFLA_BR_MCAST_SNOOPING={0x5}]}}}]}, 0x44}}, 0x0) socket$inet6_udp(0xa, 0x2, 0x0) ioctl$TIOCMGET(0xffffffffffffffff, 0x5415, &(0x7f0000000080)) r1 = open(0x0, 0x200c2, 0x0) sendfile(r1, r1, &(0x7f00000001c0), 0x0) ioctl$F2FS_IOC_START_VOLATILE_WRITE(r1, 0xf503, 0x0) 02:21:10 executing program 5: r0 = perf_event_open(&(0x7f0000000180)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0xc6, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = perf_event_open(&(0x7f000025c000)={0x400000001, 0x70, 0x2005, 0x0, 0x0, 0x0, 0x0, 0x1, 0x8090, 0xf, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, r0, 0x0) r2 = perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) mmap(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x0, 0x11, r2, 0x0) ioctl$PERF_EVENT_IOC_SET_OUTPUT(r1, 0x2405, r2) r3 = socket$inet(0x2, 0x4000000000000001, 0x0) bind$inet(r3, &(0x7f0000000040)={0x2, 0x4e23, @multicast1}, 0x10) sendto$inet(r3, 0x0, 0x10b, 0x200007fe, &(0x7f0000000100)={0x2, 0x10004e23, @dev={0xac, 0x14, 0x14, 0xa}}, 0x10) sendto$inet(r3, &(0x7f0000d7cfcb), 0xffffffffffffffef, 0x0, 0x0, 0x53) 02:21:10 executing program 3: syz_open_dev$dri(0x0, 0x0, 0x0) ftruncate(0xffffffffffffffff, 0x0) sendfile(0xffffffffffffffff, 0xffffffffffffffff, 0x0, 0x0) r0 = perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x81, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = syz_init_net_socket$bt_l2cap(0x1f, 0x3, 0x0) socket$key(0xf, 0x3, 0x2) connect$bt_l2cap(r1, &(0x7f0000000000)={0x1f, 0x0, @fixed={[], 0x10}}, 0xe) sendmmsg$inet(r1, &(0x7f0000003f00)=[{{0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2a0}}], 0x500, 0x0) perf_event_open(0x0, 0x0, 0x0, r0, 0x1) mmap(&(0x7f0000008000/0x600000)=nil, 0x600000, 0x0, 0x11, r0, 0x0) [ 128.237721][ T9727] usb 5-1: new high-speed USB device number 5 using dummy_hcd [ 128.477402][ T9727] usb 5-1: Using ep0 maxpacket: 8 02:21:10 executing program 0: perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x41c1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x4}, 0x0, 0x0, 0xffffffffffffffff, 0x0) r0 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_route(r0, &(0x7f0000000000)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000140)=@newlink={0x44, 0x10, 0xffffff1f, 0x0, 0x0, {}, [@IFLA_LINKINFO={0x24, 0x12, 0x0, 0x1, @bridge={{0xb, 0x1, 'bridge\x00'}, {0x14, 0x2, 0x0, 0x1, [@IFLA_BR_MCAST_STARTUP_QUERY_CNT={0x8, 0x1d, 0x2}, @IFLA_BR_MCAST_SNOOPING={0x5}]}}}]}, 0x44}}, 0x0) socket$inet6_udp(0xa, 0x2, 0x0) ioctl$TIOCMGET(0xffffffffffffffff, 0x5415, &(0x7f0000000080)) r1 = open(0x0, 0x200c2, 0x0) sendfile(r1, r1, &(0x7f00000001c0), 0x0) ioctl$F2FS_IOC_START_VOLATILE_WRITE(r1, 0xf503, 0x0) [ 128.597956][ T9727] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 0, changing to 7 [ 128.621621][ T9727] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 128.634228][ T9727] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x82 has invalid wMaxPacketSize 0 [ 128.645917][ T9727] usb 5-1: config 1 interface 0 altsetting 0 bulk endpoint 0x82 has invalid maxpacket 0 [ 128.658065][ T9727] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x3 has invalid wMaxPacketSize 0 [ 128.669829][ T9727] usb 5-1: config 1 interface 0 altsetting 0 bulk endpoint 0x3 has invalid maxpacket 0 [ 128.838009][ T9727] usb 5-1: New USB device found, idVendor=0525, idProduct=a4a1, bcdDevice= 0.40 [ 128.854308][ T9727] usb 5-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 128.877892][ T9727] usb 5-1: Product: syz [ 128.911674][ T9727] usb 5-1: Manufacturer: syz [ 128.935424][ T9727] usb 5-1: SerialNumber: syz [ 128.999931][ T9727] cdc_ether: probe of 5-1:1.0 failed with error -22 02:21:11 executing program 2: syz_open_dev$dri(0x0, 0x0, 0x0) ftruncate(0xffffffffffffffff, 0x0) sendfile(0xffffffffffffffff, 0xffffffffffffffff, 0x0, 0x0) r0 = perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x81, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = syz_init_net_socket$bt_l2cap(0x1f, 0x3, 0x0) socket$key(0xf, 0x3, 0x2) connect$bt_l2cap(r1, &(0x7f0000000000)={0x1f, 0x0, @fixed={[], 0x10}}, 0xe) sendmmsg$inet(r1, &(0x7f0000003f00)=[{{0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2a0}}], 0x500, 0x0) perf_event_open(0x0, 0x0, 0x0, r0, 0x1) mmap(&(0x7f0000008000/0x600000)=nil, 0x600000, 0x0, 0x11, r0, 0x0) 02:21:11 executing program 1: perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x41c1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x4}, 0x0, 0x0, 0xffffffffffffffff, 0x0) r0 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_route(r0, &(0x7f0000000000)={0x0, 0x0, &(0x7f0000000200)={&(0x7f0000000140)=@newlink={0x44, 0x10, 0xffffff1f, 0x0, 0x0, {}, [@IFLA_LINKINFO={0x24, 0x12, 0x0, 0x1, @bridge={{0xb, 0x1, 'bridge\x00'}, {0x14, 0x2, 0x0, 0x1, [@IFLA_BR_MCAST_STARTUP_QUERY_CNT={0x8, 0x1d, 0x2}, @IFLA_BR_MCAST_SNOOPING={0x5}]}}}]}, 0x44}}, 0x0) socket$inet6_udp(0xa, 0x2, 0x0) ioctl$TIOCMGET(0xffffffffffffffff, 0x5415, &(0x7f0000000080)) r1 = open(0x0, 0x200c2, 0x0) sendfile(r1, r1, &(0x7f00000001c0), 0x0) ioctl$F2FS_IOC_START_VOLATILE_WRITE(r1, 0xf503, 0x0) [ 129.287920][ T9764] usb 5-1: USB disconnect, device number 5 02:21:11 executing program 5: r0 = perf_event_open(&(0x7f0000000180)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0xc6, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = perf_event_open(&(0x7f000025c000)={0x400000001, 0x70, 0x2005, 0x0, 0x0, 0x0, 0x0, 0x1, 0x8090, 0xf, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, r0, 0x0) r2 = perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) mmap(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x0, 0x11, r2, 0x0) ioctl$PERF_EVENT_IOC_SET_OUTPUT(r1, 0x2405, r2) r3 = socket$inet(0x2, 0x4000000000000001, 0x0) bind$inet(r3, &(0x7f0000000040)={0x2, 0x4e23, @multicast1}, 0x10) sendto$inet(r3, 0x0, 0x10b, 0x200007fe, &(0x7f0000000100)={0x2, 0x10004e23, @dev={0xac, 0x14, 0x14, 0xa}}, 0x10) sendto$inet(r3, &(0x7f0000d7cfcb), 0xffffffffffffffef, 0x0, 0x0, 0x53) 02:21:11 executing program 3: syz_open_dev$dri(0x0, 0x0, 0x0) ftruncate(0xffffffffffffffff, 0x0) sendfile(0xffffffffffffffff, 0xffffffffffffffff, 0x0, 0x0) r0 = perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x81, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = syz_init_net_socket$bt_l2cap(0x1f, 0x3, 0x0) socket$key(0xf, 0x3, 0x2) connect$bt_l2cap(r1, &(0x7f0000000000)={0x1f, 0x0, @fixed={[], 0x10}}, 0xe) sendmmsg$inet(r1, &(0x7f0000003f00)=[{{0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2a0}}], 0x500, 0x0) perf_event_open(0x0, 0x0, 0x0, r0, 0x1) mmap(&(0x7f0000008000/0x600000)=nil, 0x600000, 0x0, 0x11, r0, 0x0) 02:21:12 executing program 4: syz_usb_connect$cdc_ecm(0x0, 0x56, &(0x7f0000000000)={{0x12, 0x1, 0x0, 0x2, 0x0, 0x0, 0x8, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x44, 0x1, 0x1, 0x0, 0x0, 0x0, [{{0x9, 0x4, 0x0, 0x0, 0x3, 0x2, 0x6, 0x0, 0x0, {{0x5}, {0x5}, {0xd}}, {[{}]}}}]}}]}}, 0x0) 02:21:12 executing program 0: r0 = perf_event_open(&(0x7f0000000180)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0xc6, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = perf_event_open(&(0x7f000025c000)={0x400000001, 0x70, 0x2005, 0x0, 0x0, 0x0, 0x0, 0x1, 0x8090, 0xf, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, r0, 0x0) r2 = perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) mmap(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x0, 0x11, r2, 0x0) ioctl$PERF_EVENT_IOC_SET_OUTPUT(r1, 0x2405, r2) r3 = socket$inet(0x2, 0x4000000000000001, 0x0) bind$inet(r3, &(0x7f0000000040)={0x2, 0x4e23, @multicast1}, 0x10) sendto$inet(r3, 0x0, 0x10b, 0x200007fe, &(0x7f0000000100)={0x2, 0x10004e23, @dev={0xac, 0x14, 0x14, 0xa}}, 0x10) sendto$inet(r3, &(0x7f0000d7cfcb), 0xffffffffffffffef, 0x0, 0x0, 0x53) 02:21:12 executing program 1: futex(&(0x7f000000cffc), 0x80000000000b, 0x0, 0x0, &(0x7f0000048000), 0x0) futex(&(0x7f000000cffc)=0x4, 0x80000000000b, 0x4, 0x0, &(0x7f0000048000), 0x0) futex(&(0x7f000000cffc), 0xc, 0x1, &(0x7f0000000000)={0x77359400}, &(0x7f0000048000), 0x0) [ 130.377946][ T9727] usb 5-1: new high-speed USB device number 6 using dummy_hcd 02:21:12 executing program 2: r0 = socket$inet_icmp_raw(0x2, 0x3, 0x1) r1 = dup(r0) ioctl$PERF_EVENT_IOC_ENABLE(r1, 0x8912, 0x400200) r2 = add_key$user(&(0x7f0000000040)='user\x00', &(0x7f0000000080)={'syz', 0x0}, &(0x7f0000000700)="dd02c50e9d52b2deb7d406cc9eab928b60cf2d6e651b3026968a9b84cad76fef608a85ac45bd4fa3f38dbb8c3f17f9cb0fd7197af893256286308171276909b38a2737e1a28d8c02f32da6ab6935384a9cf86cbca15d2b37a46b395b7fadcb46d0c33d89499d0f0e43a49766d52940ce0b4c1ac19f7f07a16219b95cadb06b90cc03095be313e3d73a182845c4c38ef36ef90227153641b0d004e959d5c7a524ee99a704b269b6f773fdb3b40d7fee1af754034193f5e7ece76ae120fb0c1900", 0xc0, 0xfffffffffffffffd) r3 = add_key$user(&(0x7f0000000140)='user\x00', &(0x7f0000000100)={'syz', 0x2}, &(0x7f0000000300)="01", 0x1, 0xffffffffffffffff) keyctl$dh_compute(0x17, &(0x7f0000000000)={r2, r2, r3}, 0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000240)={'wp384\x00'}}) 02:21:12 executing program 5: r0 = perf_event_open(&(0x7f0000000180)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0xc6, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = perf_event_open(&(0x7f000025c000)={0x400000001, 0x70, 0x2005, 0x0, 0x0, 0x0, 0x0, 0x1, 0x8090, 0xf, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, r0, 0x0) r2 = perf_event_open(&(0x7f000001d000)={0x1, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) mmap(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x0, 0x11, r2, 0x0) ioctl$PERF_EVENT_IOC_SET_OUTPUT(r1, 0x2405, r2) r3 = socket$inet(0x2, 0x4000000000000001, 0x0) bind$inet(r3, &(0x7f0000000040)={0x2, 0x4e23, @multicast1}, 0x10) sendto$inet(r3, 0x0, 0x10b, 0x200007fe, &(0x7f0000000100)={0x2, 0x10004e23, @dev={0xac, 0x14, 0x14, 0xa}}, 0x10) sendto$inet(r3, &(0x7f0000d7cfcb), 0xffffffffffffffef, 0x0, 0x0, 0x53) 02:21:12 executing program 3: syz_emit_ethernet(0xa66, &(0x7f0000001f80)={@multicast, @random="68bc4ef2f899", @void, {@ipv6={0x86dd, @icmpv6={0x0, 0x6, "03ce02", 0xa30, 0x3a, 0xff, @remote, @mcast2, {[], @ndisc_redir={0x89, 0x0, 0x0, [], @loopback, @loopback, [{0x0, 0x1c, "4af5ed2889818240dc00f9586dec836b24d9e09ba7e0fb6e4c019b4732fd79632c80bb666d8f5a808608b246fc603f877c685a675cb034a0ddb7eb3c38c032cd3ffb476625604425fcf538f900fe1e8620324a7bca06bff4240845a2a973ce2730239a4500fad8463ea3d15488ee45084422fdf2866cb0b6558965b498dad3dd1a5432899f0fabb29cba922f36f764b00cd3f01e326df76472497507404bfcbedc42a2ca950d30761a714b7aae774a9be298555b1515212289cf6ac35e7ea841c678a08c2734ef8978954ce5834d50ebc2a996fe612ecce8e3f13004a125a762ec04cafda5"}, {0x0, 0xc, "fec66e9673c146e28aadb19c4f860641e8d1066a612aa6ea66d4e5a32a217c9e5b38d9a18e306363920312d0a219855b130ca5987b8bd859fb9f13bd3e4f56052d089037ab233aaf7985a5de3e1facc57a2cb994808c029227bd864b9be0"}, {0x0, 0x15, "4b4ccace0e2cd3e600b445f3d7d11a992e1cc9e9b220204daf71bfd2e65f462acc3248c27c20bfbc2a3786e9115397d5d81b16c23c236454b22b3543ee787e0251976e87856d133c39f2273ada1a5b2cc8bdd362e5fe928dc28352c4af70fb0113af334cd62eb2cd6ef02ca0e2b3e9ee4d4fe282d3b43e40211446c00866b139735ac95a41ef8a7a3835cc79a07484341b33f849c6b96c4db62f2c0e12cc438b5c29144c48371df6d55e"}, {0x0, 0x18, "c21fbfd4293c4c2362ea4b3038efb9eaa2ea539cfbf1927a6e247af06611cb36074337fb4c22f15998978255d62a2b2ea7667b07ebfd0737df7f6a8c4b961c3cf16160929035fa424ed50b84b7c6ac6a7d68bc3a7e753e7568713d51ae8a8e16f4303dcd3e083eef3a56ae9d61c45586bd08fd6591921b4dedae300b7b404232e9cde672931e5141757d1eec5804316917717baeab4b424cded5ade5b239382f2a213bd839e14dfa084e2debbe87b2cb2f73dfa41346a0cc4900"/195}, {0x0, 0x2, "12c28128d032a47e8cc46a551f41f9f132704dd5"}, {0x0, 0xe7, "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"}]}}}}}}, 0x0) 02:21:13 executing program 1: futex(&(0x7f000000cffc), 0x80000000000b, 0x0, 0x0, &(0x7f0000048000), 0x0) futex(&(0x7f000000cffc)=0x4, 0x80000000000b, 0x4, 0x0, &(0x7f0000048000), 0x0) futex(&(0x7f000000cffc), 0xc, 0x1, &(0x7f0000000000)={0x77359400}, &(0x7f0000048000), 0x0) [ 130.627375][ T9727] usb 5-1: Using ep0 maxpacket: 8 [ 130.747483][ T9727] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x81 has an invalid bInterval 0, changing to 7 [ 130.795318][ T9727] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x81 has invalid wMaxPacketSize 0 [ 130.885049][ T9727] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x82 has invalid wMaxPacketSize 0 02:21:13 executing program 3: syz_emit_ethernet(0xa66, &(0x7f0000001f80)={@multicast, @random="68bc4ef2f899", @void, {@ipv6={0x86dd, @icmpv6={0x0, 0x6, "03ce02", 0xa30, 0x3a, 0xff, @remote, @mcast2, {[], @ndisc_redir={0x89, 0x0, 0x0, [], @loopback, @loopback, [{0x0, 0x1c, "4af5ed2889818240dc00f9586dec836b24d9e09ba7e0fb6e4c019b4732fd79632c80bb666d8f5a808608b246fc603f877c685a675cb034a0ddb7eb3c38c032cd3ffb476625604425fcf538f900fe1e8620324a7bca06bff4240845a2a973ce2730239a4500fad8463ea3d15488ee45084422fdf2866cb0b6558965b498dad3dd1a5432899f0fabb29cba922f36f764b00cd3f01e326df76472497507404bfcbedc42a2ca950d30761a714b7aae774a9be298555b1515212289cf6ac35e7ea841c678a08c2734ef8978954ce5834d50ebc2a996fe612ecce8e3f13004a125a762ec04cafda5"}, {0x0, 0xc, "fec66e9673c146e28aadb19c4f860641e8d1066a612aa6ea66d4e5a32a217c9e5b38d9a18e306363920312d0a219855b130ca5987b8bd859fb9f13bd3e4f56052d089037ab233aaf7985a5de3e1facc57a2cb994808c029227bd864b9be0"}, {0x0, 0x15, "4b4ccace0e2cd3e600b445f3d7d11a992e1cc9e9b220204daf71bfd2e65f462acc3248c27c20bfbc2a3786e9115397d5d81b16c23c236454b22b3543ee787e0251976e87856d133c39f2273ada1a5b2cc8bdd362e5fe928dc28352c4af70fb0113af334cd62eb2cd6ef02ca0e2b3e9ee4d4fe282d3b43e40211446c00866b139735ac95a41ef8a7a3835cc79a07484341b33f849c6b96c4db62f2c0e12cc438b5c29144c48371df6d55e"}, {0x0, 0x18, "c21fbfd4293c4c2362ea4b3038efb9eaa2ea539cfbf1927a6e247af06611cb36074337fb4c22f15998978255d62a2b2ea7667b07ebfd0737df7f6a8c4b961c3cf16160929035fa424ed50b84b7c6ac6a7d68bc3a7e753e7568713d51ae8a8e16f4303dcd3e083eef3a56ae9d61c45586bd08fd6591921b4dedae300b7b404232e9cde672931e5141757d1eec5804316917717baeab4b424cded5ade5b239382f2a213bd839e14dfa084e2debbe87b2cb2f73dfa41346a0cc4900"/195}, {0x0, 0x2, "12c28128d032a47e8cc46a551f41f9f132704dd5"}, {0x0, 0xe7, "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"}]}}}}}}, 0x0) [ 130.977400][ T9727] usb 5-1: config 1 interface 0 altsetting 0 bulk endpoint 0x82 has invalid maxpacket 0 [ 130.987203][ T9727] usb 5-1: config 1 interface 0 altsetting 0 endpoint 0x3 has invalid wMaxPacketSize 0 [ 131.147471][ T9727] usb 5-1: config 1 interface 0 altsetting 0 bulk endpoint 0x3 has invalid maxpacket 0 02:21:13 executing program 2: r0 = socket$inet_icmp_raw(0x2, 0x3, 0x1) r1 = dup(r0) ioctl$PERF_EVENT_IOC_ENABLE(r1, 0x8912, 0x400200) r2 = add_key$user(&(0x7f0000000040)='user\x00', &(0x7f0000000080)={'syz', 0x0}, &(0x7f0000000700)="dd02c50e9d52b2deb7d406cc9eab928b60cf2d6e651b3026968a9b84cad76fef608a85ac45bd4fa3f38dbb8c3f17f9cb0fd7197af893256286308171276909b38a2737e1a28d8c02f32da6ab6935384a9cf86cbca15d2b37a46b395b7fadcb46d0c33d89499d0f0e43a49766d52940ce0b4c1ac19f7f07a16219b95cadb06b90cc03095be313e3d73a182845c4c38ef36ef90227153641b0d004e959d5c7a524ee99a704b269b6f773fdb3b40d7fee1af754034193f5e7ece76ae120fb0c1900", 0xc0, 0xfffffffffffffffd) r3 = add_key$user(&(0x7f0000000140)='user\x00', &(0x7f0000000100)={'syz', 0x2}, &(0x7f0000000300)="01", 0x1, 0xffffffffffffffff) keyctl$dh_compute(0x17, &(0x7f0000000000)={r2, r2, r3}, 0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000240)={'wp384\x00'}}) 02:21:14 executing program 1: futex(&(0x7f000000cffc), 0x80000000000b, 0x0, 0x0, &(0x7f0000048000), 0x0) futex(&(0x7f000000cffc)=0x4, 0x80000000000b, 0x4, 0x0, &(0x7f0000048000), 0x0) futex(&(0x7f000000cffc), 0xc, 0x1, &(0x7f0000000000)={0x77359400}, &(0x7f0000048000), 0x0) [ 231.888635][ T9764] Bluetooth: hci2: command 0x0406 tx timeout [ 231.898207][ T9764] Bluetooth: hci3: command 0x0406 tx timeout [ 236.227728][ C1] rcu: INFO: rcu_preempt self-detected stall on CPU [ 236.234783][ C1] rcu: 1-....: (10499 ticks this GP) idle=732/1/0x4000000000000000 softirq=13715/13716 fqs=5198 [ 236.246214][ C1] (t=10500 jiffies g=14949 q=1957) [ 236.251544][ C1] NMI backtrace for cpu 1 [ 236.255870][ C1] CPU: 1 PID: 10126 Comm: syz-executor.0 Not tainted 5.11.0-rc3-next-20210115-syzkaller #0 [ 236.265842][ C1] Hardware name: Google Google Compute Engine/Google Compute Engine, BIOS Google 01/01/2011 [ 236.276058][ C1] Call Trace: [ 236.279436][ C1] [ 236.282287][ C1] dump_stack+0x107/0x163 [ 236.286882][ C1] nmi_cpu_backtrace.cold+0x44/0xd7 [ 236.292383][ C1] ? lapic_can_unplug_cpu+0x80/0x80 [ 236.297663][ C1] nmi_trigger_cpumask_backtrace+0x1b3/0x230 [ 236.303998][ C1] rcu_dump_cpu_stacks+0x222/0x2a7 [ 236.309192][ C1] rcu_sched_clock_irq.cold+0x522/0x1252 [ 236.314921][ C1] ? rcutree_dead_cpu+0x50/0x50 [ 236.319777][ C1] ? lock_downgrade+0x6d0/0x6d0 [ 236.324639][ C1] ? __raise_softirq_irqoff+0x93/0x1e0 [ 236.330153][ C1] update_process_times+0x16d/0x200 [ 236.335356][ C1] tick_sched_handle+0x9b/0x180 [ 236.340261][ C1] tick_sched_timer+0x1b0/0x2d0 [ 236.345129][ C1] ? get_cpu_iowait_time_us+0x3f0/0x3f0 [ 236.350928][ C1] __hrtimer_run_queues+0x1c0/0xe40 [ 236.356148][ C1] ? hrtimer_sleeper_start_expires+0x80/0x80 [ 236.362335][ C1] ? ktime_get_update_offsets_now+0x268/0x340 [ 236.368421][ C1] hrtimer_interrupt+0x334/0x940 [ 236.373444][ C1] __sysvec_apic_timer_interrupt+0x146/0x540 [ 236.379923][ C1] asm_call_irq_on_stack+0xf/0x20 [ 236.384962][ C1] [ 236.387988][ C1] sysvec_apic_timer_interrupt+0xbd/0x100 [ 236.393721][ C1] asm_sysvec_apic_timer_interrupt+0x12/0x20 [ 236.399732][ C1] RIP: 0010:memcpy_erms+0x8/0x10 [ 236.404677][ C1] Code: cc cc eb 1e 0f 1f 00 48 89 f8 48 89 d1 48 c1 e9 03 83 e2 07 f3 48 a5 89 d1 f3 a4 c3 66 0f 1f 44 00 00 48 89 f8 48 89 d1 f3 a4 0f 1f 80 00 00 00 00 48 89 f8 48 83 fa 20 72 7e 40 38 fe 7c 35 [ 236.424943][ C1] RSP: 0018:ffffc90001c57010 EFLAGS: 00000202 [ 236.431183][ C1] RAX: ffff88802a85ec68 RBX: ffffc90001c57360 RCX: 0000000000000000 [ 236.439208][ C1] RDX: 0000000000000010 RSI: ffffc90001c57160 RDI: ffff88802a85ec78 [ 236.447177][ C1] RBP: 0000000000000000 R08: 0000000000000001 R09: ffff88802a85ec77 [ 236.455147][ C1] R10: ffffed100550bd8e R11: 0000000000000001 R12: ffffc90001c57150 [ 236.463164][ C1] R13: dffffc0000000000 R14: 1ffff9200038ae71 R15: 0000000000000010 [ 236.471160][ C1] perf_output_read+0xd7a/0x1310 [ 236.476156][ C1] ? ring_buffer_wakeup+0x2b0/0x2b0 [ 236.481411][ C1] ? lock_release+0x710/0x710 [ 236.486118][ C1] ? asm_sysvec_apic_timer_interrupt+0x12/0x20 [ 236.492280][ C1] ? __sanitizer_cov_trace_const_cmp8+0x1d/0x70 [ 236.498733][ C1] ? perf_output_copy+0x188/0x230 [ 236.503836][ C1] perf_output_sample+0xd12/0x1be0 [ 236.508951][ C1] ? perf_output_begin_forward+0x519/0xad0 [ 236.515053][ C1] ? perf_event__output_id_sample+0x80/0x80 [ 236.521106][ C1] ? perf_callchain+0x1c0/0x1c0 [ 236.526017][ C1] perf_event_output_forward+0x132/0x270 [ 236.531702][ C1] ? perf_prepare_sample+0x1e90/0x1e90 [ 236.537169][ C1] ? irqtime_account_irq+0x187/0x2d0 [ 236.542555][ C1] ? __sanitizer_cov_trace_const_cmp1+0x22/0x80 [ 236.548860][ C1] __perf_event_overflow+0x13c/0x370 [ 236.554200][ C1] perf_swevent_event+0x347/0x550 [ 236.559230][ C1] ? __sanitizer_cov_trace_const_cmp1+0x22/0x80 [ 236.565664][ C1] ___perf_sw_event+0x31a/0x570 [ 236.570524][ C1] ? perf_swevent_put_recursion_context+0xb0/0xb0 [ 236.576953][ C1] ? lockdep_hardirqs_on_prepare+0x400/0x400 [ 236.582937][ C1] ? lock_chain_count+0x20/0x20 [ 236.587812][ C1] ? find_held_lock+0x2d/0x110 [ 236.592642][ C1] ? handle_mm_fault+0x28a8/0x5690 [ 236.597770][ C1] ? lock_downgrade+0x6d0/0x6d0 [ 236.602855][ C1] ? __perf_sw_event+0x54/0x130 [ 236.607720][ C1] ? perf_swevent_get_recursion_context+0xfd/0x140 [ 236.614299][ C1] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 236.620544][ C1] __perf_sw_event+0x54/0x130 [ 236.625256][ C1] handle_mm_fault+0x10c8/0x5690 [ 236.630386][ C1] ? vm_iomap_memory+0x190/0x190 [ 236.635476][ C1] do_user_addr_fault+0x458/0xc60 [ 236.640516][ C1] exc_page_fault+0x9e/0x180 [ 236.645128][ C1] asm_exc_page_fault+0x1e/0x30 [ 236.649993][ C1] RIP: 0010:copy_user_enhanced_fast_string+0xe/0x40 [ 236.656738][ C1] Code: 89 d1 c1 e9 03 83 e2 07 f3 48 a5 89 d1 f3 a4 31 c0 0f 1f 00 c3 0f 1f 80 00 00 00 00 0f 1f 00 83 fa 40 0f 82 70 ff ff ff 89 d1 a4 31 c0 0f 1f 00 c3 66 2e 0f 1f 84 00 00 00 00 00 89 d1 83 f8 [ 236.676579][ C1] RSP: 0018:ffffc90001c579c8 EFLAGS: 00010206 [ 236.682947][ C1] RAX: 0000000000000001 RBX: 0000000000008000 RCX: 0000000000000fcb [ 236.691054][ C1] RDX: 0000000000008000 RSI: 0000000020ff4000 RDI: ffff888014dcf035 [ 236.699164][ C1] RBP: ffff888014dc8000 R08: 0000000000000001 R09: ffff888014dcffff [ 236.707233][ C1] R10: ffffed10029b9fff R11: 0000000000000000 R12: 0000000020fecfcb [ 236.715265][ C1] R13: 0000000020ff4fcb R14: 0000000000000000 R15: ffffc90001c57da8 [ 236.723393][ C1] copyin.part.0+0xe4/0x110 [ 236.727915][ C1] _copy_from_iter_full+0x228/0x850 [ 236.733195][ C1] ? __sanitizer_cov_trace_const_cmp8+0x1d/0x70 [ 236.739616][ C1] ? __phys_addr_symbol+0x2c/0x70 [ 236.744816][ C1] ? __sanitizer_cov_trace_cmp8+0x1d/0x70 [ 236.750580][ C1] ? __check_object_size+0x171/0x3f0 [ 236.755924][ C1] skb_do_copy_data_nocache+0x145/0x2c0 [ 236.761513][ C1] ? tcp_poll+0xd50/0xd50 [ 236.765851][ C1] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 236.772308][ C1] tcp_sendmsg_locked+0x1360/0x2e40 [ 236.777604][ C1] ? tcp_remove_empty_skb+0x8a0/0x8a0 [ 236.782989][ C1] ? __local_bh_enable_ip+0xa8/0x110 [ 236.788343][ C1] tcp_sendmsg+0x2b/0x40 [ 236.792639][ C1] inet_sendmsg+0x99/0xe0 [ 236.797099][ C1] ? inet_send_prepare+0x4d0/0x4d0 [ 236.802398][ C1] sock_sendmsg+0xcf/0x120 [ 236.806828][ C1] __sys_sendto+0x21c/0x320 [ 236.811575][ C1] ? __ia32_sys_getpeername+0xb0/0xb0 [ 236.816967][ C1] ? sched_clock_cpu+0x18/0x1f0 [ 236.821822][ C1] ? sched_clock_cpu+0x18/0x1f0 [ 236.826726][ C1] ? asm_sysvec_apic_timer_interrupt+0x12/0x20 [ 236.833070][ C1] ? lockdep_hardirqs_on+0x79/0x100 [ 236.838367][ C1] ? asm_sysvec_apic_timer_interrupt+0x12/0x20 [ 236.844573][ C1] __x64_sys_sendto+0xdd/0x1b0 [ 236.849341][ C1] ? __sys_sendto+0x320/0x320 [ 236.854032][ C1] do_syscall_64+0x2d/0x70 [ 236.858568][ C1] entry_SYSCALL_64_after_hwframe+0x44/0xa9 [ 236.864473][ C1] RIP: 0033:0x45e219 [ 236.868423][ C1] Code: 0d b4 fb ff c3 66 2e 0f 1f 84 00 00 00 00 00 66 90 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 0f 83 db b3 fb ff c3 66 2e 0f 1f 84 00 00 00 00 [ 236.888728][ C1] RSP: 002b:00007fcbf05e2c68 EFLAGS: 00000246 ORIG_RAX: 000000000000002c [ 236.897163][ C1] RAX: ffffffffffffffda RBX: 0000000000000006 RCX: 000000000045e219 [ 236.905146][ C1] RDX: ffffffffffffffef RSI: 0000000020d7cfcb RDI: 0000000000000006 [ 236.913186][ C1] RBP: 000000000119bfd8 R08: 0000000000000000 R09: 0000000000000053 [ 236.921302][ C1] R10: 0000000000000000 R11: 0000000000000246 R12: 000000000119bf8c [ 236.929274][ C1] R13: 00007ffd50b3f1bf R14: 00007fcbf05e39c0 R15: 000000000119bf8c