Jun 20 22:12:22 ci2-netbsd-kubsan-2 getty[1106]: /dev/ttyE1: Device not configured NetBSD/amd64 (ci2-netbsd-kubsan-2.c.syzkaller.internal) (constty) Jun 20 22:12:22 ci2-netbsd-kubsan-2 getty[1259]: /dev/ttyE3: Device not configured login: Jun 20 22:12:22 ci2-netbsd-kubsan-2 getty[1071]: /dev/ttyE2: Device not configured Warning: Permanently added '10.128.1.1' (ECDSA) to the list of known hosts. 2022/06/20 22:12:33 fuzzer started 2022/06/20 22:12:33 dialing manager at 10.128.0.163:37999 2022/06/20 22:12:34 syscalls: 460 2022/06/20 22:12:34 code coverage: enabled 2022/06/20 22:12:34 comparison tracing: enabled 2022/06/20 22:12:34 extra coverage: enabled 2022/06/20 22:12:34 delay kcov mmap: enabled 2022/06/20 22:12:34 setuid sandbox: support is not implemented in syzkaller 2022/06/20 22:12:34 namespace sandbox: support is not implemented in syzkaller 2022/06/20 22:12:34 Android sandbox: support is not implemented in syzkaller 2022/06/20 22:12:34 fault injection: enabled 2022/06/20 22:12:34 leak checking: support is not implemented in syzkaller 2022/06/20 22:12:34 net packet injection: support is not implemented in syzkaller 2022/06/20 22:12:34 net device setup: support is not implemented in syzkaller 2022/06/20 22:12:34 concurrency sanitizer: support is not implemented in syzkaller 2022/06/20 22:12:34 devlink PCI setup: support is not implemented in syzkaller 2022/06/20 22:12:34 USB emulation: enabled 2022/06/20 22:12:34 hci packet injection: support is not implemented in syzkaller 2022/06/20 22:12:34 wifi device emulation: support is not implemented in syzkaller 2022/06/20 22:12:34 802.15.4 emulation: support is not implemented in syzkaller 2022/06/20 22:12:34 fetching corpus: 50, signal 20540/22182 (executing program) 2022/06/20 22:12:34 fetching corpus: 100, signal 27392/30471 (executing program) 2022/06/20 22:12:34 fetching corpus: 150, signal 31536/35963 (executing program) 2022/06/20 22:12:34 fetching corpus: 200, signal 35996/41621 (executing program) 2022/06/20 22:12:34 fetching corpus: 250, signal 40516/47132 (executing program) 2022/06/20 22:12:34 fetching corpus: 300, signal 43679/51325 (executing program) 2022/06/20 22:12:34 fetching corpus: 350, signal 47627/56099 (executing program) 2022/06/20 22:12:35 fetching corpus: 400, signal 49052/58528 (executing program) 2022/06/20 22:12:35 fetching corpus: 450, signal 55303/65080 (executing program) 2022/06/20 22:12:35 fetching corpus: 500, signal 56588/67208 (executing program) 2022/06/20 22:12:35 fetching corpus: 550, signal 59562/70735 (executing program) 2022/06/20 22:12:35 fetching corpus: 600, signal 60396/72392 (executing program) 2022/06/20 22:12:35 fetching corpus: 650, signal 61344/74117 (executing program) 2022/06/20 22:12:35 fetching corpus: 700, signal 63087/76427 (executing program) 2022/06/20 22:12:35 fetching corpus: 750, signal 64765/78675 (executing program) 2022/06/20 22:12:35 fetching corpus: 800, signal 65836/80437 (executing program) 2022/06/20 22:12:36 fetching corpus: 850, signal 67237/82376 (executing program) 2022/06/20 22:12:36 fetching corpus: 900, signal 68291/84028 (executing program) 2022/06/20 22:12:36 fetching corpus: 950, signal 69168/85511 (executing program) 2022/06/20 22:12:36 fetching corpus: 1000, signal 70766/87422 (executing program) 2022/06/20 22:12:36 fetching corpus: 1050, signal 71597/88780 (executing program) 2022/06/20 22:12:36 fetching corpus: 1100, signal 72141/89932 (executing program) 2022/06/20 22:12:36 fetching corpus: 1150, signal 72833/91142 (executing program) 2022/06/20 22:12:36 fetching corpus: 1200, signal 73375/92257 (executing program) 2022/06/20 22:12:36 fetching corpus: 1250, signal 74961/93979 (executing program) 2022/06/20 22:12:37 fetching corpus: 1300, signal 75868/95248 (executing program) 2022/06/20 22:12:37 fetching corpus: 1350, signal 77077/96644 (executing program) 2022/06/20 22:12:37 fetching corpus: 1400, signal 77971/97869 (executing program) 2022/06/20 22:12:37 fetching corpus: 1450, signal 78805/98989 (executing program) 2022/06/20 22:12:37 fetching corpus: 1500, signal 80256/100431 (executing program) 2022/06/20 22:12:37 fetching corpus: 1550, signal 81031/101476 (executing program) 2022/06/20 22:12:37 fetching corpus: 1600, signal 82561/102883 (executing program) 2022/06/20 22:12:37 fetching corpus: 1650, signal 83283/103827 (executing program) 2022/06/20 22:12:37 fetching corpus: 1700, signal 84714/105083 (executing program) 2022/06/20 22:12:37 fetching corpus: 1750, signal 85216/105886 (executing program) 2022/06/20 22:12:38 fetching corpus: 1800, signal 85750/106728 (executing program) 2022/06/20 22:12:38 fetching corpus: 1850, signal 86272/107479 (executing program) 2022/06/20 22:12:38 fetching corpus: 1900, signal 87027/108316 (executing program) 2022/06/20 22:12:38 fetching corpus: 1950, signal 87505/109058 (executing program) 2022/06/20 22:12:38 fetching corpus: 2000, signal 88081/109828 (executing program) 2022/06/20 22:12:38 fetching corpus: 2050, signal 89051/110673 (executing program) 2022/06/20 22:12:38 fetching corpus: 2100, signal 90329/111609 (executing program) 2022/06/20 22:12:38 fetching corpus: 2150, signal 90693/112225 (executing program) 2022/06/20 22:12:38 fetching corpus: 2200, signal 91073/112796 (executing program) 2022/06/20 22:12:39 fetching corpus: 2250, signal 91546/113403 (executing program) 2022/06/20 22:12:39 fetching corpus: 2300, signal 92660/114138 (executing program) 2022/06/20 22:12:39 fetching corpus: 2350, signal 93009/114684 (executing program) 2022/06/20 22:12:39 fetching corpus: 2400, signal 93676/115263 (executing program) 2022/06/20 22:12:39 fetching corpus: 2450, signal 94170/115785 (executing program) 2022/06/20 22:12:39 fetching corpus: 2500, signal 94438/116269 (executing program) 2022/06/20 22:12:39 fetching corpus: 2550, signal 94765/116754 (executing program) 2022/06/20 22:12:39 fetching corpus: 2600, signal 95617/117282 (executing program) 2022/06/20 22:12:39 fetching corpus: 2650, signal 96053/117764 (executing program) 2022/06/20 22:12:40 fetching corpus: 2700, signal 96428/118232 (executing program) 2022/06/20 22:12:40 fetching corpus: 2750, signal 96906/118687 (executing program) 2022/06/20 22:12:40 fetching corpus: 2800, signal 97338/119106 (executing program) 2022/06/20 22:12:40 fetching corpus: 2850, signal 97740/119517 (executing program) 2022/06/20 22:12:40 fetching corpus: 2900, signal 98103/119929 (executing program) 2022/06/20 22:12:40 fetching corpus: 2950, signal 98496/120330 (executing program) 2022/06/20 22:12:40 fetching corpus: 3000, signal 98813/120685 (executing program) 2022/06/20 22:12:40 fetching corpus: 3050, signal 99460/120851 (executing program) 2022/06/20 22:12:40 fetching corpus: 3100, signal 100227/120851 (executing program) 2022/06/20 22:12:41 fetching corpus: 3150, signal 100643/120851 (executing program) 2022/06/20 22:12:41 fetching corpus: 3200, signal 100999/120851 (executing program) 2022/06/20 22:12:41 fetching corpus: 3250, signal 101523/120851 (executing program) 2022/06/20 22:12:41 fetching corpus: 3300, signal 101802/120851 (executing program) 2022/06/20 22:12:41 fetching corpus: 3350, signal 102116/120851 (executing program) 2022/06/20 22:12:41 fetching corpus: 3400, signal 102424/120851 (executing program) 2022/06/20 22:12:41 fetching corpus: 3450, signal 102901/120851 (executing program) 2022/06/20 22:12:41 fetching corpus: 3500, signal 103692/120851 (executing program) 2022/06/20 22:12:41 fetching corpus: 3550, signal 104764/120851 (executing program) 2022/06/20 22:12:41 fetching corpus: 3600, signal 106244/120851 (executing program) 2022/06/20 22:12:41 fetching corpus: 3650, signal 106612/120851 (executing program) 2022/06/20 22:12:42 fetching corpus: 3700, signal 106945/120851 (executing program) 2022/06/20 22:12:42 fetching corpus: 3750, signal 107295/120851 (executing program) 2022/06/20 22:12:42 fetching corpus: 3800, signal 107628/120851 (executing program) 2022/06/20 22:12:42 fetching corpus: 3850, signal 108255/120851 (executing program) 2022/06/20 22:12:42 fetching corpus: 3900, signal 108726/120851 (executing program) 2022/06/20 22:12:42 fetching corpus: 3950, signal 108886/120851 (executing program) 2022/06/20 22:12:42 fetching corpus: 4000, signal 109166/120851 (executing program) 2022/06/20 22:12:42 fetching corpus: 4050, signal 109481/120851 (executing program) 2022/06/20 22:12:43 fetching corpus: 4100, signal 109754/120851 (executing program) 2022/06/20 22:12:43 fetching corpus: 4150, signal 110112/120851 (executing program) 2022/06/20 22:12:43 fetching corpus: 4200, signal 110349/120851 (executing program) 2022/06/20 22:12:43 fetching corpus: 4250, signal 110576/120851 (executing program) 2022/06/20 22:12:43 fetching corpus: 4300, signal 110892/120851 (executing program) 2022/06/20 22:12:43 fetching corpus: 4350, signal 111104/120851 (executing program) 2022/06/20 22:12:43 fetching corpus: 4400, signal 111361/120851 (executing program) 2022/06/20 22:12:43 fetching corpus: 4450, signal 111742/120851 (executing program) 2022/06/20 22:12:43 fetching corpus: 4500, signal 111980/120851 (executing program) 2022/06/20 22:12:43 fetching corpus: 4550, signal 112356/120851 (executing program) 2022/06/20 22:12:44 fetching corpus: 4600, signal 112550/120851 (executing program) 2022/06/20 22:12:44 fetching corpus: 4650, signal 112913/120851 (executing program) 2022/06/20 22:12:44 fetching corpus: 4695, signal 113134/120851 (executing program) 2022/06/20 22:12:44 fetching corpus: 4695, signal 113134/120851 (executing program) 2022/06/20 22:12:44 starting 6 fuzzer processes 22:12:44 executing program 0: compat_50_setitimer(0x3, &(0x7f0000000000)={{0x8, 0x7}, {0x400}}, &(0x7f0000000040)) compat_50_setitimer(0x1, &(0x7f0000000080)={{0x2, 0x9}, {0x3ff, 0x7}}, 0x0) compat_50_setitimer(0x3, &(0x7f00000000c0)={{0x3085, 0x200}, {0x8, 0x100}}, &(0x7f0000000100)) compat_50_setitimer(0x3, &(0x7f0000000140)={{0x3, 0x7}, {0xfffffffffffffffa, 0x9}}, &(0x7f0000000180)) compat_50_setitimer(0x2, &(0x7f00000001c0)={{0x9, 0x7f}, {0x7, 0x1}}, 0x0) compat_50_setitimer(0x1, &(0x7f0000000200)={{0x4, 0x7}, {0x4, 0x4}}, 0x0) compat_50_setitimer(0x8610e23ffa3b820d, &(0x7f0000000240)={{0xfffffffffffffff9, 0x200}, {0x2, 0xffffffff}}, &(0x7f0000000280)) compat_50_setitimer(0x1, &(0x7f00000002c0)={{0x7ff, 0x8}, {0x5}}, &(0x7f0000000300)) compat_50_setitimer(0x3, &(0x7f0000000340)={{0x3, 0x9}, {0x7fffffffffffffff, 0xb2}}, &(0x7f0000000380)) __setitimer50(0x1, &(0x7f00000003c0)={{0x3410, 0x8000000000000001}, {0x9, 0x9}}, &(0x7f0000000400)) compat_50_setitimer(0x3, &(0x7f0000000440)={{0x10001, 0xdef}, {0x8, 0x47347dc9}}, 0x0) __setitimer50(0x2, &(0x7f0000000480)={{0xffff, 0x3}, {0x3, 0xeb}}, &(0x7f00000004c0)) compat_50_setitimer(0x2, &(0x7f0000000500)={{0x1, 0x8000}, {0x87, 0xffff}}, 0x0) compat_50_setitimer(0x3, &(0x7f0000000540)={{0x30000000000000, 0x2}, {0x3f, 0x1ff56fca}}, &(0x7f0000000580)) compat_50_setitimer(0x0, &(0x7f00000005c0)={{0x7, 0x1}, {0x7, 0x1}}, &(0x7f0000000600)) compat_50_setitimer(0x1, &(0x7f0000000640)={{0x8, 0x9a47}, {0x7, 0xc1}}, 0x0) compat_50_setitimer(0x1, &(0x7f0000000680)={{0x6, 0x9}, {0x1, 0xfffffffffffffff7}}, &(0x7f00000006c0)) compat_50_setitimer(0x3, &(0x7f0000000700)={{0x9, 0x4e4b}, {0xf57, 0x100000001}}, 0x0) compat_50_setitimer(0x2, &(0x7f0000000740)={{0x7f, 0x7fff}, {0x8, 0x3}}, &(0x7f0000000780)) compat_50_setitimer(0x2, &(0x7f00000007c0)={{0x9, 0xffffffffffffffff}, {0xffffffffffffed3f, 0x2}}, &(0x7f0000000800)) 22:12:44 executing program 1: compat_90_fstatvfs1(0xffffffffffffffff, &(0x7f0000000000), 0x1) pipe2(&(0x7f0000000900)={0xffffffffffffffff, 0xffffffffffffffff}, 0x400000) ioctl$KDGETLED(r1, 0x40044b41, 0x2) pipe2(&(0x7f0000000940)={0xffffffffffffffff}, 0x1000002) lseek(r1, 0x0, 0x6, 0x2) dup2(r2, r0) pipe2(&(0x7f0000000980)={0xffffffffffffffff, 0xffffffffffffffff}, 0x1000004) r5 = dup2(r0, r4) mmap(&(0x7f0000ffc000/0x4000)=nil, 0x4000, 0x1, 0x11, r5, 0x0, 0x8000000000000001) writev(r0, &(0x7f0000000ac0)=[{&(0x7f00000009c0)="cda31cfdea2990382201e376e2123b1003a7555f15749cf3de290f55c9cd3d0ce2f4a940aac01db21f84c02fb193b40abcd84de6898d9fcb553c7166b748e4cc30fe6452af7c7ec7925a495ffa6671b3b022122a4c74bd6c4f83b89cb1c5873713943810358667ec8986931d63172eede13c6782c856c5120518534185f0b2288b8975ecdd13fc02e96f628428e29f13a4c36f94a5c6cf188e64e07901d3f5a0e61efc62e4a0ca921eeb5ac27d", 0xad}, {&(0x7f0000000a80)="c1389cae9d02e6487709ff3573cba56ce56684f72777974325339c24123cd8dc75e5a563eecb8da78c", 0x29}], 0x2) close(0xffffffffffffff9c) compat_43_ogetdirentries(r2, &(0x7f0000000b00)=""/162, 0xeee, &(0x7f0000000bc0)=0xd1d) pipe2(&(0x7f0000000c00)={0xffffffffffffffff, 0xffffffffffffffff}, 0x4) __posix_fadvise50(r6, 0x0, 0x7fffffff, 0x4, 0x2) pipe2(&(0x7f0000000c40)={0xffffffffffffffff, 0xffffffffffffffff}, 0x1400000) ioctl$WSDISPLAYIO_SMODE(r7, 0x8004574c, &(0x7f0000000c80)=0x70) compat_12_fstat12(r3, &(0x7f0000000cc0)) ioctl$WSDISPLAYIO_SETPARAM(r6, 0xc0205753, &(0x7f0000000d40)={0x1, 0x65dd, 0xdb1, 0xa400, [0xdf7b, 0x40, 0x400, 0x9]}) fcntl$dupfd(r5, 0x0, 0xffffffffffffffff) mmap(&(0x7f0000ffc000/0x2000)=nil, 0x2000, 0x4, 0x12, r4, 0x0, 0x6) 22:12:44 executing program 2: bind$inet(0xffffffffffffff9c, &(0x7f0000000000)={0x2, 0x2}, 0xc) r0 = socket$unix(0x1, 0x0, 0x0) fcntl$lock(r0, 0x9, &(0x7f0000000040)={0x2, 0x0, 0xffff, 0x9}) r1 = accept$unix(r0, &(0x7f0000000080)=@abs, &(0x7f00000000c0)=0x8) bind$unix(r1, &(0x7f0000000100)=@abs={0x1, 0x0, 0x0}, 0x8) r2 = socket$inet6(0x18, 0x3, 0x2) r3 = getsid(0xffffffffffffffff) r4 = getgid() setsockopt$sock_cred(r2, 0xffff, 0x11, &(0x7f0000000140)={r3, 0x0, r4}, 0xc) r5 = msgget(0x2, 0x400) compat_12_stat12(&(0x7f0000000180)='./file0\x00', &(0x7f00000001c0)={0x0, 0x0, 0x0, 0x0, 0x0}) __fstat50(r1, &(0x7f0000000240)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) msgctl$IPC_SET(r5, 0x1, &(0x7f0000000300)={{0x5, r6, r4, 0x0, r8, 0x7, 0x8001}, 0x19, 0x6, r3, r3, 0x0, 0x5, 0xff}) writev(r0, &(0x7f0000001700)=[{&(0x7f0000000380)="e00dac4196dc1f29566622427fea53c3bd1f82afbc4d9468d2a72fc90a8d9fc97076f5b692a81ef9b691d5fd333c106da38b2081eefe41c1040f755f20d4e01062860167dd3ca7a422273c354b892c2e725c592706d49658ca3fdcd0f1e628d2e4e29b6651fc11acaeb5fd90e2cc86e61a546ff5c27931cd54cb9aed2c4d4befde6ff962724ee39c104720983f42103108ba9a6c60bb58c107ce8f79297736a313b27e808510c97be02877490ddc35d0d4bfdbfe7186a149c55d21184841d9497561361c6802", 0xc6}, {&(0x7f0000000480)="070bad799b0d14cb64c71f7e7efe84abf11c1ee92f21c92c267dbd2709ce9384087f812402aa0a2a1817649c0ae253dca4d00e196f2fe61cc52a9305eabac9b8ff058313131ab3e0656e9e9ffb9aa7216361b484769712832e409bd80bc077d795810b50825eb77e24633815cf32b3356515670a00563651e77c39b31dcd95a90a6aee934cc9d97b489fee5cbe7f445cd7c1e1629e2402b0c78e8de7a9089bd1f7e3391d0b6aee6e47f4f8f535de619a496f4de0a7142edfbb4609943dd8f5f35679c63fc26596253d64774ae9234551863b", 0xd2}, {&(0x7f0000000580)="a2bb0d02a669a4e67f9632e53c12cf4f4b6c22487c873357026b753db82e932a614b5e3903059345177ca693c4dc0b1134b9184f09c952b373a20a8ef841793c3e8cb903989220917ca841e53aef0e3b312a7d0c8a40c00a8c93927b67aade6190e212af24cc857ee0e53292a9d1029e43", 0x71}, {&(0x7f0000000600)="8230361541e02265a9a699c35d019f6c15f1574d5e0191430bef18e688f190a8626e5153453d0056239f30ec0b4307afbffadb0f5a4d81852ae2737bfb0d48b118b86fdd6a277675d799f5d913aae6278a36ca7be8d6aa7f1940cbb661e12233774ada7b12da4200c0edc6bcd4db6464fe86a0132e94f37047a289f014b8b9003c3407d5ffdc0469b6999a20d2c81d26a541b3f18d456d8ebb86234aa014186629ad3e3b3e49d60eb62ef8f7212f4ebdaece844403b12072cd9bfa19107c9fcd2fc67fc4126b474081f02a5701122912b17b17365083ea2a273783", 0xdb}, {&(0x7f0000000700)="46536ff84d79528476d15e636745de45dcfb8d0f5189866dbb09c47487143265a1edad955327c7a64254aaf113dce8b98d63f7ea33979c13e72e122241a7d72866678c946f55d20af2ad62f41e3ab0b5170d65897820e7ca467fd848a2daf6355c1e135c05bd6236f151934351a36217d372441da229a723267cf9eaad108df31055c62a316523093a0c349db762c9e40f62ce50569af2e970c40b117025735300c89c1456d3c764fa08d751a3df2766a0353af051d56ba8e76f574ae664f94e11bc1501003336ea1d2e6f1e24474d51dca6f4115746d60f40b948d33963e5907742b6a40462a69dfb8fc0ae430f47f038e5d6c40458b906c2c006c1b28fee26508d8afb653a7ba10a25c445a925457b48edbc13decd0f8569b3e32eb3b2b1018e5982c06654f4fbaa7437579fe95f909ea5f241db6aa36d390630b60347950108962c4a9ea639a6a2df6f8c5f8033902bfefaad26ecfd14ef2c9d703050642dc2a14e259404b37945ad2ab6a932bd08e480eb98dbe5a395c89b2396e195ce8ff255a37a33e409ca88d02292ad0b122d6025461e789067b44d45fc4d843f53598d71d020a39cd8f24093633bfd7b697b02bfc27823b02048ea24eb4acd9fed6f17b8eeaacdbcfce21ebe094d24f90fe0759bf2df7de80ae06a376e3ad486286f8454f1ce8c6a21190f01a70f900e3e4af475c957b733f7990d4332499e57c800f1751ade9a0435be6f785aa1e185580b4df6cf3dedf280e82fc6ace78f49395de2729afb4e1d16e59320e1dc26d4e2ffbf3d2807056905156e78103270af65fe5dce6b7105eed6eaaebbf75b0d045abf13ba114380ee2a24d1c916f216cdc679e39af74826787074e54e9005b60f9641284fb09faf1a726f820a22b2cadb985ef592153ebfa38ce5e9738d3672c94f5bf2ea40d611cff8f1f89bf2643984337894ecbab6734a9a5962af3a1a8734f56b67f3fb48408a661e7218dc0516f3b1c59a151cc83586e7129a7e683cee2fb6c0e15cd691d375df235e17a9b75eb1ec1f363cc52345a0f244ed9ed4269c9d6a38d253a448697f5491dd1b12173504613f12918a1f2a7f97f1a2e594d629c6be68352c656bb305ac1c2dc7c24006dbd369c862f8cf7123dd9d179ee4d12fdd9b1604d683f241242c579fdcf31b400b65594275e12c69ac0701a52e265342b79f9de434926fcabff598abb57caaeafc2baa4c9db6767efb903549960ec0185c490e66a0aed61535feda28c87d43b76710259aab1016f3a3161ce3ba62c19b296c3703e8d4f1a96311c5ec1a29907d08ca58f21f35de7c4b769bd1682851e46c155e778f99e0a3715e898600206b6beb61b70cb5188f4df304accc9dce8638d3fb964685aef7aed91bb0aebd1449d2bcbfa91684d60976dd3f67f380aa56c270de4d457d5a3db3ac543f8ae9ec5966f0f7f8aaa27348e44272942440570f939e7f64ffd509aed04bc782168d3061751635d62024d63327b0e7cbda3715e63a15bb4904ba4275b77b0448d586d8b957584c1f6af6a50f5c623d3c5946eb84297e565acf0bcd995f61451544d778c5ab0ab89012fe122c73ff7d641006575d2f430878a11bdba469ffe4bffbf57d9e0a52ba2c80019bfcf32c1b91fa0aa5a3189a67d062c3b21680f9b88595f4edd3710128ca056f5ad9df7f4dbf80e4753794d8c8de130363b5cca76f4864e85b3e1faae5f9f96ed8bf5914e643d81605d67a022d8a07bd55c7aabf6387b6ef4f9962d032f1295bc46e9a3abe05233bf387132218b293565ae8d96fce3803e6e5e3dbf5e873390033ce884e06b7eec504738d5b5b5eeba6ebb3d24a60e5ac01466e034564197a1b9d3f34b75e62007eca1e912cd71732f7b5a9a806d445e383ee73972735f185cade8715a9c0f2e7a9ac7556c2901e720e3e0f8fb0f31a586c5e21888bbe68ec592bf718f753e8fad9aea18b6a72eebc786ac631201bab2cd3475d3803acd0db99eb4dc7479dfb5edfc6ad7ba568bf0203f4dd212084bb6eee25e5af7ef4a94644ef1cc287fb5db4bb472f8b109f0dfe97de2498d417f974fd8e6034c082157cc97ae2b15cdcb9de72a8739b68b94c99a745eef557ee0e0c5659bd3e8b27c83618d4496bc0dc464218b1eac3ce0736dafd4d6dd1abffab1f4dcb7190de7a0ad1c835d734500a9fa02b37c94ff0546002d3e0d73fe6d88cb70f306f5fef136814043539a7d7f62c827b877e42ffdbaa9f28134b1850680c1f15c89ddb51d6ee9c09012c86e86ec7c68ddab0e376747a6edf0792346ae84adbd30312654eba3bc1e65622510145185baf7cf1c007f0bd3df607fb43e07969752a3b24b8c140931292ab927619ecf5e242ba8173f44db08a1e0ea2c9cc8f728137981d05c8c4d3b5a81350405f5ddd015a724f6405d8c4b513dd4e7d089c40352c80f33a43a1b20c11c4522316392800cc5abea33c392431586de3e1961cd7ae5c11b2c55594394e35a4381a11e9e918b1f97257a55b8a8be92e55432446713a2feb22ecec980ca534d72ced1f6b808f9585604958bd8d0e357e027fa4986e88fb6a577435ea4dcfdb933aeb262ab0a3bde5aa718c7a1b2569ccdff80a5ead1bd114e94f74f004066497ae85d7568c47139ddcbff3036945f6f2da9b552071aeab71b6a6cce9ad7aa2ad8fe03a21d710df46906ec0aca5e1ad9a296db2e7f119a11d7f3624216ad8db353cb3a14279e84048cc5190d8728bc83c53994b5ed079d0b652a9a8e6d37a69a5244b89be761c2eacefdf96e737e1f4b7a301337ab54a5f7bfbda226dc51de00bf645d0f09719e4ce0b5dad315e2a76d7f6733fc166fb472993c14f0c14bd1ca6352b7f917ab774098c572d15973d4213c5589fcc57d83e96a8f04b4fa1ce7a05f1dc3e82fa2d825f77338bf997a4845970010418e07d1df5b920cc6d3a5788172f5e5b5d76e1306e05bf2d8283f514c98991da343706fd7a23359954b034fab237a0d551a1c6ccbcd8b756abca0254d1685a858276da12842dbb3afed5327ace262aa5f4c19de674c1a7fa2deb815b7aed76b8c7d9e6404fa5808228c0a917e6420164de696ca11f607e12cfc193ec264e8d1bae628eb1dd231e277e747c8cf4c23b0813255d548199c81d2254b282fbda8e34d499491f40123d99d1a91e381609a4464cd565c6513b02cadfabbb25dc4ed7be3a66c1540986cc9d4144f1d5094e0f5f35a4a8b5c99d870f009732cbbe6f02baf113b24f920e551c01ca3f51935324b57cceb14b8dfbadc91004b5acc983f9797eb826ad769abad43d05a868d67d863a2c18acd8dc99f559f09e93c56cf8c57b330bd3f2e2345b5467d1b9994ce49a7ac34de9006fd2c99123e099624ff55ce6478cd1b2efa9c4506350ffd980be87c506120b5f73ce8678c80d0ab17c4ecac3137dbd073de9f79148fcc614956513a1696a96ccebc132fa9d4e2a76f7df4d6011fa3b818a6ed46f17d6252a9aac25e3a8f5752b8d9d172191c36b6e6790175c79c1d0cf0a2766b1cb9df76012dc14af0ce4798fb0aed3ea3fb256b86284bb8c376676834688602435b886f1628ff0193822a60a215a16a510cc0d2d29a2996e2fae745e0772456de27b00d8f00af8c0300d425f3f72a43c54e2bff7f494869f6bc72e2d46681d1b8e2de5c0a20e54e911989cf5b9d347eb33677c805f4abc48a02860d66b9de9026eadba39fa977757f6ea80dc7f3a6270eba414cc5fa58367d29c8b80a85f1e1833f15a9e532c34dee1740f31292234bde707d4594982c3a0a683300119939af4b3209521e1dbaa2839f5ad2c0cfc1679153d11909d263f48d8706710ef205a87aad149dcae97b49e01d8c7f85a42c57f3a192af793f432a8598208fa5948d816f7c43e3a9591350c1d16a74590b121949d4cf8714e8895db88f224c9353031fcabe9ca3cfa63e89af5a40796e3c59a2fe5c9a4ad3fefab9e7cc6d4842e3f8195198c5901ef65aaac938d02f6f8d08f68c295fdfcb27e3fcc5a7a2d82717d0b76e5721bd60099dbd374d08d80684932fcc74a53c10f7acd8e50d2eca57c86718930243d85cf6ea9f1ec49de2973f3ade999a17979a8fc52696ba83a13ebd456633b2bf6a720845bff3684e7197b56292e1de89d24224da6b397082185cbe3f772c39070abd6d0bcf0b9525c0c9b0fed8b9346e5021aa756dd330ce7906068517d4bcfd75e7438a10396c170d6e2b9b17d67443498d20a7efc2bd28ab6a11e9328d039f3abb61ab938ba65e17bc8e0c1a1230175ba8860544989da1ee42e8743699064608dd76229fe461fbf0f8a672bce4ad55fc11c48c4fa9431269205b834b1060bf33e5a65d35394f1f261224661125884fbc09207c1246d635c0d1d5f815b05baf60fd9c72e4bb0d409de3144674b293b2a7e5abc251339eb53d308c86d68b44d3bb651009305b1f7dd0e00922b82553d51662869ddd9a060a7e69cb9608f649a7a6983a0bd8277ad077a859010aa46fb23ea3ca623c6c664b12d819872b75c03a940337637b74b33fddb5913cf2ada3f11cff692185d181929c7aa84115c384e7fdf9a92fe4dc8b4e967cbc2d93d225f9b3604f79f749f2141193600d1e46fc2e388c506e960703a03b4d8d6dc7b30a5923d2d7abb5fbceb66fb0f63d475c1b922569632888e3e37062be1440158a7a2f4feb416aa026fad2fae30e4efd6a094b20e59d1e6c5d25c2980d4cb386ecb300387328c0d2cd59ceb4caafb5c58d696bf9052c714df3b15a9edbf3ef31ea7fe2b44986ea3b73ed59d3f0e62401334f37825e242561bb840813698a3f8533158fb7aa6fc9e10cc857c0c4e8128450fae3f41cbd3157067a2569fb62c21232462972de8c36d18fca43079f79106f61d61d12484177a979654e51a77b4953901afc5b8ba0005878a38d97961cb9c004e17c5e13e9f8404a6f6439cba576eabfdb2c727dcecfb6af502c7d43b222514691906bbbb5ff7d6f9873bbc705f2eefcd0ce605d56bb9806892c0cf98cf499f0ba151680dea6a74b219f7010afdee68bba6bb3dfded0fbb9b26c7679332c24c277e006836561a40c3df841268e262561ad42d8518d8cd12985d12cb9f68f408a8bfdcb9a2ecc5c892b718b32c6c9c805a4b5147f0a7119dba59f9d77402a88383088c03a6c26de9d6fde527a73a318be894b4946da63deb4cded387eecd55fe5f259755e0794f3301bfe79e52af9381ca0b6ed1498df883d4c4b074fe378726dd1a7270f4160e1dec068361bd5edc2f3147e02be0a92bb383e928ede2e41c49873c6616b84e06b67e6746da0c8d4ef23da07eed4a1b7924654edddf551be6d245781c4bc8996f7218fd7c4c644ccc6b0f8c034362de25be3baab5bc55e2178bf2764d197b0c2205b4666d1ae368fa23df1d7147fb2647838edbe0a1861b5927b63b8656cffc3ad870848e92296c70e5abc3b5045fd6cbba6205f8bb1339c6d118fe5df28c02383d4d9c12eba27be324ad3afd09335d4c82b4f9b2271601e15d397ca2e779783550d4c185db1c765a4f267707401a51c4dc9705ac150c9610d11f9ba20d66546b0f47755fa852263b212f62accae2eea625cfec0b929aaf086a17899bc0995d6c33997db16fb3f2562f25095f0adf98cd4df3894691c4fe721123aa6dc26e37c4e3f4051fc190da384ea282b70c13575ed23cfa73955b34d25da07ce149c1608ce1c7bcee930b773e0b35dda5040e35b26c17487674dd6b42eacde1b0875adc76bc1220c42c71ea1b193bb82e071a8094ac3d8edfcfe57f68483ba5", 0x1000}], 0x5) r9 = socket$unix(0x1, 0x2, 0x0) sendto(r9, &(0x7f0000001780)="d97d636242ca672fda3759cab44f81d9feb27f706456acc4419ec1691b422993deff03325d4682a0d00d6baabbeb1befc5ffa30af49ed2ff9babfbbd2505699d04f5baa0d48934d1779c28180edfc282cd27df3d973492", 0x57, 0x402, &(0x7f0000001800)=@len=0x1f, 0xe) getgid() r10 = socket(0x23, 0x1, 0xfd) recvfrom$unix(r10, &(0x7f0000001840)=""/250, 0xfa, 0x3, &(0x7f0000001940)=@file={0x0, './file0\x00'}, 0xa) semctl$IPC_SET(0x0, 0x0, 0x1, &(0x7f0000001a00)={{0xe0, r7, r8, r6, 0x0, 0x157, 0xb11}, 0x9, 0x9, 0x3}) 22:12:44 executing program 4: ioctl$WSDISPLAYIO_GCURSOR(0xffffffffffffff9c, 0xc0505749, &(0x7f0000000140)={0x4, 0x8, {0x4, 0x1}, {0x101, 0x5}, {0x5, 0x9, &(0x7f0000000000)=0x4, &(0x7f0000000040)=0x5, &(0x7f0000000080)=0x3f}, {0x3, 0x760}, &(0x7f00000000c0)=0x5, &(0x7f0000000100)=0x3}) ioctl$KDMKTONE(0xffffffffffffff9c, 0x20004b08) r0 = compat_30_fhopen(&(0x7f00000001c0)={{[0x0, 0x72]}, {0x9, 0x6, "cc298145fe65bd2088f54223255d72bd"}}, 0x100) ioctl$WSDISPLAYIO_DGSCROLL(r0, 0x400c5757, &(0x7f0000000200)) ioctl$WSKBDIO_SETSCROLL(r0, 0x800c5718, &(0x7f0000000240)={0x3, 0x1}) ioctl$WSMOUSEIO_SETREPEAT(r0, 0x80185728, &(0x7f0000000280)={0x8000000000000000, 0x7, 0x2498, 0x6}) ioctl$WSKBDIO_SETENCODING(r0, 0x80045710, &(0x7f00000002c0)=0xfffffff7) r1 = open(&(0x7f0000000300)='./file0\x00', 0x40, 0x800) r2 = openat$wscons(0xffffffffffffff9c, &(0x7f0000000340), 0x20, 0x0) ioctl$VT_GETACTIVE(r2, 0x40047607, 0x0) ioctl$KDSETMODE(r2, 0x20004b0a) ioctl$WSMOUSEIO_SETVERSION(r0, 0x80045729, 0x4) ioctl$FIONSPACE(r0, 0x40046678, &(0x7f0000000380)) ioctl$WSMOUSEIO_SRATE(r1, 0x80045723, &(0x7f00000003c0)=0x800) r3 = fcntl$dupfd(r2, 0x0, r2) ioctl$WSDISPLAYIO_GET_BUSID(r3, 0x40245765, &(0x7f0000000400)={0x0, @sbus}) fcntl$getflags(r2, 0x3) ioctl$WSDISPLAYIO_SETPARAM(r1, 0xc0205753, &(0x7f0000000440)={0x3, 0x2, 0x81, 0xf3, [0x0, 0x0, 0x4]}) r4 = dup2(r3, r0) ioctl$WSDISPLAYIO_GET_FBINFO(r4, 0xc0485768, &(0x7f0000000480)={0x8, 0x6, 0x2, 0x7fffffff, 0x59d, 0x9, 0x2, @fbi_rgbmasks={0x8, 0x0, 0x400, 0x4, 0x0, 0x5, 0x5, 0x1000}, 0x3}) 22:12:44 executing program 3: syz_usb_connect$uac1(0x6, 0xc1, &(0x7f0000000000)={{0x12, 0x1, 0x310, 0x0, 0x0, 0x0, 0xff, 0x1d6b, 0x101, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0xaf, 0x3, 0x1, 0x0, 0x10, 0x40, {{0x9, 0x4, 0x0, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, {{0xa, 0x24, 0x1, 0x9bcf, 0x1}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {}, {{0x9, 0x5, 0x1, 0x9, 0x10, 0x92, 0x5, 0x9, {0x7, 0x25, 0x1, 0x0, 0x0, 0x3f}}}}, {}, {0x9, 0x4, 0x2, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_ii_discrete={0xf, 0x24, 0x2, 0x2, 0x20, 0x5, 0x2, "a58eb1870b7e"}, @format_type_i_continuous={0xb, 0x24, 0x2, 0x1, 0x6, 0x3, 0x2, 0x9, '\b', "d353"}, @format_type_i_continuous={0xb, 0x24, 0x2, 0x1, 0x1, 0x1, 0x3, 0xfb, '6', "faf2"}, @format_type_i_continuous={0xb, 0x24, 0x2, 0x1, 0x5, 0x1, 0x1, 0x0, "", "abf40d"}, @format_type_ii_discrete={0x10, 0x24, 0x2, 0x2, 0x1, 0x1f, 0x4, "765b69c8f20362"}, @format_type_i_discrete={0x10, 0x24, 0x2, 0x1, 0xac, 0x3, 0x39, 0x7f, "b417730308228343"}]}, {{0x9, 0x5, 0x82, 0x9, 0x3ff, 0x9, 0x80, 0x70, {0x7, 0x25, 0x1, 0x80, 0x3a, 0x8}}}}}}}]}}, &(0x7f0000000200)={0xa, &(0x7f0000000100)={0xa, 0x6, 0x110, 0x1, 0xbc, 0x7, 0x8, 0x80}, 0x4e, &(0x7f0000000140)={0x5, 0xf, 0x4e, 0x4, [@ssp_cap={0x1c, 0x10, 0xa, 0x2, 0x4, 0x5, 0xf000, 0x8, [0xf, 0xffe0bf, 0x30, 0x3f]}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0xa, 0x0, 0x3, 0x20}, @ssp_cap={0x20, 0x10, 0xa, 0x41, 0x5, 0x7fffffa, 0xf00, 0x4, [0xc000, 0xc000, 0xff00, 0x3f00, 0xc0]}, @ptm_cap={0x3}]}, 0x1, [{0x4, &(0x7f00000001c0)=@lang_id={0x4, 0x3, 0x446}}]}) syz_usb_connect(0x5, 0x387, &(0x7f0000000240)={{0x12, 0x1, 0x250, 0x0, 0x0, 0x0, 0xff, 0x0, 0x0, 0x0, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x375, 0x3, 0x7f, 0x2, 0x0, 0x4, [{{0x9, 0x4, 0xec, 0x4, 0x1, 0x0, 0x0, 0x0, 0x2, [@generic={0x9b, 0x6, "039b56996315880fa1ff8c50c77f1807412fba98975462b1c83951fb4dc5f12aa5de6c991c2d132cfe064446cc0ad54db5eed9954a36cab5172dde99a68a16f18df12af8842c92d1b520c792a4eafe9ab0e48b75c8286a49bc0a6ebbfe68a8996bc40cd5e994b84991d08c1d8e8a1e4225b87b3a9665ac3153092d3f41d6a2254f170e3d6dbf6c376da5e5f57f74798c86cff94ac9b494b1ef"}], [{{0x9, 0x5, 0xa, 0x0, 0x8, 0x85, 0x3f, 0x7f, [@generic={0x3e, 0xb, "ab0bc9be614d6f3ad717aa2b30d66b6497edbdbd4c5444e18d1d1c314728339b9852db919cb64501e6a735afc20867056fc42420711a1c117968df71"}]}}]}}, {{0x9, 0x4, 0x4, 0x1, 0x4, 0x0, 0x0, 0x0, 0x80, [@uac_control={{0xa, 0x24, 0x1, 0x1200, 0x8}, [@output_terminal={0x9, 0x24, 0x3, 0x6, 0x606, 0x6, 0x1, 0xff}, @input_terminal={0xc, 0x24, 0x2, 0x2, 0x100, 0x6, 0x81, 0x401, 0x20, 0x5}, @mixer_unit={0x8, 0x24, 0x4, 0x5, 0x0, "24e132"}, @output_terminal={0x9, 0x24, 0x3, 0x6, 0x100, 0x2, 0x5}, @input_terminal={0xc, 0x24, 0x2, 0x2, 0x201, 0x1, 0x9, 0x8, 0x5, 0x80}]}, @uac_control={{0xa, 0x24, 0x1, 0x1, 0x8c}, [@mixer_unit={0x8, 0x24, 0x4, 0x4, 0x0, "4829cd"}, @processing_unit={0xa, 0x24, 0x7, 0x6, 0x0, 0x40, "bcb58c"}]}], [{{0x9, 0x5, 0x1, 0x0, 0x3ff, 0x0, 0x8, 0x80, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x4, 0x6}]}}, {{0x9, 0x5, 0x4, 0x0, 0x40, 0x7, 0x7f, 0x80, [@generic={0x3b, 0x1, "88cbe8dde6441e2417ef9e771b4366cc51d283332b933c0b740ddfd6e5f3a34160c110ac325fa91b95cd27f331f5672906a25ded91229e228e"}, @uac_iso={0x7, 0x25, 0x1, 0x0, 0x0, 0xff}]}}, {{0x9, 0x5, 0x9, 0x10, 0x20, 0x8, 0x8, 0x5}}, {{0x9, 0x5, 0x9, 0x0, 0x20, 0x1, 0x5, 0x4}}]}}, {{0x9, 0x4, 0xe, 0xff, 0x2, 0x0, 0x0, 0x0, 0xcc, [@hid_hid={0x9, 0x21, 0x40, 0x67, 0x1, {0x22, 0x783}}, @hid_hid={0x9, 0x21, 0xc000, 0x66, 0x1, {0x22, 0x984}}], [{{0x9, 0x5, 0xf, 0x0, 0x8, 0x5, 0xfa, 0xcd, [@generic={0x94, 0x22, "d3f9997a4493a5847c171b1fce32db1d87cc610fa64f2fd51df4cfdac0eae59c02da7a8cf083ed766a9421cf1a9f5ea4f9dd849fbd8be3a0637ceaf236d6242671f8a07591fd84710e7bc078ab7b8d58895ef0cc001c3827426d9135fd3431a18a811bf7220d2d77fcc0ac4b2c4d935009f83d2be9e81a4f165b887538ba43de2b2de384fb3d35b48d266ebde584906a5e17"}]}}, {{0x9, 0x5, 0xd, 0x0, 0x400, 0xcc, 0xff, 0x0, [@generic={0xf4, 0xc, "f32649f477e24115f3a4b972c6dadfab7c50d6dd44b6abf64d45d6ad97b78b4cf0f9977f90386620d7d779720c5a5119ba6cc3a45d0e16b34669effea07a071c607eac9f374d7b3280d3209a567aaf9f711b42c247f2481887c1b4f1e537ef5e21b6ca3f4da61dba8cd9aa5b36dec420bb9b93fbee6432bdc892f4c30abe87ab73f1dc5d6df8d4d6218c891a8bed7eede980d99c2e59bc4f38e9686435abd213916569a7a9faa89040d72d957363874914af6c2e207557507fafc8d241f1d55f39e6de824a0e0009ee8e77cbdc050b15615f5976c106b14294630b616126e4517a0a495729267a4e7b610b0a4a9c6edf19e9"}]}}]}}]}}]}}, &(0x7f00000006c0)={0xa, &(0x7f0000000600)={0xa, 0x6, 0x200, 0x6, 0x9, 0x3f, 0x40, 0x80}, 0x5, &(0x7f0000000640)={0x5, 0xf, 0x5}, 0x1, [{0x4, &(0x7f0000000680)=@lang_id={0x4, 0x3, 0x455}}]}) syz_usb_connect$printer(0x3, 0x2d, &(0x7f0000000700)={{0x12, 0x1, 0x200, 0x0, 0x0, 0x0, 0x10, 0x525, 0xa4a8, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x1b, 0x1, 0x1, 0x5, 0x30, 0x3f, [{{0x9, 0x4, 0x0, 0x7, 0x2, 0x7, 0x1, 0x2, 0xff, "", {{{0x9, 0x5, 0x1, 0x2, 0x400, 0x6}}}}}]}}]}}, &(0x7f0000000840)={0xa, &(0x7f0000000740)={0xa, 0x6, 0x310, 0x1, 0xaf, 0x20, 0x8, 0x81}, 0x11, &(0x7f0000000780)={0x5, 0xf, 0x11, 0x1, [@ssp_cap={0xc, 0x10, 0xa, 0x7c, 0x0, 0x5, 0x1101e, 0xb065}]}, 0x2, [{0x13, &(0x7f00000007c0)=@string={0x13, 0x3, "e5a07fe372a095cf3dbd859b0d2dae6385"}}, {0x4, &(0x7f0000000800)=@lang_id={0x4, 0x3, 0x41d}}]}) syz_usb_connect$uac1(0x5, 0xda, &(0x7f0000000880)={{0x12, 0x1, 0x300, 0x0, 0x0, 0x0, 0xff, 0x1d6b, 0x101, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0xc8, 0x3, 0x1, 0x0, 0x20, 0xe0, {{0x9, 0x4, 0x0, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, {{0xa, 0x24, 0x1, 0x7, 0x20}, [@output_terminal={0x9, 0x24, 0x3, 0x3, 0x302, 0x3, 0x4, 0x3}, @input_terminal={0xc, 0x24, 0x2, 0x5, 0x200, 0x2, 0x0, 0x1, 0x6, 0xf9}, @output_terminal={0x9, 0x24, 0x3, 0x3, 0x101, 0x5, 0x4, 0x9}, @output_terminal={0x9, 0x24, 0x3, 0x1, 0x303, 0x6, 0x6, 0x1}]}}, {}, {0x9, 0x4, 0x1, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_i_continuous={0xc, 0x24, 0x2, 0x1, 0xff, 0x3, 0x7, 0x84, "866f", "32c1"}, @format_type_i_discrete={0xa, 0x24, 0x2, 0x1, 0x4, 0x4, 0x6, 0x5b, 'K\b'}, @format_type_i_continuous={0xc, 0x24, 0x2, 0x1, 0x3, 0x3, 0x7b, 0x9, "b911", "10d3"}, @format_type_i_continuous={0x9, 0x24, 0x2, 0x1, 0xc2, 0x2, 0x7, 0x6, "e5"}]}, {{0x9, 0x5, 0x1, 0x9, 0x40, 0xa5, 0x9a, 0x2, {0x7, 0x25, 0x1, 0x1, 0x7f, 0x40}}}}, {}, {0x9, 0x4, 0x2, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_i_continuous={0x9, 0x24, 0x2, 0x1, 0x1c, 0x1, 0x7f, 0x1f, "a6"}, @format_type_ii_discrete={0xe, 0x24, 0x2, 0x2, 0xfff9, 0x7, 0x3f, "4c743220cf"}]}, {{0x9, 0x5, 0x82, 0x9, 0x20, 0x2, 0x8, 0x7f, {0x7, 0x25, 0x1, 0x1, 0xfb, 0x5}}}}}}}]}}, &(0x7f0000000d00)={0xa, &(0x7f0000000980)={0xa, 0x6, 0x200, 0x9, 0x80, 0x7, 0x8, 0xc9}, 0x2f, &(0x7f00000009c0)={0x5, 0xf, 0x2f, 0x3, [@ptm_cap={0x3}, @ssp_cap={0x24, 0x10, 0xa, 0x4, 0x6, 0x5, 0x0, 0x9, [0x3f, 0x0, 0xc00f, 0xc0c0, 0xc0, 0x30]}, @ptm_cap={0x3}]}, 0x8, [{0x96, &(0x7f0000000a00)=@string={0x96, 0x3, "9d46d821636d50e9e1e42b150f4dace12293e950855f4596b776a78206ce538a95eea17b50be82e1eefc75fb519e615177b434bc34dbf5032a65075dbf7008589d92923a6206ada46f253b344c6484fa3e4c4c36b2b43babb785624b2900714c7e30b8ae63d362fd38991f1323457df5bb9c43ecd806e4917699661ec048ba4c8a33434b9b42a1dff6019a72998654077d9eb6e1"}}, {0xaa, &(0x7f0000000ac0)=@string={0xaa, 0x3, "97539b05d0cf1caca34d602d933fc9e1d34e8ef8fec53415d5b6e92d693071c3330b5df2c67cf162ddde2fd0dcb23d896b20f99cd62d76c819e070a080246be8bade08a49aed94b22d899cdafafc9503f3f6f05cb50e6b7d49942ee826a7e1fddc391648c48861174b9fcbd69216198d3609df1cec7180a7082fa566dd2975a16c0eedfadb0101bb871d8b4ad06806b0d26a3b640a9e439989fa3b9c3ed37fc98d00b92be64f0b78"}}, {0x4, &(0x7f0000000b80)=@lang_id={0x4, 0x3, 0x42b}}, {0x4, &(0x7f0000000bc0)=@lang_id={0x4, 0x3, 0x43e}}, {0x4, &(0x7f0000000c00)=@lang_id={0x4, 0x3, 0x40c}}, {0x4, &(0x7f0000000c40)=@lang_id={0x4, 0x3, 0x8ca4}}, {0x4, &(0x7f0000000c80)=@lang_id={0x4, 0x3, 0x44c}}, {0x4, &(0x7f0000000cc0)=@lang_id={0x4, 0x3, 0x448}}]}) syz_usb_connect$printer(0x2, 0x2d, &(0x7f0000000d80)={{0x12, 0x1, 0x110, 0x0, 0x0, 0x0, 0x10, 0x525, 0xa4a8, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x1b, 0x1, 0x1, 0xc1, 0x40, 0xde, [{{0x9, 0x4, 0x0, 0x5, 0x1, 0x7, 0x1, 0x3, 0x49, "", {{{0x9, 0x5, 0x1, 0x2, 0x200, 0x1, 0xfd, 0x3}}}}}]}}]}}, &(0x7f0000001200)={0xa, &(0x7f0000000dc0)={0xa, 0x6, 0x310, 0x51, 0x5, 0x0, 0x40, 0x1}, 0x171, &(0x7f0000000e00)={0x5, 0xf, 0x171, 0x4, [@generic={0xe1, 0x10, 0x2, "7e3a2bb5b1aee28b327c65a242b5da00548160105de3bc4301e9c3eac565f5de7339cae55741872c9248fa7817228223f3203822d12ad3a296460fd0a5cc203a5d5a7a9f44240f136a77ce5bd7dc93e153bcd581e9c37519f01045443e625b299ce31a62006c5212393a9ee836e9ca290a2beb6cb777f2a164a25daf65508eb853cde1d2d1093618ad86fdbbf5e51609df0ba757157a692eefd857beb6fa73b4774768c07d11ae83eb0c69ccee601651f748c64a0a5f4e75cdefe6f8f0859f22bfbbff10ad6a5ef7f327e719c74a1472f71b854a847d2fddc42e412f6786"}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x0, 0x9, 0x9d}, @ss_container_id={0x14, 0x10, 0x4, 0x2, "93e9087c089173bfb790944bed5602a9"}, @generic={0x6d, 0x10, 0xb, "1adf534f6588c3950932cc63315f90e13995facd6d0abd869b936ca78d193a86d865f2144d26031cb21016d620a9d5fdcc2668a68a3c002c5ada8cb7a7eea9886acbf11046b0e5a49c65f4f99f5ca0587cd98fa7261a25612bd4dbd0a412c0c8de96c8b3a6498ce311ea"}]}, 0x6, [{0x3a, &(0x7f0000000f80)=@string={0x3a, 0x3, "88dff852370c991370eed251ddc81d6f0fdcb5e23a86b7e6db34218110d23ec34c67324b2cbda43867f911f6b7e467c1a56a04f6be3205ea"}}, {0x4, &(0x7f0000000fc0)=@lang_id={0x4, 0x3, 0x1801}}, {0x7a, &(0x7f0000001000)=@string={0x7a, 0x3, "2cfa4e68331e437f63173c4ac4e42ae880b3cc2ecf7fb26ae592fd25beb043a22c2525cb9ac460eee36f6159b28fbefc3843359947b4114e60a16e096e328a2b5d7dcce683ecd47550de51296fb9721f5c51925b982600684a14ff685e2d68742e600cc741566946d5c9465f040cee26fc1032dbbb65ab0b"}}, {0x2c, &(0x7f0000001080)=@string={0x2c, 0x3, "2e56d300ce5eea1d0882755ae9b3cd27c837416f193b022300fb58c6e25ca0576af6fd6e76e8f39a7e9d"}}, {0xdc, &(0x7f00000010c0)=@string={0xdc, 0x3, "8414bfdb796b11df8b570948149a896a014f0a2910ad1f61af6535deec1db41aa08a2a753e6108b26534aa4c2dcc7c0c417f23462cb1965e947abd88de5691bb01396657439071fb06939095f41119e4d413b7a43f95a769efb56152d93d803155e9b7711d8aa9b4b8c1d6974c44ea0d53fbfdd86e7e6ec114e68891768ce913a028de337a84e3dbc50e78d7354b2fde6b6113a908a10f24f95d05099627eb7968bca7581b4475bc6c230f94314fefff94cdb16546446b5aa5ad98f884d05e83e58d0a2da2708f744d7a65528d237cf7a21a1599b899c590330e"}}, {0x4, &(0x7f00000011c0)=@lang_id={0x4, 0x3, 0x1801}}]}) syz_usb_connect(0x3, 0x189, &(0x7f0000001280)={{0x12, 0x1, 0x310, 0x0, 0x0, 0x0, 0x40, 0x0, 0x0, 0x0, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x177, 0x1, 0x1, 0x1, 0xa0, 0x7f, [{{0x9, 0x4, 0x2, 0x6, 0xd, 0x0, 0x0, 0x0, 0x81, [@cdc_ncm={{0xb, 0x24, 0x6, 0x0, 0x1, "d0007a62350d"}, {0x5, 0x24, 0x0, 0x1}, {0xd, 0x24, 0xf, 0x1, 0x8, 0x1, 0x81, 0x1}, {0x6, 0x24, 0x1a, 0x7}, [@mdlm={0x15}, @network_terminal={0x7, 0x24, 0xa, 0x1, 0x40, 0x0, 0x3}, @mdlm={0x15, 0x24, 0x12, 0x7}, @mdlm={0x15, 0x24, 0x12, 0x653b}, @call_mgmt={0x5, 0x24, 0x1, 0x3, 0x1}]}, @uac_as={[@format_type_ii_discrete={0xa, 0x24, 0x2, 0x2, 0x81, 0x3f, 0x7a, 'X'}, @format_type_i_continuous={0xc, 0x24, 0x2, 0x1, 0x6, 0x1, 0x5, 0xb9, "d95818", "f5"}, @format_type_i_continuous={0x8, 0x24, 0x2, 0x1, 0x2, 0x4, 0x8, 0x4}]}], [{{0x9, 0x5, 0xe, 0x1, 0x8, 0xcb, 0x20, 0x1, [@uac_iso={0x7, 0x25, 0x1, 0x82, 0x7, 0x8}]}}, {{0x9, 0x5, 0xf, 0x4, 0x20, 0xfa, 0x21, 0x81, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x9, 0x800}]}}, {{0x9, 0x5, 0x9, 0xc, 0x10, 0x0, 0x7f, 0x20, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x1f, 0x8}]}}, {{0x9, 0x5, 0xe, 0x2, 0x408, 0x40, 0x0, 0x1}}, {{0x9, 0x5, 0xb, 0x4, 0x200, 0x3f, 0x9, 0x4, [@generic={0x23, 0x22, "e7545efc1e666bb147eeb7957bedafe19723af87d4872161e98e0a73949545125c"}]}}, {{0x9, 0x5, 0x2, 0x10, 0x0, 0x20, 0xf8, 0x8}}, {{0x9, 0x5, 0x5, 0x3, 0x3ff, 0x6, 0x7d, 0x3}}, {{0x9, 0x5, 0x6, 0x10, 0x1ff, 0x9, 0x4, 0x2, [@generic={0x13, 0x5, "3dba433e3c8f77042eb26dd6e4f3cefe04"}, @generic={0xb, 0x4, "85ffc5a126b860ece1"}]}}, {{0x9, 0x5, 0x3, 0x1, 0x400, 0xff, 0x20, 0x80, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x3f, 0x5}]}}, {{0x9, 0x5, 0xc, 0x4, 0x8, 0x80, 0x40, 0x3}}, {{0x9, 0x5, 0xc, 0x4, 0x200, 0xdd, 0x1, 0x41, [@uac_iso={0x7, 0x25, 0x1, 0x81, 0x9}]}}, {{0x9, 0x5, 0xb, 0x10, 0x200, 0x25, 0x3f, 0x6}}, {{0x9, 0x5, 0xe, 0x8, 0x400, 0x5c, 0x8}}]}}]}}]}}, &(0x7f0000001680)={0xa, &(0x7f0000001440)={0xa, 0x6, 0x310, 0x5f, 0x69, 0x40, 0xdf, 0x5}, 0x68, &(0x7f0000001480)={0x5, 0xf, 0x68, 0x4, [@ptm_cap={0x3}, @generic={0x4a, 0x10, 0x1, "ed5d92fb9aaf1b58dd9460c834112562cfeee907ccd517227b248523df8ac4b3781d30134e76b6dae04697eb94c541b0686771c34226169fe618541bf2603777379a376505bb29"}, @wireless={0xb, 0x10, 0x1, 0xc, 0xc, 0x1f, 0x4, 0x1, 0x3}, @wireless={0xb, 0x10, 0x1, 0x8, 0x20, 0x6, 0x4, 0x6, 0x1}]}, 0x4, [{0x1b, &(0x7f0000001500)=@string={0x1b, 0x3, "556caeaccda210cbbfdca107bafb40f78c151301bb146c9fbb"}}, {0x4, &(0x7f0000001540)=@lang_id={0x4, 0x3, 0x2c0a}}, {0x4, &(0x7f0000001580)=@lang_id={0x4, 0x3, 0x427}}, {0x9a, &(0x7f00000015c0)=@string={0x9a, 0x3, "3574e041341ee31abe2fefab599a28963932940072befc04f99d5709082ebe0fad87738abe6adbb99b98cd3efe176d5da90549413ff245f702da9a2443c9fee8ace1c1ecb457811fa07f1dd9ff6b425281b82d3bc68fb816121dd3caffb1a41b627673ecfd798dc1a05602edb16aa8f7c5f2bb48cdbb8687b7786b3ed60f010a39db5a0f8b5aeb2be66d422a7803028d4f439ae35e3fa608"}}]}) syz_usb_connect(0x6, 0xdac, &(0x7f0000001700)={{0x12, 0x1, 0x200, 0x0, 0x0, 0x0, 0x10, 0x0, 0x0, 0x0, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0xd9a, 0x4, 0x76, 0x9, 0xc0, 0x7, [{{0x9, 0x4, 0x71, 0xb2, 0x6, 0x0, 0x0, 0x0, 0x4, [@hid_hid={0x9, 0x21, 0x8, 0x3f, 0x1, {0x22, 0xba2}}, @generic={0x102, 0xf, "1ff1a388f1457ac4c932fcca03191f9fc42b7c6283dd42c42131d1b3a09c5ccc2ec9675db4172e559255ab8ba3d975c9c6c395e003de034a28af46a04e2da05bf1ed52b76050af66fbecb39b892b6471503d8f48a3a68107c181d4b0af455e04ea016b1ab7c505e9073cb0f600340b3197f61479c9e67c918a22901313edde59b68568412b1ea7fd12bea2b392f6d78ec76177b5d63be092fd07754746a2d7d8bffb9ffbdb21dd2eec2b5a5989613666ede72d90d346c2acecaf0f059464358e67c9e0e4cb0643cd3f3fdcd8db72b5d29c7c209e70333991d6e55773391fc0ace8353c49072d1fa9e36034cb82e142373392ba20387009c1283b6c0d84d0d9a0"}], [{{0x9, 0x5, 0xe, 0x10, 0x20, 0x9, 0x7f, 0x40, [@uac_iso={0x7, 0x25, 0x1, 0x3, 0x1, 0xf000}, @generic={0x59, 0x24, "69a6d2b6612f5c705e8c0e6f30393c9e972f339ab8bf8e74ac75988ed91a6249f5a0b7ca6e744eaf449c94b0f0f799ea7afaacfaf9d1633ba024d288776eef1b488c6bcdaf86ab98b49dd69ed424f03e52b0e7ab95d072"}]}}, {{0x9, 0x5, 0x8, 0x10, 0x10, 0xb, 0x1, 0xef, [@generic={0x5a, 0x6, "98ea299dc634ba377c193489db90f8ff160dfb625f93ea2111738c21a9aa0f93a06140439f1f8d02d760383fd75770b98c429fdad4dec89ae4d6076de74aad9d78513b7079df277d1efeea1faa4a611f06045ec7d56b2db3"}]}}, {{0x9, 0x5, 0x1, 0x8, 0x20, 0x8, 0x98, 0x40, [@generic={0xfd, 0xb, "3ddef0557cc91f3bb2c471220908b231d5ee9c4d1da60f01267e4f4d13e77e53e9f4026e9b61324cba5884baf10ef975d19e3c941ba84053273aa31716a250abdf458aee95069d866fc39826d08028b18cc1969f62e8da996e0a7798eb6bfd274d3b1fdcf1ed3912100721af4253ce1b1f8ade2453620f3cc5eb0cf61ed91da75346e1481aa8ba467bcd1600456563b828c7465729fd3c8ba58e70bde45b5fa7828d0bbdb8c18d583721b2828828625c8a3c0c9b16a987e576f84cfd765c1361b4865de4e79b293c0a44b6821166dbb4a9f6994c8856d0d4ae6e8e47ffe2b526ee18a05ee2dd3a398a3eca30890f845955eb4d16dd53c399c0a69f"}, @generic={0xe, 0x22, "1eebafdb5e7b89b2326bd7c7"}]}}, {{0x9, 0x5, 0x9, 0x10, 0x3998221568e8b3b4, 0x1, 0x7}}, {{0x9, 0x5, 0x2, 0x0, 0x3ff, 0x53, 0x1, 0x20, [@generic={0xc9, 0x24, "ae2b23c18ae72d5a9595feef0cb2306eb5253dcd974b07ff46359e3cc9ca7bd525f9db2e6089dab60b2488f2d29f7bcd25dbe2a73681d4d3c40b2c7db0139a5192b28a0d9fed305b42c7a2b418de08b62517eedb2617e38fe494caeb115027255abbf934cb73cc5d6d9f6d2329aad4beadfdc6e5792cbcbdfa0d106e076bab0b6458aa703bc0f00f9ffa0ac5bb1475a21ce3121170df8f8375261d048fe6eaa87b88bd461eee2eae59453dcd24ac4f3ebcabb92e68e98baf5621f2e326c27d0003f8ca61edc242"}]}}, {{0x9, 0x5, 0x0, 0x10, 0x200, 0x1, 0x7, 0xfe, [@uac_iso={0x7, 0x25, 0x1, 0x80, 0x80, 0x3}, @uac_iso={0x7, 0x25, 0x1, 0x2, 0x2}]}}]}}, {{0x9, 0x4, 0x7f, 0xe1, 0xc, 0x0, 0x0, 0x0, 0x6, [@cdc_ncm={{0x9, 0x24, 0x6, 0x0, 0x1, "f875173e"}, {0x5, 0x24, 0x0, 0xffff}, {0xd, 0x24, 0xf, 0x1, 0x4, 0x7, 0x6, 0xff}, {0x6, 0x24, 0x1a, 0x8, 0xc}, [@call_mgmt={0x5, 0x24, 0x1, 0x1, 0x1}, @mbim_extended={0x8, 0x24, 0x1c, 0x7, 0x2, 0x4}, @mbim_extended={0x8, 0x24, 0x1c, 0x0, 0x23, 0x7}, @dmm={0x7, 0x24, 0x14, 0x5, 0x8}, @country_functional={0xc, 0x24, 0x7, 0x9, 0x8dd3, [0x1, 0x1, 0xf695]}]}], [{{0x9, 0x5, 0xd, 0x0, 0x20, 0x9, 0xff, 0xfa, [@generic={0xf8, 0x22, "680887aaffae3706b19ba6db718e1f6a12b5aca70c78dd28c1c922e01549a8f07a435a9b5774e77286e51e4ee0bff1177175c2c69530615fe3931c6cb396e9bfa45fa6a7fe3372a5e60462fcf9b2ca127f365277bf85c57f33e984298899bb38a2b864fe593b5c055fee6c3ba6eb8f3b3aa6e29e9a1d34f1f8eabfe6dadc17a3e8518084715b5fd059c5a81fbafe741b60c5a4bdfd7b16fbf703725e0f0b6b8643d14ba25be2d399841ca6197ff1f4234f67442b151b4c86f9e9f466f47c708f9febe7774bd25b0e5a649c893ad3f65bc352bb461a4c4c9ec313bb25fe8afd10fcb39f871985dc0bc71fc395dcd62d04817028327eaf"}, @uac_iso={0x7, 0x25, 0x1, 0x80, 0x3f, 0x8001}]}}, {{0x9, 0x5, 0x0, 0x0, 0x10, 0x7, 0x81, 0x8, [@uac_iso={0x7, 0x25, 0x1, 0x81, 0x0, 0x1c00}, @uac_iso={0x7, 0x25, 0x1, 0x3, 0x1, 0x5}]}}, {{0x9, 0x5, 0x1, 0x0, 0x8, 0x3, 0xe0, 0x5, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x93, 0x7}]}}, {{0x9, 0x5, 0xc, 0x10, 0x9325d55016ffb7ba, 0x8, 0xcc, 0x80, [@generic={0x60, 0x31, "87d8945dc96453b2dcb6101b021bb25830a9a7cac1ba9a5316e4da7613f59e42a769c17c33a81b79a13e4d14a55ad7dadae2c9c7add92c1f6e870a6975c0889c70f8800a00bed97b85954cfdcdb6576d4481e7035dd2c1375a351379daa6"}]}}, {{0x9, 0x5, 0x5, 0x0, 0x400, 0x5, 0x45, 0x3, [@generic={0x43, 0xf, "7e4f8cd3d88fd0963c5451332a8d39b3accacae8ef2a9a239cf0c7bbc94543cee87162cf7fd0a60d09567b6933093a4fdce16c6e5e421a63928094bc1abe0435ad"}, @generic={0xe4, 0x11, "e89d85a8e71dca6f6c65f7d67c19fac38b07f60e39569fc289f90aa58880a332f6f61558c6c5faa9e69386fa67d1799ee515f21864d7ea0b8c5d1acc1315e7d394946035d5bd2a0b1d4f29d3e5c53a39a39bd6ebfae90ea523fc169fb20450161dff85d97d4e4c601651dc0d718bfe33ee82717bc22b634d380939fc79332f29247435a3fd369c3e087cd4d4738808ab0cd921ddb116cc8a5f3fa55bbb77dc2cf8cb9a7b3f79b905d7539f0ef2299a892bccd535edf0262a95b46eafc098de1bf3027f90c18fbafd989cd9729ba38bbb3bdf4e432741d93ac550a6f3b74a5db878c7"}]}}, {{0x9, 0x5, 0xb, 0x1, 0x10, 0x4, 0xf1, 0x5}}, {{0x9, 0x5, 0x5, 0x0, 0x20, 0x9, 0x8, 0x6, [@generic={0xb1, 0x6, "b40260d53fd2e3c284cf80685dfa7dc42f4247a28161d31e45716beec667ade91abd94b9898d8cf639d26ac8529e1d1726cc0b79abc93bf887c259e931221a93c856ffb124961a88395e53d512609faf85b1d51a69a3bd7d3c4742e9be26b98df66035498150ce12c047cabc7b919366a35b2eb18b83a40ac7bf32528758e18d44f993bb3f96eeef22960e42e501f6edaef2cc404c403e3fe302a7b018b7b7d303c1d4dc36ca0254db7b9ff0894299"}, @uac_iso={0x7, 0x25, 0x1, 0x0, 0x40, 0x200}]}}, {{0x9, 0x5, 0xe, 0x0, 0x10, 0x7e, 0x45, 0x4, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x8, 0x100}]}}, {{0x9, 0x5, 0xf, 0x1, 0x400, 0xfc, 0x7, 0x20, [@uac_iso={0x7, 0x25, 0x1, 0x80, 0x80, 0x3f}]}}, {{0x9, 0x5, 0x6, 0x0, 0x40, 0x3f, 0x8}}, {{0x9, 0x5, 0xa, 0x8, 0x400, 0x4, 0xff, 0x1f}}, {{0x9, 0x5, 0xb, 0xc, 0x200, 0x9d, 0x6, 0x6, [@generic={0x76, 0xb, "9db1b5cc2f1376c6bd47a59dab2d3b95fe048a5a9946c090ac0befa7708c9031620d3e8bff3ecc4b742dc2557e69db7b05f0dc3cbd4d1066f426c9111e9c262581a13790478be60f93ac32936ffdaa9298a476b1e1437805f7bb03fa4df682ee2a4df6f3fd0d355c3a084bc708df7856a528a82a"}]}}]}}, {{0x9, 0x4, 0x40, 0x67, 0xa, 0x0, 0x0, 0x0, 0x2, [@generic={0x93, 0x5, "34b8fd1f591b954c65909affffea17db61047c36ecb8d8a010d6aad808ddc1dfccd3b555fa654cbabe6f4cc83c384ae5a6318f3ce28111e3c2fdb59e8f577ea9ac3ae6417d4798c0c572c400ed13094c392f657999a6eda83b5a148b4de100b7141418d138c88aae2e9fc0028714ff1c260d6f924c0380cab6d7eb605e3411e9cf3e97bec37dc046daddb1bf440aff87dc"}], [{{0x9, 0x5, 0xc, 0x0, 0x200, 0x20, 0x9, 0x0, [@uac_iso={0x7, 0x25, 0x1, 0x81, 0xfe, 0x8}]}}, {{0x9, 0x5, 0x6, 0x2, 0x200, 0x77, 0x20, 0x6, [@generic={0x5e, 0x21, "37908a41e5e843fd332ce0a396753053d15fd1a0200f867b208adbd2e462c1b228cadbcf4a0f4766a911bfd19b196d0d0a34ba1a1ec777b67c0984561b3726bbcba16e64f5cd91cfb230d6f5defd0cf9e7220829585d6eb5fc861d27"}, @generic={0xd0, 0x11, "8a5ac0ec7702d669146b139315b688a129102222f329adf8fdbfa3b960f41eaf64cfec4b213be12048d9806a78bfc2db91c7ac34fab59a57123e86a5ed9734215d178ff6b525f36c52934d242d5bdfd5aa00e6c2dcf50b7d5be6a9c3411fd6ea334840cb6b41f12c79b899cbd2bff81793228c558faa3837502eb18571e4c1c29ecd6459861a6540020095c1a05e73895c539c9b2005e5a7cb1810eb115bb62bfd01bd669d8f1ad72deb2bebfa6a24fa3e8f7adca1aadec2e70e7b280fd5591e97a2feb0c9177dd8e01abffcba74"}]}}, {{0x9, 0x5, 0x5, 0x10, 0x200, 0x28, 0x40, 0x1}}, {{0x9, 0x5, 0x7, 0xc, 0x40, 0x9, 0x90, 0x3, [@generic={0x97, 0x1, "1ab05e0f16e6dc4f902883b7dbcf4d006e485b9f5e05743b91bafb754148b772c75c9eb62ec969d3a2e6ba9e80578bc80e14c12c26cea0a243926c5311f2ce97ec367230612f7eafa19af1544fab425afcfcb86f790edf76d63432d109227630c0b971dfff8fe9013807566a5910dcbf7ff3e378b51ceb3544f52c0ac96bdb283e458bfd4540452e0ba9e48b5d721562b3c6ece9a5"}, @generic={0x5f, 0x11, "a07fef84c62bbb498b9bf45caa3b75454666d00af1951a9ab93eb44be4c074714261e796518e1ada8a09dab1222d8d5b1ac5670a0444f28f9478cf44ddf56ee9dcac2dfa60a37dbccf64a7b4b31f154dd63e01d779dbaafedd09715c98"}]}}, {{0x9, 0x5, 0xf, 0x3, 0x3ff, 0x9, 0x7, 0xa1, [@generic={0xd3, 0x4, "36bf111c678aca56c8e1b640e2b71c6895ed6d685ea05eb19ff2b386a35fb4206b837729acecc8c06819a2a1dc2db32147f75ea76405e7c40dad84690534e3840c1edb62a2bbd87395d8ec32fd4026958fa5bd013a7109491188c1e218d2b851479f17726ab74247827bd2f761a0fd8555d482b36a34b1809ff708b9b951eb0db3acafc59ba2ee74518f2d38a8776922cc07f7278a7cc76d14440748053e7d632c7eeaaa45f176047a9546e55503248663b5e8a1f4ef4addb2b7ef2eb98e4fc35da0e01295791f9b5ceee0804593d82afb"}]}}, {{0x9, 0x5, 0xe, 0x3, 0x3ff, 0x9, 0x8, 0x1, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0xf9, 0x3}]}}, {{0x9, 0x5, 0xe, 0x10, 0x200, 0x7, 0xb5}}, {{0x9, 0x5, 0xd, 0x1, 0x3ff, 0x2, 0x48, 0x1, [@uac_iso={0x7, 0x25, 0x1, 0x2, 0x3, 0x9}]}}, {{0x9, 0x5, 0x4, 0x0, 0x3ff, 0x80, 0x0, 0x2, [@generic={0x101, 0x21, "08186de1e0cd1274c6e6130cfad4b4d58dc4c5f98c33f88636b1311ad64c72f346b3fb144de7c62225bda5117922a6239950afd9372394ac0686108bb4cfc47f9d1825c4be7046a53307957a366b31845fb1c17e41691260fa29e623fbf3c13e1561441c4215b047467783ade50605537881ff34179e55b66795b73f46e6101524517588052bd5818e718b5e07b019df85f733275a399817cb4babec5e7894eb3b1028d4c802ef8b2079ccdba9b6a1ddeba8ddbb28b2c71d56d34ac9cbd6b0342bfca902adc290c15f7ca0a8815b46e2a26977cb38364e55405996644ecbf95c30a5faca3e735c441036eb329e40a5da8798dd1e25d8ebf1c8e46ba2341474"}, @generic={0x3, 0x0, "a1"}]}}, {{0x9, 0x5, 0x2, 0x2, 0x400, 0x1, 0x6, 0x40, [@uac_iso={0x7, 0x25, 0x1, 0x82, 0xff, 0x7}]}}]}}, {{0x9, 0x4, 0x81, 0x40, 0x0, 0x0, 0x0, 0x0, 0x3}}]}}]}}, &(0x7f00000025c0)={0xa, &(0x7f00000024c0)={0xa, 0x6, 0x201, 0x80, 0x4, 0x7, 0x40, 0x20}, 0x16, &(0x7f0000002500)={0x5, 0xf, 0x16, 0x2, [@ext_cap={0x7, 0x10, 0x2, 0x8, 0xb, 0x2, 0x5}, @ss_cap={0xa, 0x10, 0x3, 0x0, 0x2, 0x0, 0x4, 0x800}]}, 0x1, [{0x4e, &(0x7f0000002540)=@string={0x4e, 0x3, "9725a2d09eb5f3eb7605a5825d303bb5e524d0d139a2bd869e6ab5bc43e3f7e24314b6480d09386d01ec70fbb4e12e60d5352810f75206eccaabaceebe9d62f875d70340981c9bc4de0d4170"}}]}) syz_usb_connect$uac1(0x6, 0xb0, &(0x7f0000002600)={{0x12, 0x1, 0x51, 0x0, 0x0, 0x0, 0x20, 0x1d6b, 0x101, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x9e, 0x3, 0x1, 0x4, 0x10, 0x3, {{0x9, 0x4, 0x0, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, {{0xa, 0x24, 0x1, 0x6, 0x2}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {}, {{0x9, 0x5, 0x1, 0x9, 0x90, 0xfc, 0x3, 0x0, {0x7, 0x25, 0x1, 0x0, 0x2, 0x2}}}}, {}, {0x9, 0x4, 0x2, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_i_continuous={0xa, 0x24, 0x2, 0x1, 0x0, 0x1, 0x3, 0x0, "2f83"}, @as_header={0x7, 0x24, 0x1, 0x0, 0x7}, @format_type_i_discrete={0xf, 0x24, 0x2, 0x1, 0x10, 0x4, 0x5, 0x7, "8b4f45e55d11e1"}, @as_header={0x7, 0x24, 0x1, 0x1, 0x80, 0x1001}, @as_header={0x7, 0x24, 0x1, 0x6e, 0x1, 0x3}, @format_type_ii_discrete={0x11, 0x24, 0x2, 0x2, 0xff, 0x72, 0x1, "712afa656323ba64"}]}, {{0x9, 0x5, 0x82, 0x9, 0x10, 0x38, 0x7f, 0xff, {0x7, 0x25, 0x1, 0x2, 0x5, 0x8000}}}}}}}]}}, &(0x7f0000002a80)={0xa, &(0x7f00000026c0)={0xa, 0x6, 0x310, 0x3f, 0x2, 0x81, 0x20, 0x80}, 0xf8, &(0x7f0000002700)={0x5, 0xf, 0xf8, 0x4, [@ptm_cap={0x3}, @generic={0xd9, 0x10, 0x4, "da841a6511b446e137c744bad0fee00dbfec91ad0c16f19918fcb317491707781c9bcd06deb9bad5b21e524b10fd5e5f17de0deb7f65d27c5a1c919db0962f77a28e5bb0c6141069f6563d3fedcc09538ca6f83b7e1b6005537490ef7b869911515398826662455dd30054334f073788d1d4554614998c3bd64da779ca6293840f87aee53efdc6bc37fa88b92a786f8f5f98c7dd049cad572eb7d9c2191c99cac2647a90d0ed40b64788ef06b49798087695e3b0dc9e5d09509d3502d9ad506d4a2102485d549eb714b4dd1d6b81a8523219a1ca008e"}, @ext_cap={0x7, 0x10, 0x2, 0x14, 0x9, 0x8, 0xfff}, @ssp_cap={0x10, 0x10, 0xa, 0x5, 0x1, 0x7000, 0xff00, 0x0, [0x3f00]}]}, 0x4, [{0x18, &(0x7f0000002800)=@string={0x18, 0x3, "5692c894e3fcb803044cb0f39770dd58eefd5e4b8887"}}, {0x8a, &(0x7f0000002840)=@string={0x8a, 0x3, "f0ddf6609f13fb575b3f6bbca224f0ceae680c02f315573bd7132c0207960a15e70b2dcd4ad51a26404687e0c860c421403959f0759a79cf3b1c9e5f06d339f89aa22aef35c52bbcf5264d69b73220382d53a8c9a75ca7a73fbe023960f85ff4ea10890594a18367b4055ec8098c172a290276677299a598cd8194c5dbc90dee80ea51be867c243c"}}, {0x80, &(0x7f0000002900)=@string={0x80, 0x3, "06a5c3a7f3f2efec440e4d851502b2f3ae846c8b29ab2bf24d55ce0364aa90abb85f7701d59e460e1b7064408c080fdcc285db9b24eb4cd433dc4e50c843e6fc57f616d33c82229fa9656c2bdf1ab4eab6e50264e04d809462572d012e2daf3818d2975ac301ee7e4b917095dcb8db8410365dc0a0d05018c876e24cd856"}}, {0xde, &(0x7f0000002980)=@string={0xde, 0x3, "4b52d3612d0701fb3235c48522b3d937afe63050bcc31338499600beb013adfcae0008e0e494ec229f5de155e6a6197ef6f357ab3c8598d72f52faab4a85390b75f4bfbc8ce55b5b3498b4a91a7e4731a224a9e5089528b1facb64fa75df14bff93d841af40f281c790659f74b70ee5d87fd02ca9ac2a0dd209e56e90cf0629a295737f93750deb7c195c998887d036c7fdeee7ba816bd7ddde4aeca8ff84b31c3b9e185ee0b69ee98c5c925217ca6a02e495e8d4dc2b2086db621ecc0b961e2e4ecc2204eaee12dbc9be9462f5aab95cde8f05f400a2b0dbf5df8bb"}}]}) syz_usb_connect$printer(0x1, 0x2d, &(0x7f0000002b00)={{0x12, 0x1, 0x300, 0x0, 0x0, 0x0, 0x28, 0x525, 0xa4a8, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x1b, 0x1, 0x1, 0x8, 0x40, 0xc7, [{{0x9, 0x4, 0x0, 0xf6, 0x1, 0x7, 0x1, 0x2, 0x6, "", {{{0x9, 0x5, 0x1, 0x2, 0x3ff, 0x6, 0x5}}}}}]}}]}}, &(0x7f0000002f00)={0xa, &(0x7f0000002b40)={0xa, 0x6, 0x310, 0x80, 0x9, 0xe4, 0x8, 0xb4}, 0xdc, &(0x7f0000002b80)={0x5, 0xf, 0xdc, 0x3, [@ext_cap={0x7, 0x10, 0x2, 0xc, 0x0, 0x7, 0x100}, @ss_cap={0xa, 0x10, 0x3, 0x0, 0x1, 0x9, 0x73, 0x81}, @generic={0xc6, 0x10, 0x3, "a9b631bf28a896d850befc3cdf1e0fc3eeec36424dbf752671731df4f78ed3b138a6d0a2a4b0d126f25a808a017cfcb5cea7e1cc36aa489d72ea676ea63f294cd51d5289c0d7dca44e58b023c10fec6a0457d02b4125802e93cb290368578fac861f93e4669bebdc2b086644231dae3effc91c85cef5267da5bf248ce92da4ed8e4b33152badc7630a51955c4a14ec9916d8fba38601b1692589ed2acae67ae6868406424fb629cac9e7f15dbd769af5909017aee6b7e877111c30bcb64102d8837ca4"}]}, 0x5, [{0xe2, &(0x7f0000002c80)=@string={0xe2, 0x3, "846eec5f25780aa742fcdccafee3600e991a97c5e57e7f3d7ca2fcd307ef64db31d5c64455ccc9718f8822ed8c2afdfeebc22ff46a48e2f6515df1fc10d35319bf426d92b71afe12da5855690eb12b0001b9c7a1d994eed7aee574c767b4854f8eec244eefda44a0952ecda56f4b94c7d07b1fe0eb88ec1868d138042fe2997c29abfd383ec7a650419d31773b80f361cc3595d18b9ee4b6351a8a0cc6138c978264efcc8d780b52264edd43f4e8ad8f34761d660fde67a0e1ad0847ef43d55ed27bbf762f5dff9924181b058bedd28f1811f3550694d7de176df532683fae8f"}}, {0x4, &(0x7f0000002d80)=@lang_id={0x4, 0x3, 0x427}}, {0x4, &(0x7f0000002dc0)=@lang_id={0x4, 0x3, 0xc0c}}, {0xa0, &(0x7f0000002e00)=@string={0xa0, 0x3, "01002f38f08877f173c0002f7f594bd5c277644cd936385d252ea4777268d04fb4ceef42564ea16a7b7df9d1cd404c0b3551d8939a6b2bbcca6aa7523f66c15c3670dc29afff207ea5f0f29d2764e2e24c7941506d923b203ac9465be05b8fd05ae2c2e985244b0874067c050811fd01c6e1960c6a14e2d4790c7f9756c4bbb8f1ed0a7046ead6d02b0fbb1dd55f26a4ca391d3da8ced415a5257e72ee45"}}, {0x4, &(0x7f0000002ec0)=@lang_id={0x4, 0x3, 0x447}}]}) syz_usb_connect$printer(0x4, 0x36, &(0x7f0000002f80)={{0x12, 0x1, 0x110, 0x0, 0x0, 0x0, 0x10, 0x525, 0xa4a8, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x1, 0x81, 0x90, 0x6, [{{0x9, 0x4, 0x0, 0x8, 0x1, 0x7, 0x1, 0x1, 0x1c, "", {{{0x9, 0x5, 0x1, 0x2, 0x0, 0x56, 0xe1, 0x1}}, [{{0x9, 0x5, 0x82, 0x2, 0x400, 0x1, 0x3, 0x4}}]}}}]}}]}}, &(0x7f0000003240)={0xa, &(0x7f0000002fc0)={0xa, 0x6, 0x110, 0x1, 0x3, 0x1, 0x20, 0x1}, 0x13, &(0x7f0000003000)={0x5, 0xf, 0x13, 0x2, [@ext_cap={0x7, 0x10, 0x2, 0x8, 0x5, 0x4, 0x2}, @ext_cap={0x7, 0x10, 0x2, 0x0, 0x7, 0x7, 0xd5}]}, 0x5, [{0x4, &(0x7f0000003040)=@lang_id={0x4, 0x3, 0x404}}, {0x4, &(0x7f0000003080)=@lang_id={0x4, 0x3, 0x3c01}}, {0x4, &(0x7f00000030c0)=@lang_id={0x4, 0x3, 0x42f}}, {0x4, &(0x7f0000003100)=@lang_id={0x4, 0x3, 0x415}}, {0xc4, &(0x7f0000003140)=@string={0xc4, 0x3, "05606617aa18988bc7148ca89085d04c146efb32f5917f3543d739b8795ff3199ce51bd34be8d3bea366209f1f3206a23652c50805e9be9a7117b71c4bb5d66ad38b3a14d26b26a9ee48cf263bb66040cefe083c13ecd9c54b58e3e045e0f06d62d9a9e3ab832e9f62214fdd14e1bab313942a08e06bc27961c645cc73ba1e251473d6910760d60d5ea12527ce49394f752d3f2e55dda8933c83a9bdb990e9bda8ddb87cd24c742d34fc4517d2fe9bbe31fafb0af79430d8da0209d38d9a8678dbc9"}}]}) syz_usb_connect(0x2, 0x17d, &(0x7f00000032c0)={{0x12, 0x1, 0x110, 0x0, 0x0, 0x0, 0x10, 0x0, 0x0, 0x0, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x16b, 0x2, 0x0, 0x9, 0x40, 0x81, [{{0x9, 0x4, 0x20, 0x9, 0x0, 0x0, 0x0, 0x0, 0x6, [@hid_hid={0x9, 0x21, 0x5, 0xcc, 0x1, {0x22, 0xef5}}, @uac_control={{0xa, 0x24, 0x1, 0x1, 0x8}, [@output_terminal={0x9, 0x24, 0x3, 0x5, 0x305, 0x1, 0x1, 0x7}, @selector_unit={0x9, 0x24, 0x5, 0x1, 0x40, "3b0325c0"}, @extension_unit={0xc, 0x24, 0x8, 0x6, 0x3f, 0xc5, "e3369142b9"}, @extension_unit={0xa, 0x24, 0x8, 0x4, 0x9, 0xc5, "2502da"}, @processing_unit={0xc, 0x24, 0x7, 0x3, 0x3, 0x4, "ad2ff71446"}]}]}}, {{0x9, 0x4, 0x7, 0x3, 0x3, 0x0, 0x0, 0x0, 0x5, [@uac_control={{0xa, 0x24, 0x1, 0x9, 0xa4}, [@output_terminal={0x9, 0x24, 0x3, 0x5, 0x302, 0x5, 0x1, 0x1}, @extension_unit={0xa, 0x24, 0x8, 0x3, 0xb02, 0x3, "822817"}, @feature_unit={0xf, 0x24, 0x6, 0x5, 0x3, 0x4, [0x5, 0x1, 0x7, 0xe], 0x5}, @extension_unit={0x8, 0x24, 0x8, 0x6, 0x2e, 0x0, "e9"}]}, @cdc_ecm={{0xa, 0x24, 0x6, 0x0, 0x0, "9164a9f6c1"}, {0x5, 0x24, 0x0, 0x1f}, {0xd, 0x24, 0xf, 0x1, 0x40, 0x4f, 0x100, 0xf7}, [@call_mgmt={0x5, 0x24, 0x1, 0x0, 0x8}, @network_terminal={0x7, 0x24, 0xa, 0x7f, 0xf1, 0x3, 0x4}, @mdlm_detail={0x6e, 0x24, 0x13, 0x80, "b2fdab0f56f95d3d8beb0adfbb178396d6e988835824df53836e3d16f415ba62d3bdd36bad74877f81d99ec62bbc92847f155d793cd0f0e5507ba76345ba30262fdfd6bd365434f6e278c6912692b117f5bd23a8dfde6187ec329c0cc980defcfe679edd2ba316b8db32"}, @mbim={0xc, 0x24, 0x1b, 0x5, 0x3, 0x8, 0x3, 0x7ff, 0x4}, @obex={0x5, 0x24, 0x15, 0x2}]}], [{{0x9, 0x5, 0x0, 0xc, 0x3f7, 0x7f, 0x91, 0x3, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x40, 0x4}, @uac_iso={0x7, 0x25, 0x1, 0x0, 0x5, 0x40}]}}, {{0x9, 0x5, 0x7, 0x10, 0x40, 0x5, 0x30, 0x41, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x8, 0x4}]}}, {{0x9, 0x5, 0x6, 0x8, 0x8, 0xff, 0x11}}]}}]}}]}}, &(0x7f0000003680)={0xa, &(0x7f0000003440)={0xa, 0x6, 0x300, 0x1, 0x6, 0x1, 0x20, 0x3f}, 0x3d, &(0x7f0000003480)={0x5, 0xf, 0x3d, 0x4, [@ssp_cap={0x1c, 0x10, 0xa, 0xe9, 0x4, 0x2, 0x1100f, 0x9, [0x1fec03f, 0xf, 0x0, 0x3f]}, @ss_cap={0xa, 0x10, 0x3, 0x0, 0x0, 0x3, 0x1, 0x40}, @ext_cap={0x7, 0x10, 0x2, 0x18, 0x9, 0x5, 0x1f9}, @wireless={0xb, 0x10, 0x1, 0x4, 0x40, 0x7, 0x4, 0x3, 0x40}]}, 0x4, [{0x4, &(0x7f00000034c0)=@lang_id={0x4, 0x3, 0x427}}, {0x77, &(0x7f0000003500)=@string={0x77, 0x3, "22314a78b6d1c9edfef5425f6a56725a5abe776f085ce4dcf8c1e5cabe368b5e8846ff310440a21944f95be6835e4b0c4ea5b516b438c97c75b295c7bbe55c1c1ec69479575b557fb0b539b842d729262568f501d355a3b4bc1ea59a2249a431fdfbf30806235b39607de8b275b70c23280d03890d"}}, {0x58, &(0x7f0000003580)=@string={0x58, 0x3, "5ac2d67b1a45039c0637fce228cd0cb0b994fdb35904ed46afa1d7a0de8a7d980c27ac5ba8c2ba549dce476ed259d62a249704afb13b027ce8d9c3aa19ee783398a95028f72dfdeb32d52daf257e43101cf92791b8c8"}}, {0x66, &(0x7f0000003600)=@string={0x66, 0x3, "50ac57b01549a22f404e0a7e7b65c30a37510cad5239745733588c49d41a0cb88ec007ac257205732be23540ad24fec7c5fd7dcd3b66b84c20e637be3de89c2650fa05824f390845b859556ed639520ca7431e69316e5b4c5dd771296fb821645002742e"}}]}) syz_usb_connect(0x3, 0x3f8, &(0x7f0000003700)={{0x12, 0x1, 0x310, 0x0, 0x0, 0x0, 0x8, 0x0, 0x0, 0x0, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x3e6, 0x2, 0x0, 0x0, 0x60, 0x7f, [{{0x9, 0x4, 0x7, 0x7f, 0xa, 0x0, 0x0, 0x0, 0x0, [@uac_control={{0xa, 0x24, 0x1, 0x100}, [@processing_unit={0xb, 0x24, 0x7, 0x1, 0x5, 0x3, "8dd0046f"}, @processing_unit={0xb, 0x24, 0x7, 0x1, 0x5, 0xff, "ec604e1f"}, @input_terminal={0xc, 0x24, 0x2, 0x5, 0x101, 0x3, 0x0, 0x400, 0x5, 0x20}, @output_terminal={0x9, 0x24, 0x3, 0x5, 0x0, 0x4, 0x1, 0x3f}, @mixer_unit={0xa, 0x24, 0x4, 0x4, 0x4, "36690141a4"}]}], [{{0x9, 0x5, 0x2, 0x3, 0x10, 0x8, 0xf8, 0x7}}, {{0x9, 0x5, 0x0, 0x0, 0x40, 0x0, 0x8, 0x7, [@uac_iso={0x7, 0x25, 0x1, 0x80, 0x3f, 0x4606}]}}, {{0x9, 0x5, 0xe, 0x0, 0x40, 0x41, 0x5, 0x4, [@uac_iso={0x7, 0x25, 0x1, 0x80, 0x1, 0x7}]}}, {{0x9, 0x5, 0x7, 0x0, 0x8, 0x3, 0x1, 0x91, [@uac_iso={0x7, 0x25, 0x1, 0x83, 0x80, 0x6}, @uac_iso={0x7, 0x25, 0x1, 0x3, 0x8, 0x400}]}}, {{0x9, 0x5, 0x0, 0x3, 0x400, 0x6, 0x0, 0x29, [@uac_iso={0x7, 0x25, 0x1, 0x2, 0x5, 0x3}]}}, {{0x9, 0x5, 0xf, 0x3, 0x40, 0x40, 0x80, 0x40, [@uac_iso={0x7, 0x25, 0x1, 0xc72f74561dc679c2, 0x9, 0x80}]}}, {{0x9, 0x5, 0x4, 0x8, 0x10, 0x7f, 0x4, 0x16, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x0, 0x1}, @uac_iso={0x7, 0x25, 0x1, 0x84, 0x0, 0xfc00}]}}, {{0x9, 0x5, 0xe, 0x8, 0x40, 0x81, 0x7, 0x1f, [@uac_iso={0x7, 0x25, 0x1, 0xece0740dd4b18e95, 0xb3, 0x2}, @generic={0x60, 0x21, "60d3400f076bde70a7b4b325fd420402fa0cb421a5760a2c0b2566d08b9af9f866547a14a46b712423a9ff59d619c22c4008f1825e3a6450bcce86427cd06c42681eea81c0dac2430322fc2648a8eb15d8e23cecc4cd7c8cf181689ea6e5"}]}}, {{0x9, 0x5, 0x1, 0x10, 0x200, 0x7f, 0x0, 0x7f}}, {{0x9, 0x5, 0x8, 0x10, 0x0, 0x5, 0x6, 0x5, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x9, 0x8}]}}]}}, {{0x9, 0x4, 0x80, 0xff, 0xb, 0x0, 0x0, 0x0, 0x51, [@uac_control={{0xa, 0x24, 0x1, 0xe2f8, 0x9}, [@selector_unit={0x8, 0x24, 0x5, 0x5, 0x2, "8ceeeb"}, @output_terminal={0x9, 0x24, 0x3, 0x3, 0x305, 0x6, 0x6, 0xfc}, @selector_unit={0x7, 0x24, 0x5, 0x2, 0x6, "ac29"}, @feature_unit={0x13, 0x24, 0x6, 0x2, 0x6, 0x6, [0x3, 0xa, 0x8, 0x8, 0x7, 0x9], 0x55}, @processing_unit={0xa, 0x24, 0x7, 0x2, 0x6, 0x2d, "e003cd"}, @feature_unit={0xb, 0x24, 0x6, 0x6, 0x2, 0x2, [0x5, 0x3], 0x1}]}, @uac_control={{0xa, 0x24, 0x1, 0x7f1f, 0x80}}], [{{0x9, 0x5, 0x3, 0x8, 0x40, 0x8f, 0x2, 0x4}}, {{0x9, 0x5, 0x0, 0x0, 0x8, 0x1, 0xfe, 0x4, [@uac_iso={0x7, 0x25, 0x1, 0x82, 0x0, 0xd80}]}}, {{0x9, 0x5, 0x3, 0x0, 0x3ff, 0x7, 0x9, 0xe1, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x7, 0x999}, @generic={0xa8, 0x30, "c8aa4fab9030c7eb32633b470610a4c3a173e2b7986896ecf1d0083926fd18d4b850c83fd7638316168b29a179c0e8c26185fd6b0bfc7ca7ce82b9203d1464fc5b19cc7acfa9af96236ca943142d630f2ce93d7f521df0f541d70d7f45f854790c325a78b91be5b2effc4b4ad9a31a4258f754235de2d7a2e47b4c988015e57a8407fa6081c5bf70260a5b97bdc3d72a7a4494c145a24feb0eb810848538214dd0280df3b587"}]}}, {{0x9, 0x5, 0x4, 0x10, 0x20, 0x1f, 0xc9}}, {{0x9, 0x5, 0x7, 0x0, 0x40, 0x0, 0x10, 0x7}}, {{0x9, 0x5, 0x0, 0x2, 0x10, 0x0, 0x9, 0xfc}}, {{0x9, 0x5, 0x4, 0x8, 0x200, 0x2, 0x4, 0x80}}, {{0x9, 0x5, 0x4, 0x3, 0x3ff, 0x0, 0x6}}, {{0x9, 0x5, 0xb, 0x3, 0x20, 0x0, 0x1, 0x1f}}, {{0x9, 0x5, 0x80, 0x2, 0x10, 0x9, 0x3, 0xfc, [@generic={0x2c, 0xf, "7c6d4f5a993585a14c423e14d97143d2f1053bb708219a67edc9f7d3847d68792e348918045292a1fede"}]}}, {{0x9, 0x5, 0x0, 0xc, 0x200, 0x0, 0x3, 0x81, [@generic={0xf6, 0x11, "93876dbe3494fd7041723632eb3607ac15dee6c3cc125fa2bb7af4259446d43f11f78cd3beeb971435bdf8dcde7efe4ddded49b6effa4f104ac073327f89407b91cf8f283dcac377a85ba61a00714a86f1b2fd3333ed8214ef5e31e186cecba8473ed8886449c3cd37ca2fc1658bbea3ae8571f8bf9ef4ee7294d326a933b7d044527e44e8c220f5f100e9f78f4fcb4d769c38a68c4040718e2a005a6116d014801e3c8bd99f7d1fd38ed09f0d2b278bdebb51418a5a0dfead9a83904a813f6ee36e85331ee60f435c960b9eb74e3b90813fc68b11424f1b047cb4346798a0831aa1d5237149b67b147e7bde7e3083d9c8c329c6"}]}}]}}]}}]}}, &(0x7f0000003c80)={0xa, &(0x7f0000003b00)={0xa, 0x6, 0x178, 0x49, 0x3, 0x2, 0x40, 0x59}, 0xbf, &(0x7f0000003b40)={0x5, 0xf, 0xbf, 0x2, [@generic={0xa6, 0x10, 0x1, "b09d584424b86105e605d09405f3d7866a0a1d506fcf058216efa2dda0a116cb287ab158abd8b3d49b312568a127039f20861ffcea86fb962aa6d21c3a270f173965c16fb27ab4473acdb63d981167ebd8c44468a2e14ed35d2be32edee05d279b961b6649209c856a4fdc1b26fd701caecd3b504ab358db95f05960dd536b9b94d05ca44b37d8ff271df8e149e5fa2e12bfc4d4d13ca3703e1be2662501ddab7138b6"}, @ss_container_id={0x14, 0x10, 0x4, 0x5, "26aa1b63f8ef727a0b6eb0710f3239d4"}]}, 0x2, [{0x4, &(0x7f0000003c00)=@lang_id={0x4, 0x3, 0x801}}, {0x3d, &(0x7f0000003c40)=@string={0x3d, 0x3, "d0d40e9d72214d5902145dd7e3157781d0b37a29866a5bb523e84dbd7724c7175a658a76c25f17687d6908f50ebdca7e52b2432867f2792f966a1d"}}]}) syz_usb_connect(0x3, 0x507, &(0x7f0000003cc0)={{0x12, 0x1, 0x110, 0x0, 0x0, 0x0, 0x20, 0x0, 0x0, 0x0, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x4f5, 0x3, 0x7, 0x84, 0x10, 0x2, [{{0x9, 0x4, 0x1f, 0x4, 0xd, 0x0, 0x0, 0x0, 0x8, [], [{{0x9, 0x5, 0x4, 0x4, 0x20, 0x7f, 0xa9, 0x4, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x0, 0x400}, @uac_iso={0x7, 0x25, 0x1, 0x0, 0x0, 0x1}]}}, {{0x9, 0x5, 0xb, 0x0, 0x40, 0x2, 0x3f, 0x8, [@uac_iso={0x7, 0x25, 0x1, 0x83, 0x7f, 0x81}, @uac_iso={0x7, 0x25, 0x1, 0x1, 0x2a, 0x5}]}}, {{0x9, 0x5, 0x5, 0x10, 0x40, 0x94, 0x1f, 0x81, [@generic={0x1f, 0xf, "b9dc6782efa3a7454cb4cc72a9762fa1aa9be2d0a1db6a28a2c467f060"}, @uac_iso={0x7, 0x25, 0x1, 0x1, 0xfb, 0x81}]}}, {{0x9, 0x5, 0x5, 0xd, 0x9dbf20b744440092, 0xb2, 0x40, 0x2, [@generic={0x70, 0x4, "bf182d637d6fb8b1a8bb55c5c03bd96701310cb790c61773c4d2624ea5b8d0f470dea64361d03b2f8e39f84d183ccbaae568cc9a26ca3dd577cb41c8ece444306b3a009a11172fa17a172d7b9391eff30bc144f70ab2b1a095f8a65de43d6669daccfd6dd5d87161c3f52df83449"}, @generic={0x6, 0x24, "59c568c3"}]}}, {{0x9, 0x5, 0xc, 0x14, 0x40, 0x6, 0xce, 0x8}}, {{0x9, 0x5, 0x1, 0x10, 0x200, 0x3, 0xa4, 0x3f}}, {{0x9, 0x5, 0x1, 0x0, 0x8, 0xff, 0x3, 0x1, [@generic={0xb8, 0x3, "153333eed0821005640a4401e7db23c85e0df831069981da135c69724641436a097574970e366a3a16e99f9aa7d4805c5585b454e05d84657cf76598a289ed45c53675b0faf8037bcd9fdbf2e71a54901bbd8eef7e4c44518cfa1c3695436cb428c3fb3fecb5d2d3ef56212d56b7c89c860ceefeb2ed3cd31d0d579ec19d2b80e1c854ea9e36734c521b61bc9abf0e528e48259732377f5e948d20e8ff528b786d37827123dd47eeece66e1f487b166556edc9c236cc"}]}}, {{0x9, 0x5, 0x8d, 0x4, 0x200, 0x4, 0x90, 0x4}}, {{0x9, 0x5, 0x2, 0x0, 0x8, 0x2, 0x8, 0x6}}, {{0x9, 0x5, 0x88, 0x10, 0x10, 0x2, 0x0, 0x4, [@generic={0x1c, 0xe, "70e414a4584439eaa192dcda518ffabbc339c3ca2b3f7b61a6ed"}]}}, {{0x9, 0x5, 0x3, 0x8, 0x400, 0x80, 0x7f, 0xfc, [@generic={0x1b, 0x22, "af3bed6dff7152d1a2f085e30373d6b9b4325746251c62305c"}]}}, {{0x9, 0x5, 0xa, 0x10, 0x10, 0x20, 0x40}}, {{0x9, 0x5, 0x5, 0x10, 0x400, 0x0, 0x4}}]}}, {{0x9, 0x4, 0x4, 0x7, 0x1, 0x0, 0x0, 0x0, 0x5, [], [{{0x9, 0x5, 0xe, 0x3, 0x3ff, 0x28, 0x1, 0x81}}]}}, {{0x9, 0x4, 0x46, 0xc4, 0xc, 0x0, 0x0, 0x0, 0x28, [@cdc_ncm={{0xa, 0x24, 0x6, 0x0, 0x1, "484f7fbf9d"}, {0x5, 0x24, 0x0, 0x5}, {0xd, 0x24, 0xf, 0x1, 0x8001, 0xa401, 0x7, 0x3}, {0x6, 0x24, 0x1a, 0x4, 0x18}, [@mbim={0xc, 0x24, 0x1b, 0x100, 0x9, 0x8, 0x8, 0x8, 0x3f}, @acm={0x4, 0x24, 0x2, 0x2}]}, @cdc_ncm={{0xb, 0x24, 0x6, 0x0, 0x1, "4a4db79519af"}, {0x5, 0x24, 0x0, 0x1f}, {0xd, 0x24, 0xf, 0x1, 0x8, 0x7, 0x3f, 0x1f}, {0x6, 0x24, 0x1a, 0xff00, 0x8}, [@dmm={0x7, 0x24, 0x14, 0x7f, 0x611}, @obex={0x5, 0x24, 0x15, 0x7fff}, @dmm={0x7, 0x24, 0x14, 0x9, 0x1f}, @obex={0x5, 0x24, 0x15, 0x1}, @mdlm={0x15, 0x24, 0x12, 0x80}]}], [{{0x9, 0x5, 0x2, 0x0, 0x3ff, 0x1, 0x80, 0x4, [@generic={0xb3, 0x2, "84aefc7576def6e85044a327774d1c395aed7032e1ebc2892b3723ce8fe7033c84477077ca302db8e6ac0597a36c698b718474d0e2888b0a7bdfb8e2b72c1a4a5a4100e831804b495f408be58851c7f69ad958d2a1da1bef3dc1a0506de6e8a96310d99e1d25dd23f8570219a8c1140762cdf29598fc830be585a1dad4dc6542f0617f787ada6143431b1221af6585e7fad75cdacc2fadac78963f8ebae954710f3264a7c7302ce5d8efeb058910bd97a4"}]}}, {{0x9, 0x5, 0x1, 0x3b, 0x10, 0x9, 0x7, 0x8}}, {{0x9, 0x5, 0xa, 0x1, 0x200, 0x5, 0xb2, 0x80}}, {{0x9, 0x5, 0x0, 0x0, 0x8, 0x3, 0x9, 0x1}}, {{0x9, 0x5, 0x4, 0x10, 0x8, 0x40, 0xc0, 0x20, [@uac_iso={0x7, 0x25, 0x1, 0x2, 0x8, 0x6}, @uac_iso={0x7, 0x25, 0x1, 0x80, 0x44, 0x82}]}}, {{0x9, 0x5, 0x5, 0x8, 0x20, 0x0, 0x2c, 0x6}}, {{0x9, 0x5, 0x0, 0x0, 0x10, 0x1, 0x3e, 0x3f, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x1, 0x798}, @uac_iso={0x7, 0x25, 0x1, 0x81, 0x8, 0x1100}]}}, {{0x9, 0x5, 0x8, 0x0, 0x3ff, 0x6, 0x1, 0x2, [@generic={0x98, 0x36, "f989a2bed7259a34c8911b09a1ed798a2564d6985ab3c5f371633225d0ad07a1c3eb1bb72b44d60a5dffc5e63a249b6f9ff004c0e983f68856a41e1a94f84657fc51bb0470d2339df5d2627deeefcd70e0428e09f7637cdad236cbae873d91cd6a843df7514ab77697247db12ba14dc086589363fe1d1922cad7b1aa48424130bba3448db79a15c84075e1ff47b372851d73ded4b95c"}]}}, {{0x9, 0x5, 0x5, 0x4, 0x8, 0x7, 0xc6, 0x5}}, {{0x9, 0x5, 0x80, 0x1, 0x8, 0x7f, 0x81, 0x3, [@uac_iso={0x7, 0x25, 0x1, 0x80, 0x8, 0x100}, @uac_iso={0x7, 0x25, 0x1, 0x3, 0x1f}]}}, {{0x9, 0x5, 0x4, 0x1, 0x20, 0x2, 0x7, 0x80}}, {{0x9, 0x5, 0x4, 0x10, 0x20, 0x1, 0x4, 0x1, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x7, 0x65}, @generic={0x42, 0xd, "18dd760b8eb7c61027d5a2938d42ebb2525caf8b8cf8a709c2dfee3186b911235e7a0d97f1e7e267a5745f3e68e0313c064e1216b9ac8a8410423059d0cf0c74"}]}}]}}]}}]}}, &(0x7f0000004300)={0xa, &(0x7f0000004200)={0xa, 0x6, 0x210, 0x32, 0x6, 0x3, 0x8, 0x5}, 0x1a, &(0x7f0000004240)={0x5, 0xf, 0x1a, 0x3, [@ext_cap={0x7, 0x10, 0x2, 0x2, 0x7, 0x7, 0x4}, @ptm_cap={0x3}, @wireless={0xb, 0x10, 0x1, 0x8, 0x8, 0xaa, 0x9, 0x7, 0x1}]}, 0x2, [{0x4, &(0x7f0000004280)=@lang_id={0x4, 0x3, 0x2801}}, {0x39, &(0x7f00000042c0)=@string={0x39, 0x3, "5a6c04bb7fa955768b56f966afbb43bbf6d8b83d7bafa1ff8accd5c6a1f3f602af8975e5ac230cfb46531e7486575eb09bb195f7c3ac0f"}}]}) syz_usb_connect$cdc_ecm(0x2, 0x6c, &(0x7f0000004340)={{0x12, 0x1, 0x201, 0x2, 0x0, 0x0, 0x8, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x5a, 0x1, 0x1, 0xe0, 0x70, 0x48, [{{0x9, 0x4, 0x0, 0x7, 0x3, 0x2, 0x6, 0x0, 0x3, {{0xa, 0x24, 0x6, 0x0, 0x0, "2ae7c02f55"}, {0x5, 0x24, 0x0, 0xb1}, {0xd, 0x24, 0xf, 0x1, 0x8, 0x7f, 0x3, 0x4}, [@call_mgmt={0x5, 0x24, 0x1, 0x0, 0x6}, @dmm={0x7, 0x24, 0x14, 0x7ff, 0x7}, @obex={0x5, 0x24, 0x15, 0x4e8}]}, {[{{0x9, 0x5, 0x81, 0x3, 0x3ff, 0x9, 0xe1, 0x42}}], {{0x9, 0x5, 0x82, 0x2, 0x8, 0x80, 0x3, 0x6}}, {{0x9, 0x5, 0x3, 0x2, 0x400, 0x2, 0x1f}}}}}]}}]}}, &(0x7f0000004740)={0xa, &(0x7f00000043c0)={0xa, 0x6, 0x110, 0x8, 0x6, 0x1, 0xff, 0x9}, 0x11c, &(0x7f0000004400)={0x5, 0xf, 0x11c, 0x5, [@ssp_cap={0x20, 0x10, 0xa, 0x1, 0x5, 0x2, 0xf00, 0x7fff, [0x0, 0xa598259e2b7a3d85, 0xff3ff0, 0xc0cf, 0xc0]}, @ss_container_id={0x14, 0x10, 0x4, 0x3, "4ca64995ff3c61a74d0f2d29c4d54fa2"}, @ptm_cap={0x3}, @generic={0xcc, 0x10, 0x3, "6f6d2dd4c2e6b06e08a0f04fa01a186c82397c05028b450a72d9210a1b7f81c30dee16fc4b024dadddbc4eaec5763144babc0a3f40ea43aa76feb532783fe29caa52888e34d56314c3de45a11dfa877c7c2dfe8ce3009f4e21c0a8dc284c3fae20cee3c1c33973cedf952b4b77c5bf4ddf6c0f966c9958a1877ae6634dcc3675571f2fcbb625ffb3628ef0fe625cd8c3b2c10159fe94e2c9d95f398122516ddad5c028ff3ac391f5a10fbab80effa7bb395ea14ff51167d78d8e65435c7b1262b16556c6aa5c07cca7"}, @ss_container_id={0x14, 0x10, 0x4, 0x9, "dd3dc7d04714caae8da16715618c4b69"}]}, 0x2, [{0xef, &(0x7f0000004540)=@string={0xef, 0x3, "ba7a3d0b5b41a8c78a89dae4568f117c56ab57e547943425535c791320817d238bb767b266e671b45f181b189025bb1167b9b8847ade736622471d9d5033c35e7f5b4f47499c1bec301830d9137e18c99f06ff3ab234df535b2c7aec6097c19d928fef2c13c5a6fb75c1235dbc95c4ec74fc089378d47e8259e8e28be0c1d43775a943a4b4393af51bee3581e64e32ff52a682ccebb6ab57a6b58b1fb7ee64bdfaa72f652d9ee0b3a90fb3cd4b1da78a64ea6cbb04a376339162003c21c710af535f9fcdd9374d62f98c3cb39166947cde5150c2cfd3823c5886f4adea3b5c9f7db44817b58c746dd5c1e2a1af"}}, {0xc5, &(0x7f0000004640)=@string={0xc5, 0x3, "4d56994fe874b17f94eb810fb5044da6bcb69ded825d10a417169bd5b4b33a148a5eeac710fa903f16c002c8f823f0ca2bf5359090cb347141f038a6c35680bd2193a453bcaa1b1189f3f9f53426e4124814a98a7a3bd4e997819846f94799da2d1c0a640398cccd1ba175097d6570e99cff7714db2c6389553fc1af3ac097e8abfd6c4f20506ae3eff81fa24633f515ed573492edea049364e78e6c07dfaa4ecb4dbf33bbfe03090432cce89cdfdd0ff4319261e7f01163c0cb60a1310f34f85d62de"}}]}) syz_usb_connect$cdc_ecm(0x4, 0x5a, &(0x7f0000004780)={{0x12, 0x1, 0x310, 0x2, 0x0, 0x0, 0x20, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x48, 0x1, 0x1, 0x0, 0xd0, 0x45, [{{0x9, 0x4, 0x0, 0x40, 0x2, 0x2, 0x6, 0x0, 0x7, {{0x5}, {0x5, 0x24, 0x0, 0x400}, {0xd, 0x24, 0xf, 0x1, 0x6, 0x2, 0xebca, 0x2}, [@ncm={0x6, 0x24, 0x1a, 0x400}, @dmm={0x7, 0x24, 0x14, 0xfc01}]}, {[], {{0x9, 0x5, 0x82, 0x2, 0x400, 0x3, 0x8, 0xff}}, {{0x9, 0x5, 0x3, 0x2, 0x8, 0x8, 0x4}}}}}]}}]}}, &(0x7f00000049c0)={0xa, &(0x7f0000004800)={0xa, 0x6, 0x250, 0x7, 0x8, 0x1, 0x20, 0x96}, 0x105, &(0x7f0000004840)={0x5, 0xf, 0x105, 0x4, [@generic={0xdd, 0x10, 0x1, "94ac392f88dc9d76c03ed3c678ff9a12e3de047f6886fc7f1edab4aeecad996736a7f810322130b41a51ca863af99614eadb20eb1633e218b0e5b13ce6dc49a66571b5421c8c4f2163213fc49f232570278468f73e815854d7c50df6b855d7a7e2578083db924a404df459db0814b8bcb6301b92eab948554daaca2d08de406852e5ec3884a8e34d797e428ea4565dc74b45356cf979c13cccfe5fe94034df40ef2f28b80d27afa2b5f9c445de7e7bb975255254e96f855e7de5da45faa60c9e15ef26c751289dcba112b3ad84f996e5fbc71f78b35f3b778d3e"}, @generic={0x8, 0x10, 0xa, "c671bccde3"}, @ssp_cap={0x14, 0x10, 0xa, 0x7, 0x2, 0x6, 0x0, 0xf1e, [0xff0f, 0xc000]}, @ext_cap={0x7, 0x10, 0x2, 0x4, 0xa, 0x3, 0x6}]}, 0x1, [{0x4, &(0x7f0000004980)=@lang_id={0x4, 0x3, 0x813}}]}) syz_usb_connect(0x6, 0x2ac, &(0x7f0000004a00)={{0x12, 0x1, 0x310, 0x0, 0x0, 0x0, 0xff, 0x0, 0x0, 0x0, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x29a, 0x1, 0x40, 0x6, 0x40, 0x7, [{{0x9, 0x4, 0x3, 0x0, 0xe, 0x0, 0x0, 0x0, 0x0, [@uac_control={{0xa, 0x24, 0x1, 0x1f, 0x5}, [@extension_unit={0xd, 0x24, 0x8, 0x4, 0x9, 0x77, "eb153ee989a7"}, @mixer_unit={0xa, 0x24, 0x4, 0x6, 0x4, "8701b83c34"}, @feature_unit={0xf, 0x24, 0x6, 0x3, 0x1, 0x4, [0x1, 0x9, 0x9, 0x8], 0x3}, @mixer_unit={0xa, 0x24, 0x4, 0x2, 0x7, "4f3510daa5"}]}], [{{0x9, 0x5, 0x3, 0x10, 0x10, 0x6, 0x9, 0x1}}, {{0x9, 0x5, 0xa, 0xc, 0x3ff, 0x8, 0x5, 0x4, [@uac_iso={0x7, 0x25, 0x1, 0x3, 0x2, 0xe1d}, @generic={0xb, 0x24, "cf92559026ddc218df"}]}}, {{0x9, 0x5, 0x2, 0xa, 0x200, 0x8, 0x7, 0x7f, [@generic={0x2e, 0x21, "6ae88c50a4645ad6485d0470c5c73d59d6ad9952abcde5afa50de3b332e074a647f4942b78db0ffdc0e84b53"}, @uac_iso={0x7, 0x25, 0x1, 0x0, 0x81, 0x5}]}}, {{0x9, 0x5, 0xb, 0x2, 0x3cb, 0x0, 0x6, 0x1, [@uac_iso={0x7, 0x25, 0x1, 0x80, 0x4, 0x101}]}}, {{0x9, 0x5, 0xe, 0x0, 0x3ff, 0x5e, 0xff, 0x9, [@uac_iso={0x7, 0x25, 0x1, 0x2, 0x1, 0xa93e}, @uac_iso={0x7, 0x25, 0x1, 0x0, 0x9, 0x40}]}}, {{0x9, 0x5, 0xf, 0x0, 0x10, 0x5a, 0x1, 0x1f}}, {{0x9, 0x5, 0x2, 0x4, 0x8, 0x20, 0x81, 0x0, [@generic={0x73, 0x24, "1fdd318a1ea098a8f9da95e56211f0c2400510e5f7e8f46986c3a4fae5582fd3cc22ec54448e1971e700949700da3d4911efa8c4dc3c7713606139c6fd167628d729cb45124cf260475eb5e299496456fc387448051d77bb3e3328956f9e2f9038ddb1806d5018af0f52f45245b53de39b"}]}}, {{0x9, 0x5, 0xa, 0x0, 0x200, 0xbd, 0x4, 0xc1}}, {{0x9, 0x5, 0xf, 0x10, 0x8, 0xbe, 0x1}}, {{0x9, 0x5, 0x9, 0x0, 0x20, 0x6, 0x80, 0xdb}}, {{0x9, 0x5, 0x8, 0x10, 0x400, 0x1, 0x81, 0xff}}, {{0x9, 0x5, 0xc, 0x10, 0x400, 0x8, 0x9f, 0x64}}, {{0x9, 0x5, 0x2, 0x228fe96d9fdfcf2d, 0x3ff, 0x7, 0x0, 0x3}}, {{0x9, 0x5, 0xc, 0x0, 0x7d0a74fdd27a9217, 0xaf, 0x3, 0x0, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x7f, 0x2}, @generic={0xfb, 0x22, "f8f0d2c874dd298b887f661cf62592c385d4c6ecfd3177c6d431a71de21e57dda580146d99031775ddcba12148f298122a4af3a967f035195246efa2f729ca00b78106465322ab78aa6dbe582b5f5e10563f28466080ca27c28581e902614e921aaf55f8cdfc3424b5302b59e86adfe18a2c941081a8e9345b39f687c184edc9b88f331629523c68d76b1323bd5efc199271bb590fb8bc903f8a87405abd7b5b9bc4945baab06fa54c27f899c05fdca4b686a6eb5372ad49635ea93c9e23ea908481772fad5a21d214df14564d6c62c06e811b4cfae715c77c77379282c97df5ea3ced687a18be7463b602ca869ca969b364ff2b8ca0f66142"}]}}]}}]}}]}}, &(0x7f0000005100)={0xa, &(0x7f0000004cc0)={0xa, 0x6, 0x150, 0x9c, 0x20, 0x40, 0x20, 0x3f}, 0x44, &(0x7f0000004d00)={0x5, 0xf, 0x44, 0x3, [@wireless={0xb, 0x10, 0x1, 0x2, 0x8, 0x4, 0xc8, 0xa525, 0x40}, @ss_container_id={0x14, 0x10, 0x4, 0x81, "ff5df917bdd6073bb152629bf46529fb"}, @ssp_cap={0x20, 0x10, 0xa, 0xea, 0x5, 0x99, 0xf000, 0x6, [0xff000f, 0x0, 0x14000, 0xf, 0xc030]}]}, 0x9, [{0x4, &(0x7f0000004d80)=@lang_id={0x4, 0x3, 0x2009}}, {0x4, &(0x7f0000004dc0)=@lang_id={0x4, 0x3, 0x412}}, {0x4, &(0x7f0000004e00)=@lang_id={0x4, 0x3, 0x180a}}, {0x32, &(0x7f0000004e40)=@string={0x32, 0x3, "8386910f3f551ccb5ffbac18b2fcf397c37c0a781b52b6c9b7d1f6973b6806848e56b25af4082b029870aa84956ff899"}}, {0x88, &(0x7f0000004e80)=@string={0x88, 0x3, "02dd7d5fe92312751fb1bb7ba02118abe7781f040382e666db7f74ac43a1560a1c117deb1330327b96bcbedd41e791acc8f287b7f68546003417a4c528fdb05bee58eb5245a474fd5147a3e2b105810633c93c1ee654e368ef9413001887dc5f34b4fc9afe37262887f197a1c2b05267008ad54c699af276a262548bbdc7a4f6733a6c16c73f"}}, {0xa5, &(0x7f0000004f40)=@string={0xa5, 0x3, "a44e1e07e7077dd63c01562dd4307591da923cd27387bfbabbcd4bdc6bb4710dec9a1fcf30687da72ddef65bf9fdfbdd084b264ba9ebfeeec35753558aec19c19187985d8141ddca26eff8df4d54a73acfec5dfd2262137ac8798e1ed30c0cab5453bc94005cad7344136cbb2b9712b6f333a3da538ec88adf3d26312b80c26b47efeb9c3665a10167f06da58aa4cc0bdc4db4012d0135e482c5710301b3d5678a74bf"}}, {0x4, &(0x7f0000005000)=@lang_id={0x4, 0x3, 0xc0a}}, {0x4, &(0x7f0000005040)=@lang_id={0x4, 0x3, 0x430}}, {0x64, &(0x7f0000005080)=@string={0x64, 0x3, "94764f8e3121aaea462cc1b89b276aec1f9594931eb8e059abf6a23bf5d5e4d9429e79b5d58290322b6a8bd61317f854e9dfc29222c159bcd52cbdffb7c24d61c08631cd55dd0d3d880137d3806f78b8bc89f5cabe64bc028b2c5174374eb1766b4e"}}]}) syz_usb_connect$cdc_ecm(0x0, 0x58, &(0x7f00000051c0)={{0x12, 0x1, 0x188, 0x2, 0x0, 0x0, 0x20, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x46, 0x1, 0x1, 0xfd, 0x10, 0x4, [{{0x9, 0x4, 0x0, 0x5, 0x3, 0x2, 0x6, 0x0, 0xae, {{0x7, 0x24, 0x6, 0x0, 0x0, "7f09"}, {0x5, 0x24, 0x0, 0x8000}, {0xd, 0x24, 0xf, 0x1, 0xff, 0xffff, 0x80, 0xfc}}, {[{{0x9, 0x5, 0x81, 0x3, 0x3ff, 0x20, 0x3, 0x20}}], {{0x9, 0x5, 0x82, 0x2, 0x400, 0xa6, 0x4, 0xff}}, {{0x9, 0x5, 0x3, 0x2, 0x200, 0x4, 0x6, 0x7f}}}}}]}}]}}, &(0x7f0000005500)={0xa, &(0x7f0000005240)={0xa, 0x6, 0x310, 0xd2, 0x7, 0xa7, 0x8}, 0x137, &(0x7f0000005280)={0x5, 0xf, 0x137, 0x6, [@ext_cap={0x7, 0x10, 0x2, 0x6, 0x4, 0xb, 0x1ff}, @ss_container_id={0x14, 0x10, 0x4, 0x68, "d2d033253cd0b7aa348b839a8713ff6d"}, @generic={0x24, 0x10, 0x1, "444529faa334586fc168802e995fc20302bdaa743891b6cd889efd018e8696eb79"}, @ssp_cap={0x10, 0x10, 0xa, 0x0, 0x1, 0x1000, 0xf00f, 0x0, [0x3f07]}, @generic={0xdc, 0x10, 0x3, "286e34a48dee68d7464cb26ee4ea74844d5a5c21edc53596a75dbdefe406ba9c40c7fce69647c3b739965600c9ac063cef6c7f5669c4960e6e4eb0300ca09feca029ec3717b7aa6919aca3d1e8dd5bd3ce09ff286e495825250dd1c01ca90d562b76eaf88ffe79e3eae755fa45698f6a91181c91f69f4043295a6aaae21269acd9cdfb9f0fd6578ea3c113b7646768ece63de87078413f0a6fc5693b33594024666a3f693aa89300f0bc84aff60ec5080916125524e960062ab93e69f9b83120126dfdca4ad668eb535f09842dc020427cfa204835cf128782"}, @ext_cap={0x7, 0x10, 0x2, 0x9, 0x2, 0xc, 0x3}]}, 0x3, [{0x4, &(0x7f00000053c0)=@lang_id={0x4, 0x3, 0x410}}, {0x56, &(0x7f0000005400)=@string={0x56, 0x3, "8f0d5726752be51b02988b07177171fcdf28b15624c5997f8aa1c8a6d0a35b654b33940c1c3daa2c9a75b096751c9813848b783ade7afdfc153a34fbcb6b7d125e8ca93defdc39327210079b228a95b03c21046a"}}, {0x6b, &(0x7f0000005480)=@string={0x6b, 0x3, "ad9d605828d716e9315178d1d02f6795574031ed9609c73195fbfdc7856f665f8b7f6f204d5a5ff57bf7fd99b4383f0466824806dd963a1f039298987fe3a7e960401bb0af1fa8019a991ad8f9593c7b2e25d5cc4635e16213eaddf799d7cd14a2a1b76e21c6ea4931"}}]}) syz_usb_connect(0x1, 0x15c, &(0x7f0000005540)={{0x12, 0x1, 0x200, 0x0, 0x0, 0x0, 0x40, 0x0, 0x0, 0x0, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x14a, 0x1, 0x3f, 0xcb, 0x10, 0x1, [{{0x9, 0x4, 0xb4, 0x6, 0x3, 0x0, 0x0, 0x0, 0x9, [@cdc_ecm={{0x8, 0x24, 0x6, 0x0, 0x0, "372ee7"}, {0x5, 0x24, 0x0, 0x84b}, {0xd, 0x24, 0xf, 0x1, 0x0, 0x4, 0x8000, 0x8}, [@mbim={0xc, 0x24, 0x1b, 0xeb, 0x30, 0x6, 0x2, 0x5, 0x7}, @mbim_extended={0x8, 0x24, 0x1c, 0x3, 0x3, 0x8}, @mbim_extended={0x8, 0x24, 0x1c, 0x5, 0x7, 0x1}]}], [{{0x9, 0x5, 0x5, 0x8, 0x3ff, 0x9f, 0x1, 0x8, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x4c, 0x1}, @uac_iso={0x7, 0x25, 0x1, 0x86, 0xf9, 0xfff}]}}, {{0x9, 0x5, 0x1, 0x0, 0x8, 0x7, 0x2, 0x4, [@uac_iso={0x7, 0x25, 0x1, 0x2, 0x2, 0x20}, @generic={0xc4, 0xc, "40325cf1e2a1f2d87971dfa5e7eb44a465a79730b00cb799a6c6aa40948252b8eca68063b7eb348f39b4854355ba49a38620dc91575fee5552041b90ec0b9b20632a38aa1c6aabcab0e3a34b884079c0dfd7502e9ad817a6944feead2bd89f1cfd913f835face3ffbe59d0d8a24c07cb175e61af89647615e7197b63efb1b0eacfeafb523b5fef849660571b5eed72b1646843f0135ff0645a3be3dcf4a9d2577460b95e60ff4af2268a5beba01ce55c659b6e42008ea2de8e0098596da287c395bf"}]}}, {{0x9, 0x5, 0xf, 0xc, 0x400, 0x3f, 0x7f, 0xed, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0xfd}, @uac_iso={0x7, 0x25, 0x1, 0x3, 0x4, 0x4}]}}]}}]}}]}}, &(0x7f0000005b80)={0xa, &(0x7f00000056c0)={0xa, 0x6, 0x201, 0x65, 0x8, 0x3, 0xff, 0x62}, 0x31, &(0x7f0000005700)={0x5, 0xf, 0x31, 0x4, [@wireless={0xb, 0x10, 0x1, 0xc, 0x2, 0x0, 0xff, 0x1, 0x5}, @ss_container_id={0x14, 0x10, 0x4, 0x80, "306c707ccdfd536cb4cc8be487c97172"}, @ptm_cap={0x3}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x1, 0x40, 0xdd, 0xa5f}]}, 0x7, [{0x4, &(0x7f0000005740)=@lang_id={0x4, 0x3, 0xf8ff}}, {0xb6, &(0x7f0000005780)=@string={0xb6, 0x3, "484c32c61e03f69c466eab3e8a830a1244d35ff3a6bcb4fd3c34c7924d86d8e14ff5ee433e995daae330598cdb3ea57ff2db82d896d538558d8cd8fe4b3cc06724edbb37ab93467af3f712e41032181183bada5b220e0edda87348c4a94943276838bb17cc1e6cf4233ba5e776e56cc57a4e6a3bc5ea58dbb564865a6d326e3caf4b468aa17164f2bd7f3f6814ad8d6dac4f8cf4ec3d84816e6b5fa1a89b7b9be3f080ebe97da1fa3e4776ec8323051a8aa2a450"}}, {0xc3, &(0x7f0000005840)=@string={0xc3, 0x3, "c09ecc25f56200b17ac59a77e9b8d31021b6cbd590310465fcaa315341a827fe701205635bc9d2a8fcc289116a70a34ae05541a6ba1097895a80682f11f9f978ff4e8bf42051509793e57d4725863df4b97910bb7888871d480b8b96f6a642a411860aa0390c6f885abb796e09ac66ae2603b28da0c137d0d48c4f9b59f74a1c9494c1d0cb51056f5735bebc72c9e228e50138b8aa9dbfb116134592973362604f5cae535232a6b36149dbf47c7bb1656c0908ee318abf4e67df80003e2fb2e368"}}, {0x56, &(0x7f0000005940)=@string={0x56, 0x3, "588a8066d87386104d8c2ec372232586bf033c485ab8a08dfa620ae7208fe3aae21f86015eb1baf433396f23c0a9dbf2a39ad97c230dec2261aa11928fecf4b78873ec9ce5ac48d8b41c857ae26ce31e8fc9483c"}}, {0xbe, &(0x7f00000059c0)=@string={0xbe, 0x3, "15f94fc644ad127cdd451ccba13687567fd361867ed51575e45344af5539cd05d9050787651f52dac2689bdc7b8d8115329d8c123de81a326f6b608ea097e2ee07190da689d4a9f5f79a1609df03ab72ce88984f7c12f4b3e00e4f94fc06b86c58c69413a6ba7d5108565f52c0535836b9cf388678494b3d569db0ad1820c6665ea661f66192e4e60ce4dc314989347ad10c8ee6315d6477791355ef97a87ab8e52dfe578ec612dab53dab1e1a5ee913e23df51749077f30340d59fc"}}, {0x4, &(0x7f0000005a80)=@lang_id={0x4, 0x3, 0x2001}}, {0xb6, &(0x7f0000005ac0)=@string={0xb6, 0x3, "aaacbf74f4edfe1b80f174eef752a7f42e9df9e1246cbf989da68133cd0aed025adc55f048427ae1459c50f8097458b931d7de2761d005248d18a2e0e714db20cdda10d2de906083afce55626373d21bbecb693a090926b8f6c1de750a22fa71f966643f2d2df7340b4d50f28baa6a3adc7d67b9bbde75d735d6d169b108a0e9ccad3405d777596af310bde5e336bad80ff1a1cb6a4a872aa9e2e08efcab45e59cb0a39860fe6da9034dae073aceb65207ac88be"}}]}) syz_usb_connect$cdc_ecm(0x6, 0x5e, &(0x7f0000005c00)={{0x12, 0x1, 0x310, 0x2, 0x0, 0x0, 0x40, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x4c, 0x1, 0x1, 0xff, 0x80, 0x1, [{{0x9, 0x4, 0x0, 0x40, 0x2, 0x2, 0x6, 0x0, 0x20, {{0x7, 0x24, 0x6, 0x0, 0x0, "8cf7"}, {0x5, 0x24, 0x0, 0x1}, {0xd, 0x24, 0xf, 0x1, 0x200, 0xd5d5, 0x6, 0xff}, [@ncm={0x6, 0x24, 0x1a, 0x60, 0x11}]}, {[{{0x9, 0x5, 0x81, 0x3, 0x10, 0x2, 0x6a, 0x8}}], {{0x9, 0x5, 0x82, 0x2, 0x40, 0x6, 0x0, 0x1}}, {{0x9, 0x5, 0x3, 0x2, 0x8, 0x36, 0x65, 0x6}}}}}]}}]}}, &(0x7f0000005f00)={0xa, &(0x7f0000005c80)={0xa, 0x6, 0x200, 0x4, 0x3, 0x1, 0x8, 0x81}, 0x5, &(0x7f0000005cc0)={0x5, 0xf, 0x5}, 0x2, [{0x102, &(0x7f0000005d00)=@string={0x102, 0x3, "b62af3ba50b3d595262fb214e95301696aa880ed5f842a904837b6550d6d6ca1d2dea97d44670dd1c0bac9f0f552ed9acc72820854356d5a2091156f47653a1ce6cf806ec21b9815c2fc084d31cabc8eb58d65d42d7e40f119de119b45214e76d4629a6124a7924114f2adfb21c989cbb1b067ee73fd854775a006390e944d9ad04763d5e0fe760f2ed8638c3717e43635ddedabe4d2fe789d32b1229df14e90c09b32885f3454a0affdc7bbe5d66c7e1aa0562a080717e8126e618e6ddaf04e70850f02a4efa46188e3e5510c3a6347c37e2d14e8ebc4e99a4547e37e17588a9a9f4b5aa8adc8993b4c513426f134ab5c9b007299b3a90be86f7a60c22bcac7"}}, {0x91, &(0x7f0000005e40)=@string={0x91, 0x3, "e953373994cb8cef7a1d6b284442969b38c8b0b6f40ac2e7c7e461ed1976d54fc3f8fcac07031f8f16779259c8a088f24f890742ba212d2f227fe4cfd8c0d3fbdfe0d794fd0e7c280343b0f31ba551d7742338fe8ea93d53094215f9a89d22ba10b5faca28cfb267b06bd6a17d447aef2053d826b1a3509481c8f674b4fd15f6adcb3c9a7c4c8ff89df807e8a17c6b"}}]}) syz_usb_connect$cdc_ncm(0x4, 0x161, &(0x7f0000005f40)={{0x12, 0x1, 0x300, 0x2, 0x0, 0x0, 0x10, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x14f, 0x2, 0x1, 0x8, 0x0, 0x3f, {{0x9, 0x4, 0x0, 0x0, 0x1, 0x2, 0xd, 0x0, 0x0, {{0x8, 0x24, 0x6, 0x0, 0x1, "9e8e55"}, {0x5, 0x24, 0x0, 0x7}, {0xd, 0x24, 0xf, 0x1, 0x3ff, 0x7ff, 0x6, 0x1f}, {0x6, 0x24, 0x1a, 0x3, 0x18}, [@mdlm_detail={0xf0, 0x24, 0x13, 0x7, "fc921d1c59a5b0fcbab33eb839313736b3b23cf4c143cd8b6ff206e97d4608438a62febed9001fac9f45634bdaff2d2d734602bcd3d32c4a410a16b0e64bd0e87bda75ec4a2e852711349ea64e8c03b67c3dcf3daef5a0b2d95e42cb42c527ca8e07fadae7d5f605ac094a67b1a393905472acbd7996fda7e4a1fd32817ddd20d3513eb44afb89e2fcf21492f0a298a3811c9469f7bd524a6307eccdafec94f532badfd7680f3f86c822683cb15edb6964bd9f11f9c52944a2f35bc5a33ba6ebe187eea1f6fa4b3231cf6a861a98f0c5d2758061f532f23c8fdc7308ed2f2b37694e22495b4b0e85777a0018"}]}, {{0x9, 0x5, 0x81, 0x3, 0x40, 0xf7, 0x3, 0x1f}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x2, 0x2, 0xd, 0x0, 0x0, "", {{{0x9, 0x5, 0x82, 0x2, 0x3ff, 0x3f, 0x5, 0x6}}, {{0x9, 0x5, 0x3, 0x2, 0x400, 0xfa, 0x0, 0x6}}}}}}}]}}, &(0x7f0000006200)={0xa, &(0x7f00000060c0)={0xa, 0x6, 0x300, 0x7, 0x6, 0x3f, 0x8}, 0x18, &(0x7f0000006100)={0x5, 0xf, 0x18, 0x2, [@ptm_cap={0x3}, @ssp_cap={0x10, 0x10, 0xa, 0x31, 0x1, 0xcb, 0xf00, 0x1c, [0xc0f8]}]}, 0x2, [{0x4, &(0x7f0000006140)=@lang_id={0x4, 0x3, 0x43f}}, {0x56, &(0x7f0000006180)=@string={0x56, 0x3, "6d29cab8312b3b9ce9dc2b149738e2aefa7cbae7b3a90021f7e5eeb1e4ac79b748af1c803af99dbe839556a25657b8286ce671ea6c8509f61c296b2c728ec9ea355f0a29a008cc76f0557c27c9307137c4c6c67b"}}]}) 22:12:44 executing program 5: syz_usb_connect$uac1(0x4, 0xdb, &(0x7f0000000000)={{0x12, 0x1, 0x250, 0x0, 0x0, 0x0, 0xff, 0x1d6b, 0x101, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0xc9, 0x3, 0x1, 0x1, 0x10, 0x80, {{0x9, 0x4, 0x0, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, {{0xa, 0x24, 0x1, 0x800, 0x40}, [@output_terminal={0x9, 0x24, 0x3, 0x4, 0x301, 0x6, 0x2, 0x6}, @selector_unit={0x5, 0x24, 0x5, 0x4, 0x8}, @processing_unit={0xc, 0x24, 0x7, 0x3, 0x6, 0x40, "dc12ada92a"}, @selector_unit={0x7, 0x24, 0x5, 0x5, 0x8, "4aa8"}]}}, {}, {0x9, 0x4, 0x1, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_ii_discrete={0xd, 0x24, 0x2, 0x2, 0x0, 0xc7, 0x8, "e4052e74"}, @format_type_i_discrete={0xb, 0x24, 0x2, 0x1, 0x2, 0x4, 0x1f, 0x6, "4fbabc"}, @format_type_i_discrete={0xf, 0x24, 0x2, 0x1, 0x12, 0x3, 0x40, 0x9, "bb19d9cdd9921a"}, @format_type_i_continuous={0x8, 0x24, 0x2, 0x1, 0x33, 0x1, 0x5, 0xfc}, @format_type_i_continuous={0xb, 0x24, 0x2, 0x1, 0x9, 0x4, 0x3, 0x0, "8b4ec4"}]}, {{0x9, 0x5, 0x1, 0x9, 0x40, 0x4, 0x6, 0x75, {0x7, 0x25, 0x1, 0x2, 0x9, 0x400}}}}, {}, {0x9, 0x4, 0x2, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_i_discrete={0x8, 0x24, 0x2, 0x1, 0x1f, 0x2, 0x6, 0xdb}, @as_header={0x7, 0x24, 0x1, 0x40, 0x40, 0x4}]}, {{0x9, 0x5, 0x82, 0x9, 0x8, 0x20, 0x4b, 0x80, {0x7, 0x25, 0x1, 0x0, 0x7f, 0x80}}}}}}}]}}, &(0x7f0000000480)={0xa, &(0x7f0000000100)={0xa, 0x6, 0x310, 0x5, 0x4, 0x8, 0x8}, 0x101, &(0x7f0000000140)={0x5, 0xf, 0x101, 0x3, [@ext_cap={0x7, 0x10, 0x2, 0x10, 0x0, 0xb, 0x5}, @generic={0xeb, 0x10, 0x4, "dcd90e1774edfea2addbde3b5800208ce6183487dbfb79fe4b3783170cd11a227aedc47ae947a4daea5f04cdd0a9edfc4da90c4f0ee6500aa301b8fb440212147f0e7eaad360be8d844934ca0368a95f8f95c94eaa7b018e788518d5b13cc9f7eee14a78410fba029203a0e690b8e82086bb41a88ebf4f385b339e51f7cb963399039533d44a4a93a08cfabafd165af3d2d8d7332ebe3959516ccf352309fd6ecff0aadc836b650648e0925ae7caa04d4b395f5373a50167942531512cc181426dab3f8e6c30897ac167124f795b770ba1e15c1951ad98d6246356ecee68f96a1794a7fbe38799c9"}, @ss_cap={0xa, 0x10, 0x3, 0x0, 0x1, 0x4, 0x0, 0x9f6f}]}, 0x5, [{0xd3, &(0x7f0000000280)=@string={0xd3, 0x3, "18b5831ae24e3d255a94e170eb46a9463390406684d1a21de9d62a36a89decd0cbe9d9b0976c021d8a66a7109d1f1b5b80a19d876843cb2c065c06a3a02533a75c5ba99318044ea4d1413c798c5a95ba8287eb7882fb6cda241da3af1c51954927fcf54f86c93062d72dc68aab87634ad6c83893bf40e192a78d8b8cd76b83575bae4bea2bdbbf93c9f725e6187c7eba76addc6ad30015e009c89b95132d31bcecfb3c5195072ef1c2fadd44c67daa201a767a6b9ac070629378f51ae9296418c9fc5791ede9fb427910ef0def7ddc872d"}}, {0x4, &(0x7f0000000380)=@lang_id={0x4, 0x3, 0x2009}}, {0x4, &(0x7f00000003c0)=@lang_id={0x4, 0x3, 0x1c0a}}, {0x1d, &(0x7f0000000400)=@string={0x1d, 0x3, "87427aa9fafde99a3f3d857488da46c5a6b3c8bbbef60d544b45bf"}}, {0x3b, &(0x7f0000000440)=@string={0x3b, 0x3, "502961127a68c50a48f3be82327c742e76ca8282ee7ba4b6548c38300362c451064e92305c17916c68aca1802a71fc67f53e99292236f95195"}}]}) syz_usb_connect$cdc_ncm(0x7, 0xa2, &(0x7f0000000500)={{0x12, 0x1, 0x200, 0x2, 0x0, 0x0, 0x20, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x90, 0x2, 0x1, 0x3, 0x30, 0x4, {{0x9, 0x4, 0x0, 0x0, 0x1, 0x2, 0xd, 0x0, 0x0, {{0x7, 0x24, 0x6, 0x0, 0x1, "9134"}, {0x5, 0x24, 0x0, 0x9}, {0xd, 0x24, 0xf, 0x1, 0x7f, 0x5, 0x8001, 0x2}, {0x6, 0x24, 0x1a, 0x7, 0x70}, [@mdlm={0x15, 0x24, 0x12, 0x6}, @obex={0x5, 0x24, 0x15, 0xf463}, @network_terminal={0x7, 0x24, 0xa, 0x6, 0x8, 0xff}, @call_mgmt={0x5, 0x24, 0x1, 0x0, 0xdd}, @obex={0x5, 0x24, 0x15, 0x2}, @dmm={0x7, 0x24, 0x14, 0x2, 0x7}]}, {{0x9, 0x5, 0x81, 0x3, 0x200, 0x9, 0xb6}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x2, 0x2, 0xd, 0x0, 0x0, "", {{{0x9, 0x5, 0x82, 0x2, 0x10, 0x1, 0x8, 0x7f}}, {{0x9, 0x5, 0x3, 0x2, 0x8, 0x8, 0x25, 0x35}}}}}}}]}}, &(0x7f00000007c0)={0xa, &(0x7f00000005c0)={0xa, 0x6, 0x300, 0x3f, 0x1, 0x0, 0x8, 0x1}, 0xf4, &(0x7f0000000600)={0x5, 0xf, 0xf4, 0x4, [@wireless={0xb, 0x10, 0x1, 0x8, 0x0, 0x50, 0x6, 0x1, 0xff}, @ssp_cap={0x24, 0x10, 0xa, 0x6, 0x6, 0xe73d, 0x0, 0x7, [0xff0030, 0xc0cf, 0xff3fc0, 0xff7e00, 0xff0000, 0xff0000]}, @generic={0xbd, 0x10, 0x2, "d66f4db0d3ce75d5963d6d3f130922de7013a06d2d20e9b9e232a7e691eeec7e5ec88452e8643473595dae47c7bf4b3bb109c254fb4462dd22499df10dde1a6b7072f2c091eb6228d7a48bf7bf6f03c654bf7b7badf546f902a55619f48c567c7af96d6aab1fe96696833a9ddaa137f305e6d0de9ba62b5e01c7867ea91dc1c92c0543965d4f701db36b82d1eb5b1b1c635edcf11e9a36c515f1590cbf4683213c1746eedc0a7a264041701a20e41c50a5d2f7b3d22c75846fe1"}, @ptm_cap={0x3}]}, 0x1, [{0xae, &(0x7f0000000700)=@string={0xae, 0x3, "6e373207439db91eba28c4798751b6495d7ecb1fc05ad2636ebc054ca7e48c6a76d63780cb853d5637441cd3d6d4662063798c1065595fce994943eea0f7bd5e0e91ce50284bd7562953ca7a41662e791e07df236a0952c39824c29367a3fd2d458e100429b53355c29de1324b73d0231ad51d5d6aa3188943914367bd9e7279da907d04626a5c99286e0074b7916c157029709f1d5aa3a0c731caea3b07eaa1374014011b01b06856770524"}}]}) syz_usb_connect$printer(0x1, 0x2d, &(0x7f0000000800)={{0x12, 0x1, 0x0, 0x0, 0x0, 0x0, 0x10, 0x525, 0xa4a8, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x1b, 0x1, 0x1, 0x20, 0x0, 0x5a, [{{0x9, 0x4, 0x0, 0xfe, 0x1, 0x7, 0x1, 0x1, 0x84, "", {{{0x9, 0x5, 0x1, 0x2, 0x400, 0x5, 0x2, 0xfa}}}}}]}}]}}, &(0x7f0000000a00)={0xa, &(0x7f0000000840)={0xa, 0x6, 0x300, 0x40, 0x9, 0x2, 0x20, 0x1}, 0x20, &(0x7f0000000880)={0x5, 0xf, 0x20, 0x3, [@ext_cap={0x7, 0x10, 0x2, 0x18, 0x9, 0x1, 0x7ff}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x3, 0x3, 0x3, 0x100}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x8, 0x2, 0x3f, 0xfff9}]}, 0x3, [{0x7b, &(0x7f00000008c0)=@string={0x7b, 0x3, "725e4833ff10ce7983dd9b923f5748bfdcddd5564fdcf27ca4924a8413dc41a6165e633b67db6220fd3e14f2f0e00d0744d40d1978fe41a56e35ebd5e3e2103c08f4105fa25af994f3cb4e3c77f5773ae7dd75be395353c6c4dc269c7510bc790f439da0f4df131715ee28f211bef8525359a986419f3515f2"}}, {0x4, &(0x7f0000000940)=@lang_id={0x4, 0x3, 0x1009}}, {0x71, &(0x7f0000000980)=@string={0x71, 0x3, "a8fd07f7855d5d916e8542eb07c88ec474d9005418c82e09011c1f38de45d6d50a1ee4c5996fd6590b93377d163501b4647330ee143fb0cfa477dec8258e4d9914bece017089d574b9ddce6117fada0c5a6e0d934a4f459dd0ee83eb4ea2d8c8391dd935e4e80661a16f2190893211"}}]}) syz_usb_connect$printer(0x5, 0x36, &(0x7f0000000a40)={{0x12, 0x1, 0x110, 0x0, 0x0, 0x0, 0x8, 0x525, 0xa4a8, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x1, 0x5, 0xa0, 0x2, [{{0x9, 0x4, 0x0, 0x3, 0x2, 0x7, 0x1, 0x2, 0x8, "", {{{0x9, 0x5, 0x1, 0x2, 0x20, 0x81, 0x6, 0x3}}, [{{0x9, 0x5, 0x82, 0x2, 0x20, 0x1f, 0x40, 0x3f}}]}}}]}}]}}, &(0x7f0000000b40)={0xa, &(0x7f0000000a80)={0xa, 0x6, 0x201, 0x5, 0x81, 0x20, 0x40, 0x3}, 0x5, &(0x7f0000000ac0)={0x5, 0xf, 0x5}, 0x1, [{0x4, &(0x7f0000000b00)=@lang_id={0x4, 0x3, 0xc04}}]}) syz_usb_connect$hid(0x4, 0x36, &(0x7f0000000b80)={{0x12, 0x1, 0x310, 0x0, 0x0, 0x0, 0x20, 0x0, 0x0, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x1, 0x5, 0x40, 0x8, [{{0x9, 0x4, 0x0, 0x1, 0x1, 0x3, 0x1, 0x3, 0x6, {0x9, 0x21, 0x5, 0xf9, 0x1, {0x22, 0x2}}, {{{0x9, 0x5, 0x81, 0x3, 0x400, 0x6, 0x3, 0xff}}}}}]}}]}}, &(0x7f0000000cc0)={0xa, &(0x7f0000000bc0)={0xa, 0x6, 0x200, 0x9, 0xa7, 0x9, 0x8, 0x31}, 0x3b, &(0x7f0000000c00)={0x5, 0xf, 0x3b, 0x4, [@ssp_cap={0x14, 0x10, 0xa, 0xdf, 0x2, 0x1f, 0xf000, 0x0, [0x30, 0xff000f]}, @ext_cap={0x7, 0x10, 0x2, 0x0, 0x6, 0x4, 0x9}, @ss_container_id={0x14, 0x10, 0x4, 0x9, "3db9d1f350b78767f15136b3f38df0af"}, @ext_cap={0x7, 0x10, 0x2, 0x2, 0x8, 0x1, 0x9}]}, 0x1, [{0x79, &(0x7f0000000c40)=@string={0x79, 0x3, "188606c2cb2539aca0e0349649a8d992691d4f7f01e33067691989f212809835c47efc3a608af2ebd29c8d3e8a498a2ba8ad65753e749006e5032dc858b3bf210a79b7d4b455bbafbeb77c7bce5c6381a413aad050fb491f6a4501b60355654f3a981d68d32dcf5dbff212bf46addea63e2505f7c3fe52"}}]}) syz_usb_connect$hid(0x4, 0x36, &(0x7f0000000d00)={{0x12, 0x1, 0x200, 0x0, 0x0, 0x0, 0x10, 0x0, 0x0, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x1, 0xff, 0x10, 0x4, [{{0x9, 0x4, 0x0, 0x80, 0x2, 0x3, 0x1, 0x0, 0xc8, {0x9, 0x21, 0x3, 0x7f, 0x1, {0x22, 0x2fa}}, {{{0x9, 0x5, 0x81, 0x3, 0x400, 0x3f, 0x3, 0x92}}}}}]}}]}}, &(0x7f0000000f40)={0xa, &(0x7f0000000d40)={0xa, 0x6, 0x201, 0x73, 0x3, 0x3f, 0x10, 0x80}, 0xf, &(0x7f0000000d80)={0x5, 0xf, 0xf, 0x1, [@ss_cap={0xa, 0x10, 0x3, 0x0, 0xb, 0x0, 0x40, 0x20}]}, 0x3, [{0x6e, &(0x7f0000000dc0)=@string={0x6e, 0x3, "0ed7e85b88dd5af3035665db705aaac850ae728d3e32bb72819e0e79788cbdc0ab2e85a1f1f39d6169242a8d30ea1d80e8949f81f40adc6284dc62ee29b81dd45426a1684722acde204f58a9aa6be3c987310320069d101e03c548d73edd12b927dcd4da7e15bb1826ecb332"}}, {0x83, &(0x7f0000000e40)=@string={0x83, 0x3, "b0eb70f0875459449552daa8cc68a407a429be750868fbdcd766d8cddf7f64e9aba81838f9df11042a2b005d194d0a75a554604334f86e7b38fa0689423b5fec3e9d38adf841032dd07cfd91a4caaafa62bb732c7b13cdf91d56f2828a8fd8a80cb31bce8a3a552b0ea428d763e76db9ab14353f7478f13e69664414d2a3d4512d"}}, {0x14, &(0x7f0000000f00)=@string={0x14, 0x3, "fab906e5f2ab93a761b21c9759c50064509e"}}]}) syz_usb_connect$hid(0x7, 0x36, &(0x7f0000000f80)={{0x12, 0x1, 0x310, 0x0, 0x0, 0x0, 0xff, 0x0, 0x0, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x1, 0x3, 0x80, 0x0, [{{0x9, 0x4, 0x0, 0x6, 0x1, 0x3, 0x1, 0x3, 0x8, {0x9, 0x21, 0x7, 0xfc, 0x1, {0x22, 0x3f5}}, {{{0x9, 0x5, 0x81, 0x3, 0x20, 0x3, 0x4, 0x6}}}}}]}}]}}, &(0x7f0000001440)={0xa, &(0x7f0000000fc0)={0xa, 0x6, 0x200, 0x7d, 0x6, 0x8, 0x40, 0x5}, 0xb7, &(0x7f0000001000)={0x5, 0xf, 0xb7, 0x5, [@generic={0x8b, 0x10, 0xb, "a7beb7425030f721179fc1cb6856511964837fc865ec6efbbc86213a0b4e6a24ced99e82fd3da3203a0611b41cc420d524499bc6759a110ff73376ca8cc49112519394fdd6c92547a3029e6eefeeaebc8748924c57883f45a894d78d64bc792ca9d1cb51b9c32567b2173808ed034b82fb2bd2fc2611f5c47decdafe2d781730a73f8c61014db6f9"}, @ext_cap={0x7, 0x10, 0x2, 0x10, 0x6, 0xb, 0x9d8c}, @wireless={0xb, 0x10, 0x1, 0x8, 0x82, 0x43, 0x47, 0x4215, 0x8}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x8, 0x1, 0xf9, 0xe852}, @wireless={0xb, 0x10, 0x1, 0xc, 0x12, 0x2f, 0xaa, 0xfff9, 0x5}]}, 0x7, [{0x4, &(0x7f00000010c0)=@lang_id={0x4, 0x3, 0x411}}, {0x64, &(0x7f0000001100)=@string={0x64, 0x3, "ab3a7292cc89d10121019e437a9074e1df1fe2c5be702355bdc0fe33e2735219a7f2ce3323a62b03818c52e1ca7aa495d375c511b4ba30d7a1484e4a68f011ac7af37c1a7e5f4ff44928efa99c849c26f98d1afb025186d34e318ad8b19e673f48f6"}}, {0xb1, &(0x7f0000001180)=@string={0xb1, 0x3, "ff4919acac5e8ff415ea971bf5774896e0b48202870946bbe107b138beef187830fecb6356e996f7a972ce00c5086d7633fb28928e3cfae65ba6adfd0fc2f2a2391368ef037972225d1f44606a15ec1a7fb44a960e92657c1b1cd6859a9a247d14568eee44fe511709da178f348bf0656a61eaf7a325e5fb1fef4e5529353e83e757f6ae660a5fad9a4a5c9848bd36876cbbd69d028ced4d71fea07496bab97659fc18ee079ed1bc88b49565e60b29"}}, {0x4, &(0x7f0000001240)=@lang_id={0x4, 0x3, 0x458}}, {0xb0, &(0x7f0000001280)=@string={0xb0, 0x3, "7ddaba45662edb980df0f554f91214e410491f4c882aaacbe779c1dd8601c5cfdec430368394ce599f86f16aa3f7236096b79cf0a3a9ebbabfc300b993b812f18652ab0d53e41ad025faaf2055a005ad38e5cbf84e77184ba1a1ecf10723cca34f539421201961316e4627eebb5ef4eaf5b48440eb444a2c25dd591a6783d1a89f4617f6afad0294fc754988c197c842a200b4a382d254a2aaf5db223d2fd30dc51b69063b9bb88f8fc03568c050"}}, {0xa1, &(0x7f0000001340)=@string={0xa1, 0x3, "c12cc7ca27ff50871b49fb3c2ef4df98e8d99700e32129a631f92b8046d75a194438a6bd407de1e970485c7fdff92e8e88f56927d27a6cf2287a81af237ffce65edb210b96d3eba4d06bed94ee8ec6b30663a773c0d9ab75044e1c5ffa542233a2e311b2dbb29bfe002f388faa8af6b62c7f139addc606bb423c8438d27ad99aeeb59603bfb6458ffd2fe6a25dd2298425c1a4caed59023d912d759832d44c"}}, {0x4, &(0x7f0000001400)=@lang_id={0x4, 0x3, 0x4c2b}}]}) syz_usb_connect$uac1(0x2, 0xe0, &(0x7f00000014c0)={{0x12, 0x1, 0x300, 0x0, 0x0, 0x0, 0x10, 0x1d6b, 0x101, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0xce, 0x3, 0x1, 0x9, 0x10, 0x9e, {{0x9, 0x4, 0x0, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, {{0xa, 0x24, 0x1, 0x9, 0x24}, [@extension_unit={0x8, 0x24, 0x8, 0x6, 0x9, 0x1, "c7"}, @processing_unit={0xb, 0x24, 0x7, 0x4, 0x3, 0x9, "1c503b91"}, @extension_unit={0xa, 0x24, 0x8, 0x4, 0x6d8c, 0x5, "5f0c92"}]}}, {}, {0x9, 0x4, 0x1, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_i_discrete={0xf, 0x24, 0x2, 0x1, 0x2, 0x1, 0x90, 0xac, "969d85a819970b"}, @format_type_ii_discrete={0xb, 0x24, 0x2, 0x2, 0xc97, 0x82a, 0x1, "d15a"}, @as_header={0x7, 0x24, 0x1, 0x7f, 0x0, 0x2}, @format_type_ii_discrete={0xa, 0x24, 0x2, 0x2, 0x5, 0x7, 0x6, "82"}, @as_header={0x7, 0x24, 0x1, 0xf3, 0x40, 0x1002}]}, {{0x9, 0x5, 0x1, 0x9, 0x3ff, 0x6, 0x1, 0x40, {0x7, 0x25, 0x1, 0x0, 0x2, 0x2156}}}}, {}, {0x9, 0x4, 0x2, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_i_discrete={0xa, 0x24, 0x2, 0x1, 0x3f, 0x1, 0x5, 0x80, "880d"}, @format_type_i_discrete={0xb, 0x24, 0x2, 0x1, 0x1, 0x2, 0x7, 0x4, "cdbd0f"}, @format_type_ii_discrete={0xb, 0x24, 0x2, 0x2, 0x2, 0x1, 0x20, "85da"}]}, {{0x9, 0x5, 0x82, 0x9, 0x8, 0x2, 0x4, 0x5, {0x7, 0x25, 0x1, 0x81, 0x2, 0xfffa}}}}}}}]}}, &(0x7f0000001880)={0xa, &(0x7f00000015c0)={0xa, 0x6, 0x110, 0x58, 0xba, 0x7, 0xff, 0x3}, 0x130, &(0x7f0000001600)={0x5, 0xf, 0x130, 0x6, [@generic={0x1f, 0x10, 0xb, "875eadca8680b4e3086ac29118e40be58a7488efb92daa7c1bd25ba9"}, @wireless={0xb, 0x10, 0x1, 0x8, 0x4, 0x1f, 0x7f, 0xffc0, 0x7f}, @generic={0xd9, 0x10, 0x2, "3840317489fde8f34bcac4dd0fc531de4ff8c737a437ace4e7d9a29c76a02ee6aea1884c21e7e007a0c06f5239b2819b992429c07a5768f45074adb6822efb5350c489da45f07221790af168ebd0ee2984ac9efa6755e66b407aa1dcf7852560cac60d2eab86a9cd7731d106edb301f25d2f9ba58025f7a5baedc226301f445da999b02d19af96c064c6a72828199ff752f5ddb321fba963bb6f75baeca6cfddfb715c079b679795c4fb1917600084c5860034151f983ca3cd232a4526602fd377721c0a38cd9527daecffc51e58aef8c92676a903d2"}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x2, 0x1, 0x9, 0x6}, @ss_container_id={0x14, 0x10, 0x4, 0x9, "0a2a7ef14877c417fa24ec501b2c665e"}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x2, 0xff, 0x1, 0xf18}]}, 0x2, [{0xea, &(0x7f0000001740)=@string={0xea, 0x3, "79833249f97975f742f5ed5bb4038ec68321290bd4ea4454ced2a69e37b3db2d37391e1a834409e2e13cd4e687a03ee627e127ef3e2931c43c3d3bc8853605cba06c0938635a2cf96151f04efde1da3f9a84e12ab523a1f65c0528201b722dc242fa59f0b023f7cb5235ffc56dd0c48f5a432f1ed6324323280a39f5eeb9b89e03ca049ee30f8d9bd8252705e925194ecb81f430ec4d807d9aa1cb9aa883b0883dc5cc2ac16e801b2709cf6cda5c34e7131338a6b6ccdcf253c555e5183726460a7d5c804976d0944bde645b231f7ec4c69b6bd4357a0f5cf8300af17666f437b1243a9c68d4863b"}}, {0x4, &(0x7f0000001840)=@lang_id={0x4, 0x3, 0x809}}]}) syz_usb_connect(0x3, 0x1af, &(0x7f00000018c0)={{0x12, 0x1, 0x201, 0x0, 0x0, 0x0, 0x8, 0x0, 0x0, 0x0, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x19d, 0x3, 0x40, 0x0, 0x20, 0x8e, [{{0x9, 0x4, 0xb5, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfe, [@generic={0x49, 0xe, "1bee78d87c491455c5d1cf7aeb08ab05f0ed201c79298c74e172756a1ddf6e3ce3aa664a09cd81ae73d82f05819aafedbd93ab50bcc51f1d8080890fc2a270f87d87ec0473bb55"}]}}, {{0x9, 0x4, 0x8, 0xac, 0x2, 0x0, 0x0, 0x0, 0x8, [@cdc_ecm={{0xa, 0x24, 0x6, 0x0, 0x0, "3340e0c28a"}, {0x5, 0x24, 0x0, 0xfffa}, {0xd, 0x24, 0xf, 0x1, 0xce41, 0x4, 0x7, 0x9}, [@call_mgmt={0x5, 0x24, 0x1, 0x1, 0x59}, @obex={0x5, 0x24, 0x15, 0x80}, @dmm={0x7, 0x24, 0x14, 0x284c, 0x101}]}], [{{0x9, 0x5, 0xc, 0x3, 0x10, 0x1, 0x7f, 0x41, [@uac_iso={0x7, 0x25, 0x1, 0x1, 0x0, 0x2}, @uac_iso={0x7, 0x25, 0x1, 0x0, 0x7, 0x2ee2}]}}, {{0x9, 0x5, 0x2, 0x4, 0x200, 0x5c, 0x0, 0x2, [@generic={0xc1, 0x9, "41cd87e692e19106a912096d28ab0dfc6d95b7774287dd301ead839f95cd7d0e0797541a9c189662a185dd23db414bc36f0928dcbdcb05bcd8f8917defa58b98c6bcb733653cfadb8e1300ccf5e654f72a130190777571b1a9b26d2a3ec4f22dfdebf8c5e125999f4a1826e4f03d3ca59955ce8f89f637cf01fc23cbd3d507b542603d63bf108098a455a60e66eb6b4fca1b904fc7291b3ebe5a128388d246dc08fcd50a471af560c1634e12dec34a67185864f10c08affbd30ee9b9d9799b"}]}}]}}, {{0x9, 0x4, 0x0, 0xd6, 0x2, 0x0, 0x0, 0x0, 0x0, [@hid_hid={0x9, 0x21, 0x7c, 0x3, 0x1, {0x22, 0x5fb}}], [{{0x9, 0x5, 0xc, 0x0, 0x20, 0x8, 0x6, 0x0, [@uac_iso={0x7, 0x25, 0x1, 0x3, 0xf0, 0x7}]}}, {{0x9, 0x5, 0x81, 0x10, 0x0, 0x0, 0x80, 0x2}}]}}]}}]}}, &(0x7f0000001dc0)={0xa, &(0x7f0000001a80)={0xa, 0x6, 0x201, 0x1, 0x40, 0x4, 0x20, 0x34}, 0xf, &(0x7f0000001ac0)={0x5, 0xf, 0xf, 0x1, [@ss_cap={0xa, 0x10, 0x3, 0x0, 0x8, 0xf5, 0xfc, 0xfff7}]}, 0x7, [{0x4, &(0x7f0000001b00)=@lang_id={0x4, 0x3, 0x1804}}, {0x4, &(0x7f0000001b40)=@lang_id={0x4, 0x3, 0x500a}}, {0x4, &(0x7f0000001b80)=@lang_id={0x4, 0x3, 0x180a}}, {0x4, &(0x7f0000001bc0)=@lang_id={0x4, 0x3, 0x42b}}, {0xc0, &(0x7f0000001c00)=@string={0xc0, 0x3, "2881697b40c8648992dbd34d3947647bedd6d319912c236723c926a6132a425fb5b2143e72250b1b13f55a36b4b8c7048a0cfd1782e7ffa5c28d85c559aab19cac34fb30655bf156821f3c87d0a1f475c3416c00343ca9f26a276b520a237481fc28f4f1d5bc74a2d1825365f166ccbde2cd3429513c829771e5f8f70082a20288f148864a35f22d585a0e893834dde4c9ec6eb5c4817b9f82fcbf48448c667e30517a00eab484553b683f7373260459b03e2424356857227658fe6389fc"}}, {0x91, &(0x7f0000001cc0)=@string={0x91, 0x3, "601759b239812ef4414e419e16e07e1d1f13971a1331bbe1edf54f8a203d0e8d17f83790d6196aaccc4acb940a485491d9e9a5d72ca59254475cba539e19a4ed493017ce28d705a506569012c5262a7d8d47149d6c3fd43c11b1f857e513912c7928f8188eb7eee4b6f6db545e9d740d26c2faf752f71cf22f41fbd3bd654b704c2a61ab490cdbe5e9b765b2619880"}}, {0x4, &(0x7f0000001d80)=@lang_id={0x4, 0x3, 0x42c}}]}) syz_usb_connect$hid(0x4, 0x3f, &(0x7f0000001e40)={{0x12, 0x1, 0x200, 0x0, 0x0, 0x0, 0x20, 0x0, 0x0, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x2d, 0x1, 0x1, 0x4, 0x10, 0x17, [{{0x9, 0x4, 0x0, 0x81, 0x2, 0x3, 0x1, 0x2, 0x7, {0x9, 0x21, 0x80, 0x2, 0x1, {0x22, 0x8f4}}, {{{0x9, 0x5, 0x81, 0x3, 0x10, 0xff, 0x9, 0x9}}, [{{0x9, 0x5, 0x2, 0x3, 0x0, 0x7, 0x0, 0xff}}]}}}]}}]}}, &(0x7f0000002080)={0xa, &(0x7f0000001e80)={0xa, 0x6, 0x310, 0x40, 0x7c, 0x1f, 0x10, 0x6}, 0x5, &(0x7f0000001ec0)={0x5, 0xf, 0x5}, 0x3, [{0x4, &(0x7f0000001f00)=@lang_id={0x4, 0x3, 0x44c}}, {0x48, &(0x7f0000001f40)=@string={0x48, 0x3, "a93f7be7749c996cba1ed58d13f07729da68b4b8eab3c02402cfad92917597265fd65ad85f29bd6d23aa1a582681a938d68f73ff3d0a337439fd738cbb46b3cb5d46762d9288"}}, {0x90, &(0x7f0000001fc0)=@string={0x90, 0x3, "179a45a2ed0445bcdbe202fc83ac4fc86768db2d007c1129303d260af4a52de9b8e61b384b2516ce009e6d28c791e93914576fee5d4a0264be204f0e81dcb759d14c2731a59376befd2efc7d630468e6a92356c4ce363f0c5869722f8aaabbb0fd26c103e1c8ce82d031a6f18ea14e81c849d8680485ced933ee4ced50613939b71746b7b6fb182cb626dfba9332"}}]}) syz_usb_connect$printer(0x3, 0x2d, &(0x7f00000020c0)={{0x12, 0x1, 0x110, 0x0, 0x0, 0x0, 0x20, 0x525, 0xa4a8, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x1b, 0x1, 0x1, 0xfc, 0x40, 0x8, [{{0x9, 0x4, 0x0, 0x20, 0x2, 0x7, 0x1, 0x1, 0x9d, "", {{{0x9, 0x5, 0x1, 0x2, 0x400, 0x6, 0xaf, 0x3}}}}}]}}]}}, &(0x7f0000002200)={0xa, &(0x7f0000002100)={0xa, 0x6, 0x110, 0x3, 0x0, 0x7, 0x8, 0x99}, 0x53, &(0x7f0000002140)={0x5, 0xf, 0x53, 0x6, [@ssp_cap={0x14, 0x10, 0xa, 0x81, 0x2, 0x0, 0xff00, 0x3ff, [0x0, 0xff]}, @ss_container_id={0x14, 0x10, 0x4, 0x3, "499f2f581dbfc90a909b38e3870066ac"}, @ss_container_id={0x14, 0x10, 0x4, 0x8, "70e068a2d9f5ee89ea8b717c79a55f4a"}, @ptm_cap={0x3}, @ssp_cap={0xc, 0x10, 0xa, 0x80, 0x0, 0x10000, 0xf00}, @ptm_cap={0x3}]}, 0x1, [{0x4, &(0x7f00000021c0)=@lang_id={0x4, 0x3, 0x403}}]}) syz_usb_connect$cdc_ncm(0x4, 0x6f, &(0x7f0000002240)={{0x12, 0x1, 0x310, 0x2, 0x0, 0x0, 0x10, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x5d, 0x2, 0x1, 0x1, 0x50, 0x81, {{0x9, 0x4, 0x0, 0x0, 0x1, 0x2, 0xd, 0x0, 0x0, {{0x6, 0x24, 0x6, 0x0, 0x1, "0e"}, {0x5, 0x24, 0x0, 0x7}, {0xd, 0x24, 0xf, 0x1, 0x81, 0x40, 0x3f, 0x8}, {0x6, 0x24, 0x1a, 0x8001, 0xa}}, {{0x9, 0x5, 0x81, 0x3, 0x40, 0x4a, 0xff, 0x40}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x2, 0x2, 0xd, 0x0, 0x0, "", {{{0x9, 0x5, 0x82, 0x2, 0x200, 0x2, 0x6e, 0x5}}, {{0x9, 0x5, 0x3, 0x2, 0x200, 0x6, 0x1f, 0x1}}}}}}}]}}, &(0x7f0000002400)={0xa, &(0x7f00000022c0)={0xa, 0x6, 0x110, 0x81, 0x34, 0x0, 0x10, 0x2}, 0x1d, &(0x7f0000002300)={0x5, 0xf, 0x1d, 0x1, [@ssp_cap={0x18, 0x10, 0xa, 0x20, 0x3, 0x7, 0xf00, 0x9, [0xc0f0, 0x0, 0x3f0f]}]}, 0x3, [{0x4, &(0x7f0000002340)=@lang_id={0x4, 0x3, 0x3c01}}, {0x14, &(0x7f0000002380)=@string={0x14, 0x3, "d78b85f31d0b651ad0395d83ad422bfffbca"}}, {0x4, &(0x7f00000023c0)=@lang_id={0x4, 0x3, 0x80a}}]}) syz_usb_connect$cdc_ncm(0x7, 0x79, &(0x7f0000002440)={{0x12, 0x1, 0x110, 0x2, 0x0, 0x0, 0x20, 0x525, 0xa4a1, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x67, 0x2, 0x1, 0x9, 0x10, 0x0, {{0x9, 0x4, 0x0, 0x0, 0x1, 0x2, 0xd, 0x0, 0x0, {{0x7, 0x24, 0x6, 0x0, 0x1, "969e"}, {0x5, 0x24, 0x0, 0x100}, {0xd, 0x24, 0xf, 0x1, 0x92, 0x4, 0x5}, {0x6, 0x24, 0x1a, 0x1, 0x3c}, [@obex={0x5, 0x24, 0x15, 0x1ff}, @acm={0x4, 0x24, 0x2, 0x1}]}, {{0x9, 0x5, 0x81, 0x3, 0x240, 0x0, 0x4, 0xff}}}, {}, {0x9, 0x4, 0x1, 0x1, 0x2, 0x2, 0xd, 0x0, 0x0, "", {{{0x9, 0x5, 0x82, 0x2, 0x240, 0x80, 0x80, 0x7}}, {{0x9, 0x5, 0x3, 0x2, 0x400, 0x7f, 0x4f, 0x7f}}}}}}}]}}, &(0x7f00000026c0)={0xa, &(0x7f00000024c0)={0xa, 0x6, 0x200, 0x81, 0x6, 0x4, 0x20, 0x1f}, 0x53, &(0x7f0000002500)={0x5, 0xf, 0x53, 0x4, [@ss_cap={0xa, 0x10, 0x3, 0x0, 0x2, 0xb9, 0x27, 0xad7}, @generic={0x37, 0x10, 0xb, "e39239f0f6d5d63c6b18a121ed92e13edb680f3f96e1a8813ca09ffc1e53120a068c7a2112ef58efef59745f56b558741bf76157"}, @ss_cap={0xa, 0x10, 0x3, 0x0, 0x3, 0x0, 0x5, 0x1f}, @ptm_cap={0x3}]}, 0x1, [{0x102, &(0x7f0000002580)=@string={0x102, 0x3, "fc0cb3a2706016cf1c52cc3b439d48b48b87c0b24d5c5fe23e504520aacefb2f42ee9f7bd1d0b4bef050cd034a398ad04cec24e865b66306baed8b3bb46cd615022f25aab54543ec8fcb67a313771bb8e624bc8dc0c944f7713d95296add2c834843a597a096a6ecc2432bbc25a4364ebcbf820fa98da9266b3158d141fa587607a5e871225ee3167578c710663997ff759cbbe2ada5dc3cd84171386a5e9bf836192d4558265debed6bb3c28e8f2097cdd86e06c9f4d12508b7f54a0402f7a69bd8a6f26c1622679b9e4806bacd57b195b748b87a1cdcf29cebdd5e1a40ec34880213f7c64a1f42a1279c985f6f19ba14cca9e8974e06638e0252bd659dc4ef"}}]}) syz_usb_connect(0x1, 0x763, &(0x7f0000002700)={{0x12, 0x1, 0x201, 0x0, 0x0, 0x0, 0x10, 0x0, 0x0, 0x0, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x751, 0x3, 0x5, 0x1, 0x30, 0xe0, [{{0x9, 0x4, 0x2, 0x8, 0xd, 0x0, 0x0, 0x0, 0x4, [@cdc_ncm={{0x5}, {0x5, 0x24, 0x0, 0x1}, {0xd, 0x24, 0xf, 0x1, 0x7, 0x2263, 0x5}, {0x6, 0x24, 0x1a, 0x7b}, [@mbim_extended={0x8, 0x24, 0x1c, 0x3, 0x3f, 0x1}, @mdlm={0x15, 0x24, 0x12, 0x4}, @country_functional={0xe, 0x24, 0x7, 0x7f, 0x4, [0x8000, 0x8, 0x3ff, 0x0]}, @mbim_extended={0x8, 0x24, 0x1c, 0x9e4, 0x1, 0xffff}]}, @generic={0x100, 0x8, "4d63a9400259aae61fe92ba10db14df8c68b584b1b06b8319f3bd4f60ad08f22bfbb4168411e1e7a842ddff85fb825b6c9bbfc59098f5372f2ca5a1064a3afd34e84d940c12f515c0f597552f0549703a8e99ea60699df6d2543cea6a373abf87f03ca15c72fb16162e42ac337074e41ecaa4ec32c8cc69323fabf78775d9a4ca3b319d517fbc671571c049e12023c97d6fe0efc987021caa8e86d88867e3b8922dd245ace4e3618233542d43432e68180bfb04aff64c09e97b1c9c0cf039f9a2262c04dbed9ef1233eb51188b300151d4cc433dacd30123d1f974bc7c234a2470d58fbfc9ace7c0d4df343a39dd9604ba870ea18e283ee3030695d0bf29"}], [{{0x9, 0x5, 0x7, 0x3, 0x200, 0x40, 0x7, 0x9, [@generic={0x1d, 0x3, "4ada5bc49461580b6d2dc84ccae899c66029da637c0190faa6a708"}]}}, {{0x9, 0x5, 0xb, 0x3, 0x20, 0x8, 0x7c, 0x2, [@generic={0x74, 0x9, "9b75c20daccf8eb0932dfac4f1a875a29f1bdb70073345d4c9fe8273c9f1d5a5f28261967ba7a9a386c0e69cd6919e86812bb62dccde45e5b4f944a03672715552ebcbb8e430be282e36eac046ec1c0079d09514744e08990646cd4d04210d85c9b7711bfea32fcb6db6b5c704674ede9900"}]}}, {{0x9, 0x5, 0x7, 0x10, 0x20, 0x1, 0x9, 0xdf}}, {{0x9, 0x5, 0x2, 0xc, 0x400, 0x40, 0x5, 0x5}}, {{0x9, 0x5, 0xe, 0x2, 0x3ff, 0x40, 0x80, 0x9}}, {{0x9, 0x5, 0x5, 0x8, 0x8, 0x40, 0x20, 0x4}}, {{0x9, 0x5, 0x0, 0x10, 0x20, 0x59, 0x3, 0x1}}, {{0x9, 0x5, 0xe, 0x0, 0x200, 0x20, 0x0, 0x1}}, {{0x9, 0x5, 0xc, 0x3, 0x10, 0x8, 0xfd, 0x0, [@uac_iso={0x7, 0x25, 0x1, 0x2, 0x1f, 0x9}]}}, {{0x9, 0x5, 0x6, 0x8, 0x10, 0xd6, 0x1, 0xe, [@generic={0xe3, 0x9, "919462fe113c40a243de6fd650a3063f3d4d67dd98009642940e41663f99b20a6a19589ce367c1b5888524b8695873acb920f45e7ca5d3de52701eedd3bf7bbd60ba0893737b816b86f3e97ed728b21d44b4b6a22d3ef3796798dc434373eefbacd8d6b63afb615e7ef961cad4e5ffe617711699e2359f90c37c03a9ce71b6fbbae455c49e445081d456c4cbfe072fe5be2d8ecd1ca2a7c759395a95ec515f6964fcbe0b5e800b273ec3a851ffa71dab5fdeb9a617225bffc86878ad191a41d03073aa62f192b3cb835304525fb3da86108f01fe3ea0e075311166c1ce37a99484"}, @uac_iso={0x7, 0x25, 0x1, 0x81, 0x3, 0xf9}]}}, {{0x9, 0x5, 0xf, 0x10, 0x8, 0x4, 0x81, 0x6}}, {{0x9, 0x5, 0x8a, 0x0, 0x10, 0x3, 0x8, 0xff}}, {{0x9, 0x5, 0xc, 0x10, 0x10, 0x1, 0xf6, 0x5, [@uac_iso={0x7, 0x25, 0x1, 0x81, 0x2, 0x7}]}}]}}, {{0x9, 0x4, 0x8, 0x7f, 0x3, 0x0, 0x0, 0x0, 0x4, [], [{{0x9, 0x5, 0x8, 0x0, 0x10, 0xc0, 0x81, 0x2, [@uac_iso={0x7, 0x25, 0x1, 0x0, 0x3, 0xd235}, @generic={0x18, 0x1, "206ddbe3fbf92a2002ffa51d917fa63a4bc322942862"}]}}, {{0x9, 0x5, 0xb, 0x10, 0x400, 0x1, 0x3, 0x6, [@uac_iso={0x7, 0x25, 0x1, 0x81, 0x3d, 0x47b5}, @uac_iso={0x7, 0x25, 0x1, 0x3, 0x32, 0x4}]}}, {{0x9, 0x5, 0x4, 0x4, 0x200, 0x20, 0x4, 0x1}}]}}, {{0x9, 0x4, 0x11, 0x20, 0xa, 0x0, 0x0, 0x0, 0xff, [@uac_control={{0xa, 0x24, 0x1, 0x6, 0x6}, [@output_terminal={0x9, 0x24, 0x3, 0x4, 0x101, 0x4, 0x6, 0x3f}, @input_terminal={0xc, 0x24, 0x2, 0x5, 0x204, 0x1, 0x3, 0x1, 0x2, 0x45}, @selector_unit={0x9, 0x24, 0x5, 0x2, 0x2, "880730e2"}]}], [{{0x9, 0x5, 0x80, 0x0, 0x20, 0x0, 0x3, 0x2, [@uac_iso={0x7, 0x25, 0x1, 0xfb2aea75a9c6f383, 0x7f, 0x9460}, @generic={0x1c, 0xc, "46bb2f22bcb5b867dcb171997d4f3b7c17a4745f89ed6dffa713"}]}}, {{0x9, 0x5, 0x8, 0x10, 0x8, 0xb7, 0x80, 0x80, [@generic={0xda, 0x1, "8e258f0ea2a713e9b2e1d1487112dead1db5d90fb5181cf99a916e6ec77cfdff197324d582b8b92cda30775c16577bc7c019308c533689068e45850d5abed41e0ec84b7054848d5342f6fe73c7e21db849c94482f9aae9ec703428d80629b3f4c8ecceb763d9ef1667a893904bd26bbc97a6b5ccb209c1919d556a8f3dc055faed5c15330a73e7412b45160e9ac5949e8eb452c12381ec45e1e8337ac3efef8c0ba195c726e97a7d7cbf37aa31c83e3c8ef829715b61e821d1b0bf895e9bfe31f0f7e7ba6ab38b4378ab42b61bd95d89ac1c05ff65e9e084"}, @uac_iso={0x7, 0x25, 0x1, 0x80, 0x9, 0x8}]}}, {{0x9, 0x5, 0xb, 0x1, 0x20, 0xfd, 0x39}}, {{0x9, 0x5, 0x4, 0x10, 0x200, 0x20, 0x2, 0xc4}}, {{0x9, 0x5, 0xd, 0x0, 0x10, 0x1, 0x5f, 0x7, [@uac_iso={0x7, 0x25, 0x1, 0x81, 0x81, 0x3f}, @generic={0xf6, 0x7, "2d860722629685158c93e7c25979215bda48525147d27e7f1f5159568a853eb0b335b7d9597d22d6af4657ea939d01089eaae1b37a398c5894ddb051767ff3816a762989857d86e622623aef7dcc1e891bb228fd04f90229030fc352c545c4d3e09721cfba2a8b404a7611099b7b12b9e1dffbd92d76cf02d77990531525021010f8e3ededf11166d22da8f14783b89f54461715188bebd98a64b6a9a3ba2665c402450751af6438b6cb43509a1488316895c88614bb98da65f15b6ea03ada4a629a2df9c9457ee86347308cb312aa9d18dbe3797f2345e3169f19f6b3ec68e10a6d5b99ac8f3bc1410a798da47521dbf59fc8a6"}]}}, {{0x9, 0x5, 0xb, 0x10, 0x400, 0x81, 0x17, 0x81, [@uac_iso={0x7, 0x25, 0x1, 0x82, 0x20, 0xe6}, @generic={0x2f, 0x22, "beb2abc9530fff6541cd7c825707a4926f2fc9bdc5ec70d37271edba0efa6863a272950a58d21601ed1b61aabd"}]}}, {{0x9, 0x5, 0xd, 0x8, 0x208, 0x20, 0xff, 0x63, [@generic={0x27, 0x31, "f9994cf197e1d38e59341b6d018f9608aa1035957fe8df481e8f355ea6ef738114f73f29de"}]}}, {{0x9, 0x5, 0x5, 0x0, 0x400, 0x81, 0x6, 0x5f, [@uac_iso={0x7, 0x25, 0x1, 0x82, 0x40, 0x7}]}}, {{0x9, 0x5, 0x0, 0x3, 0x40, 0x9, 0x9, 0x9, [@generic={0x40, 0x4, "5775e74edf04b0662dee154c7ab2539f6d5158f87fa251b594c6367f544f62c59db7d32bc44dd70885c458de4afb980554c1a9d0a1e9291ea0c0dc5ee8c2"}]}}, {{0x9, 0x5, 0xc, 0x3, 0x8, 0x1, 0x3, 0x3, [@generic={0x71, 0x0, "909f4185a3a8b322772702c4476f5f9e0819b0c863a84dc737f1c8388ad5db341e2d8c5ba1e26018f55bd33c6f64ff5daa0c5c1871fa92bf0cb9d4875bc4acb328b6b00418d07bb3cb8b0948c5596185e55f15fc2df3e1590abdad6f215ace3bf7ef344fec08ebb45b4a03cddde2f9"}]}}]}}]}}]}}, &(0x7f0000003240)={0xa, &(0x7f0000002e80)={0xa, 0x6, 0x310, 0x0, 0x7f, 0x1, 0xff, 0x2}, 0xa9, &(0x7f0000002ec0)={0x5, 0xf, 0xa9, 0x6, [@ptm_cap={0x3}, @generic={0x83, 0x10, 0xa, "99f29238539f18937388019a6189a2c84111689570c0956a3f86470ce4e8845b22f060e30e8f11a3cf164477a0e629cda84d6a8d38cce0a14c5e378739bc3a2f9e1ad5bca71d24c6c9d197b63a67263f3480ec4d2e9a0f0a76a9597c1a70594e1f1eb62fd2801a91fc63c7bd37615313d0272ee803b31b7e34c91882f91b29f1"}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x0, 0x6c, 0x1, 0x20}, @ptm_cap={0x3}, @ss_cap={0xa, 0x10, 0x3, 0x9ee95b37815fecda, 0x2, 0x3, 0x32, 0x56f9}, @ext_cap={0x7, 0x10, 0x2, 0x2, 0x7, 0x5, 0x3}]}, 0x6, [{0x4, &(0x7f0000002f80)=@lang_id={0x4, 0x3, 0x861}}, {0x4, &(0x7f0000002fc0)=@lang_id={0x4, 0x3, 0x42b}}, {0x4, &(0x7f0000003000)=@lang_id={0x4, 0x3, 0x809}}, {0xb9, &(0x7f0000003040)=@string={0xb9, 0x3, "9863ab4dd98e1f371671310f680b3babe781c98a7f5a3089f1422b6c1a4b98bfb767b66baaf3a1d398096d86dcbc736f09e7433e7d1189a4e7e68a64c85ec4840a5e9037229d09956c27f3eec48071cdf47d965d9e41265fa979d82d7685b71dece7598d48bbffc80d28f6ecd7497b3cb01f76bbebce542d49cd67ff813a7c5ccea1d91f6b25ed15569e0a7e3445b748cf8e8b0b0e6ad8d7290679e39cb96f3fdd99ba6c223acab2f478fecc92a3c10d2eaf49178495dd"}}, {0xcf, &(0x7f0000003100)=@string={0xcf, 0x3, "9a4b691cac8514a2b0685d60a081317128dc38928cf885400f31b77e5ba48348860f846169874a07f9cbeb04c3ab2d0a64cce83a295bd933092cb22b24ac7c8a37d14021e3abffae0e1a5fd4a5adfa131375708c9ff32858010244d03c2e42adc2339644f4acdb2b1064b945851e350cc62508452fd9c285a6a1e2d5238512583a3707763de6c93d82efe08795a547970cab61a84778ef00c72355d5d6080dea32313e1548b3f771740533ec78be60dfa91a30e9072daa55deb955f15b457c96046bbc033d4b80ff5db3106596"}}, {0x4, &(0x7f0000003200)=@lang_id={0x4, 0x3, 0x1407}}]}) syz_usb_connect$printer(0x4, 0x2d, &(0x7f00000032c0)={{0x12, 0x1, 0x200, 0x0, 0x0, 0x0, 0x20, 0x525, 0xa4a8, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x1b, 0x1, 0x1, 0x20, 0x60, 0x7, [{{0x9, 0x4, 0x0, 0x30, 0x1, 0x7, 0x1, 0x2, 0x5, "", {{{0x9, 0x5, 0x1, 0x2, 0x10, 0xaf, 0x0, 0x6}}}}}]}}]}}, &(0x7f0000003400)={0xa, &(0x7f0000003300)={0xa, 0x6, 0x200, 0x1f, 0x1, 0x2b, 0x20}, 0x5, &(0x7f0000003340)={0x5, 0xf, 0x5}, 0x1, [{0x4b, &(0x7f0000003380)=@string={0x4b, 0x3, "0558c28df8b8c3978232ab3b5650901684f6e4d1c9703ed845abd192db0a21d9d61f9c079efc645f01ca42bbcb033716da4987aed10a456bed6f4da4ee3d87df4312ec973a90fa7b1b"}}]}) syz_usb_connect$printer(0x2, 0x2d, &(0x7f0000003440)={{0x12, 0x1, 0x300, 0x0, 0x0, 0x0, 0x20, 0x525, 0xa4a8, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x1b, 0x1, 0x1, 0x6, 0x60, 0x6, [{{0x9, 0x4, 0x0, 0x0, 0x2, 0x7, 0x1, 0x2, 0x7, "", {{{0x9, 0x5, 0x1, 0x2, 0x10, 0x8, 0x7, 0x3}}}}}]}}]}}, &(0x7f0000003600)={0xa, &(0x7f0000003480)={0xa, 0x6, 0x300, 0x0, 0x1, 0x1f, 0x20, 0x9}, 0x2d, &(0x7f00000034c0)={0x5, 0xf, 0x2d, 0x3, [@ss_cap={0xa, 0x10, 0x3, 0x0, 0x2, 0x18, 0x80, 0x20c}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x9, 0x9b, 0x8, 0x5}, @ss_container_id={0x14, 0x10, 0x4, 0x80, "f69b903a2edfe99a0ea9ca50bf55b959"}]}, 0x2, [{0x4, &(0x7f0000003500)=@lang_id={0x4, 0x3, 0x3c0a}}, {0xbe, &(0x7f0000003540)=@string={0xbe, 0x3, "04631d64328923a37c7c24e0fb27bc33ea2f1a18eb8f82425d6aa30169e76922adf74d2097eb72403522bab7febd70909aebd7dbc07ea9c3ee119ba654f0cf20c9812a0c26bf5163ed344cc7cbd3b7979989b5daa98bb1d2d1723c4edb85ea144264aff0a0338709c0134340f62b56b0730c21059059ef18acb3be0cedf16eee9c92b74fb2e702b7fd5135d9ccb48232be12535aa35817eebc439518d2e746b37901fa8dd0fb65611f6026d2d48797772b8914a262206fd369311afe"}}]}) syz_usb_connect$hid(0x7, 0x3f, &(0x7f0000003640)={{0x12, 0x1, 0x201, 0x0, 0x0, 0x0, 0x40, 0x0, 0x0, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x2d, 0x1, 0x1, 0x4, 0x80, 0x80, [{{0x9, 0x4, 0x0, 0x6, 0x2, 0x3, 0x1, 0x0, 0x2f, {0x9, 0x21, 0x0, 0xe1, 0x1, {0x22, 0x89f}}, {{{0x9, 0x5, 0x81, 0x3, 0x40, 0x3f, 0x1f, 0x3}}, [{{0x9, 0x5, 0x2, 0x3, 0x20, 0x0, 0x8, 0x5}}]}}}]}}]}}, &(0x7f0000003980)={0xa, &(0x7f0000003680)={0xa, 0x6, 0x110, 0x1, 0x8, 0x81, 0x10, 0x6}, 0x5, &(0x7f00000036c0)={0x5, 0xf, 0x5}, 0x4, [{0xc6, &(0x7f0000003700)=@string={0xc6, 0x3, "2fb328b70dd0916ee44d856219c5fd18fb5e8d709c010544ed4f07680df5059643061476e10eabc31ac3c12720ca3ad68848c7817dae00faba62bd610b7556a47c25cfaae2b620b8b56f526175913ff6e2671b3de55a54f3302be5e18843a83570ca547a9cb8da7de4709a2fa8cbf3403ad5e007155eb595270be4541f3357c7da3768dbe7588a79d5dca6125feda4269398f55f80df50bfee11ba50ec33991d7b58e2ffebd73fe1379d4951c8ac5b57e00ced22b5516244e9b424fe29ec909ed7565160"}}, {0x9a, &(0x7f0000003800)=@string={0x9a, 0x3, "71ce8a23699d89fa40c434dd99e8fae5a8318586cc503db2d28db4f00e6febba6c698d257766e9680a03c0e0c0c56d9ef9e4c3d7039283444f4e7ec6bf3b11da7a211d5d35aca51ec69f9af743f34b26e20bf6f2b3b1d5f3bbdc36348b13a0ea423fd789d6762fa369c666dace43f78be59779bfb953efb032d478534f213d4995e7a8764dd906941d5856b4a3c963f9ab9aa811e99e61fc"}}, {0x56, &(0x7f00000038c0)=@string={0x56, 0x3, "0e13001d1d53d025d6608523b2563199091057b1251d6266dd469ade2ef7f5d2a14baefaf22a0798355254aa32cd3d06b9b04caa643d898b77b9c9f6b86532e9116abd77a2b2d7de9ddc18857139a557134fce0b"}}, {0x4, &(0x7f0000003940)=@lang_id={0x4, 0x3, 0x445}}]}) syz_usb_connect$uac1(0x4, 0xd8, &(0x7f0000003a00)={{0x12, 0x1, 0x110, 0x0, 0x0, 0x0, 0x8, 0x1d6b, 0x101, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0xc6, 0x3, 0x1, 0x7, 0x0, 0x1, {{0x9, 0x4, 0x0, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, {{0xa, 0x24, 0x1, 0x1ff, 0x43}, [@extension_unit={0x8, 0x24, 0x8, 0x4, 0x20, 0x9, '-'}, @selector_unit={0xa, 0x24, 0x5, 0x1, 0x3f, "01617e2c7a"}, @processing_unit={0xa, 0x24, 0x7, 0x6, 0x6, 0x0, "17f176"}, @processing_unit={0xa, 0x24, 0x7, 0x6, 0x3, 0x20, "af228c"}, @processing_unit={0xa, 0x24, 0x7, 0x4, 0x4, 0x3f, "6f5dcd"}, @extension_unit={0x7, 0x24, 0x8, 0x6, 0x6, 0x1}]}}, {}, {0x9, 0x4, 0x1, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_i_continuous={0x9, 0x24, 0x2, 0x1, 0x7, 0x1, 0x1, 0x3f, 'k'}, @format_type_i_continuous={0x9, 0x24, 0x2, 0x1, 0x1, 0x4, 0x1f, 0x95, '*'}, @format_type_i_discrete={0x11, 0x24, 0x2, 0x1, 0xca, 0x3, 0x6, 0x5, "b15637eb92d2ea33f3"}]}, {{0x9, 0x5, 0x1, 0x9, 0x400, 0x6d, 0x4, 0xff, {0x7, 0x25, 0x1, 0x83, 0x3f, 0x2}}}}, {}, {0x9, 0x4, 0x2, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_ii_discrete={0xd, 0x24, 0x2, 0x2, 0x4, 0xffff, 0xff, "51e9763f"}]}, {{0x9, 0x5, 0x82, 0x9, 0x400, 0x1, 0x5, 0x0, {0x7, 0x25, 0x1, 0x2, 0x7, 0xc56}}}}}}}]}}, &(0x7f0000004100)={0xa, &(0x7f0000003b00)={0xa, 0x6, 0x250, 0x8, 0x3c, 0x76, 0x20, 0x7f}, 0x43, &(0x7f0000003b40)={0x5, 0xf, 0x43, 0x5, [@ss_container_id={0x14, 0x10, 0x4, 0x5, "549cbf18e91efac03ea2e00f00be055e"}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x4, 0x59, 0xa9, 0x7}, @wireless={0xb, 0x10, 0x1, 0x4, 0xa4, 0x2, 0x92, 0x6, 0x7}, @ss_cap={0xa, 0x10, 0x3, 0x0, 0xc, 0x7f, 0xc5}, @wireless={0xb, 0x10, 0x1, 0xc, 0xa, 0x3, 0x1a, 0x800, 0x6}]}, 0x7, [{0xc1, &(0x7f0000003bc0)=@string={0xc1, 0x3, "f602dc2be7b978c59c47dda67287069e676302967c10ea7eda856144570525b8b73e6bdb0c4d8070ea9286b7a80bf2b8df57a982fabbd1ca6ed53e56359cb5ce328381b04969ac8c872aa5709590b8ca73a5ba7b6501402d3962fbd437a76419a8d466767509372392fcf8d3f13cee146e55ba65db0caf9e2938b095efeb897a931b70bfe5f43c9a4d9a237424faa912c10471a097821d8ea335a14ecbfb7238286fb52cc407ea07b140fae305c9fde6e5dd3eff0be64734cbec290f052064"}}, {0x4, &(0x7f0000003cc0)=@lang_id={0x4, 0x3, 0x3010}}, {0xb3, &(0x7f0000003d00)=@string={0xb3, 0x3, "bc0cd25c4bea7c44cffa75763b0cf53be1586e3082c3c02cddeb24ba1d735985ec601920df2ae3dcd64694f3a2b7ca82f11dca25d438cfdec4adf92093ae2dee6ffed2ed6bfad09482f7c83f342cb1ff5769157af2a1fe571c01f891014d24ac0936b0b13021031b6a221a0b8900fdffcc08a94351733950df6a8a7aff6f515a3e9db6bafe4f561c51eeb90c6c1b5d1bfa521f38d225800686d7acde698147b8a92935c61805c06ba079b3c64bf6c380fa"}}, {0x4, &(0x7f0000003dc0)=@lang_id={0x4, 0x3, 0x42b}}, {0x8c, &(0x7f0000003e00)=@string={0x8c, 0x3, "21cef5cee16a10fd3ac5c991a84728a7412beeaa7b12025275e66edebce0038b2ead28d7d510ba5c86c7ca2a19ddc5089fc6f4defd046a6975363f4ed2e4ee2acfda1a29aac7cbb707f59fc37d67e24cf569fd01f61fdea12cc6f3359190b38f318329b9a90cd63b13714cf0fa77c9826e149ca951ccfb4b79a35c8ad967743dd929556a3b06d75740e3"}}, {0xf0, &(0x7f0000003ec0)=@string={0xf0, 0x3, "c8d96f0cfe0f542667532c6c0b9ad894c95ba14387136606a59d60f70c3a417c12bf90b970b783a9da861a7774b79e5b979c3024fb1b06c59ae4d1d0ab763a4be170014c7d42f7167b56462cfd8fd8f45774cf1df3970a3c9e135787c55d8cfc6595a8ed22c4f6aaa6b0ab8c7813ab6c6ba5a39f457ab675e9d332de0638c2dae958a550a5184a179d84656b3a2738fff21ae6e66a0f7c53308bd8e2431664451d3402139e4dde48ddee83bf9d1c56ccd976f4815ab90ab30892ade6d53dbe6d68beeb4bda0f9e046505a11914e87811f40dfada9e99793d6c45616842018d02ebb7a039567db1f6deed546c2ff5"}}, {0x102, &(0x7f0000003fc0)=@string={0x102, 0x3, "539cb53814301765cc6b90f9ccbbbf03988f475ba9f3440799ab9c39d9edf38fecc80ae1b07251cf713c050f4fd0d551dc25df829789685d8dd4f75efb99851816a5d1d1a4880569f74ebb4b92fe4f66b21518e52c99d2b3af95596f5bde8f9ef0bb7c14e4827627daaff45718298326cf755dad852f3f11afa3c6561dfeb2ba54f65975a7dbc7b4c6f79a2eb7f56ca3a26c4e23fac65d0d82bd71c33cd959aaff8e43ce0515ecdb81bd28e0456c0e7702e0bc2fb525550cdf691ac242af9f7bbabdc882a561e3afee6017cf1c17cf39747bfed86f10a842b00bfa58e58f37b3b92c451f9b3f2bce33c25b101f5220fba456b09ccbb353b440242204183198e0"}}]}) syz_usb_connect$hid(0x0, 0x36, &(0x7f0000004180)={{0x12, 0x1, 0x300, 0x0, 0x0, 0x0, 0x10, 0x0, 0x0, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0x24, 0x1, 0x1, 0x20, 0x40, 0x9, [{{0x9, 0x4, 0x0, 0x8, 0x2, 0x3, 0x1, 0x0, 0x3, {0x9, 0x21, 0x401, 0x1, 0x1, {0x22, 0x4fa}}, {{{0x9, 0x5, 0x81, 0x3, 0x40, 0x84, 0x5}}}}}]}}]}}, &(0x7f00000042c0)={0xa, &(0x7f00000041c0)={0xa, 0x6, 0x200, 0xff, 0x20, 0x3, 0x10, 0x9}, 0x69, &(0x7f0000004200)={0x5, 0xf, 0x69, 0x6, [@wireless={0xb, 0x10, 0x1, 0xc, 0x4, 0x6, 0x0, 0x4, 0x2}, @ss_cap={0xa, 0x10, 0x3, 0x2, 0x0, 0xe, 0x0, 0x5}, @ss_container_id={0x14, 0x10, 0x4, 0x20, "49e9cdd4413e348759d1775cbd20a496"}, @ssp_cap={0x14, 0x10, 0xa, 0x5, 0x2, 0x8000, 0x88f, 0x6, [0x7e30, 0x3f00]}, @ext_cap={0x7, 0x10, 0x2, 0x0, 0x8, 0x4}, @ssp_cap={0x20, 0x10, 0xa, 0xca, 0x5, 0x8000, 0xee00, 0x5, [0x3f0f, 0xff00, 0x0, 0x0, 0x3f30]}]}, 0x1, [{0x4, &(0x7f0000004280)=@lang_id={0x4, 0x3, 0x421}}]}) syz_usb_connect$uac1(0x6, 0xd7, &(0x7f0000004300)={{0x12, 0x1, 0x110, 0x0, 0x0, 0x0, 0x20, 0x1d6b, 0x101, 0x40, 0x1, 0x2, 0x3, 0x1, [{{0x9, 0x2, 0xc5, 0x3, 0x1, 0x0, 0x80, 0x28, {{0x9, 0x4, 0x0, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, {{0xa, 0x24, 0x1, 0x7, 0x4c}, [@processing_unit={0x8, 0x24, 0x7, 0x3, 0x5, 0x4c, '\n'}, @processing_unit={0xb, 0x24, 0x7, 0x3, 0x4, 0x80, "0ad267c2"}, @selector_unit={0x5, 0x24, 0x5, 0x1, 0x3}]}}, {}, {0x9, 0x4, 0x1, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_i_continuous={0x9, 0x24, 0x2, 0x1, 0x3f, 0x4, 0x82, 0x20, "", "ac"}, @as_header={0x7, 0x24, 0x1, 0x20, 0x81, 0x1002}, @format_type_i_continuous={0xe, 0x24, 0x2, 0x1, 0x7, 0x1, 0x3, 0x3, "8e6e88", "d9a97d"}, @format_type_i_discrete={0xd, 0x24, 0x2, 0x1, 0xfc, 0x2, 0x2, 0x6, "df525f9641"}, @as_header={0x7, 0x24, 0x1, 0x7f, 0x2, 0x2}, @format_type_i_continuous={0xb, 0x24, 0x2, 0x1, 0x9, 0x1, 0x1, 0x20, "adf6cf"}]}, {{0x9, 0x5, 0x1, 0x9, 0x20, 0x3, 0x89, 0x9a, {0x7, 0x25, 0x1, 0x3, 0x5, 0xfffd}}}}, {}, {0x9, 0x4, 0x2, 0x1, 0x1, 0x1, 0x2, 0x0, 0x0, {[@format_type_i_continuous={0xa, 0x24, 0x2, 0x1, 0x0, 0x4, 0x7, 0x5d, "", "169e"}, @as_header={0x7, 0x24, 0x1, 0x9, 0xf8, 0x1}]}, {{0x9, 0x5, 0x82, 0x9, 0x3ff, 0x3, 0x2, 0x0, {0x7, 0x25, 0x1, 0x0, 0xcb, 0x8}}}}}}}]}}, &(0x7f0000004580)={0xa, &(0x7f0000004400)={0xa, 0x6, 0x250, 0x1, 0x99, 0x3, 0x20, 0x20}, 0xc4, &(0x7f0000004440)={0x5, 0xf, 0xc4, 0x4, [@wireless={0xb, 0x10, 0x1, 0x8, 0x81, 0x0, 0x7, 0x1, 0x1}, @wireless={0xb, 0x10, 0x1, 0xc, 0x80, 0x3, 0x1, 0x5, 0xb4}, @generic={0xa6, 0x10, 0x3, "76c9d15ef1be2a11df7e66ab7f7a73e52ddced9c14b3ba947b783a4c576546dacf1b4e5412f1184772008bcd2ead0ac62ec2af841089c7ff9801ddeda311878d637f41cf349db6e1cb4a24358dac325ba1abaac1ed3027af80e6b96f1c53f0185413d74f0e5d45b6e9e34957bb951d859c2131bd171824ae0bd177debbbeee8e619d19101b258e11850156a2b6cc08d3ab5b048ba19e98962dd90edee31b4244bf2cd4"}, @ptm_cap={0x3}]}, 0x1, [{0x4, &(0x7f0000004540)=@lang_id={0x4, 0x3, 0x2413}}]}) [ 51.5386453] panic: UBSan: Undefined Behavior in /syzkaller/managers/ci2-netbsd-kubsan/kernel/sys/kern/kern_time.c:1695:4, signed integer overflow: 9223372036854775807 + 51 cannot be represented in type 'long int' [ 51.5386453] cpu1: Begin traceback... [ 51.5786302] vpanic() at netbsd:vpanic+0x2f2 [ 51.6886260] Report() at netbsd:Report+0x3b [ 51.7786277] HandleOverflow() at netbsd:HandleOverflow+0x1d0 [ 51.8586263] dosetitimer.part.0() at netbsd:dosetitimer.part.0+0xbb5 [ 51.9386251] dosetitimer() at netbsd:dosetitimer+0xa6 [ 52.0086260] compat_50_sys_setitimer() at netbsd:compat_50_sys_setitimer+0x1a3 [ 52.0786267] sys___syscall() at netbsd:sys___syscall+0x1e3 [ 52.1586243] syscall() at netbsd:syscall+0x2da [ 52.1786246] --- syscall (number 198) --- [ 52.1986268] netbsd:syscall+0x2da: [ 52.2086238] cpu1: End traceback... [ 52.2086238] fatal breakpoint trap in supervisor mode [ 52.2086238] trap type 1 code 0 rip 0xffffffff80221ab5 cs 0x8 rflags 0x246 cr2 0x71fb54c01000 ilevel 0x8 rsp 0xffffc380d045b730 [ 52.2286228] curlwp 0xfffffd13514ff600 pid 1000.1130 lowest kstack 0xffffc380d04572c0 Stopped in pid 1000.1130 (syz-executor.0) at netbsd:breakpoint+0x5: leave ? breakpoint() at netbsd:breakpoint+0x5 db_panic() at netbsd:db_panic+0xec vpanic() at netbsd:vpanic+0x2f2 Report() at netbsd:Report+0x3b HandleOverflow() at netbsd:HandleOverflow+0x1d0 dosetitimer.part.0() at netbsd:dosetitimer.part.0+0xbb5 dosetitimer() at netbsd:dosetitimer+0xa6 compat_50_sys_setitimer() at netbsd:compat_50_sys_setitimer+0x1a3 sys___syscall() at netbsd:sys___syscall+0x1e3 syscall() at netbsd:syscall+0x2da --- syscall (number 198) --- netbsd:syscall+0x2da: Panic string: UBSan: Undefined Behavior in /syzkaller/managers/ci2-netbsd-kubsan/kernel/sys/kern/kern_time.c:1695:4, signed integer overflow: 9223372036854775807 + 51 cannot be represented in type 'long int' PID LID S CPU FLAGS STRUCT LWP * NAME WAIT 988 989 2 0 0 fffffd134abe34c0 syz-executor.5 988 > 988 7 0 10000000 fffffd134a0942c0 syz-executor.5 1000 982 3 1 180 fffffd134a5fa8c0 syz-executor.0 parked 1000 >1130 7 1 100 fffffd13514ff600 syz-executor.0 1000 1000 2 1 10040000 fffffd134abe3900 syz-executor.0 1082 1231 3 1 180 fffffd13514ffa40 syz-executor.4 parked 1082 1082 2 1 10000000 fffffd134abe3080 syz-executor.4 1151 1151 2 1 0 fffffd13514ff1c0 syz-executor.2 1075 1075 2 0 0 fffffd134a272300 syz-executor.5 1222 1222 2 1 0 fffffd134a57f340 syz-executor.3 1192 1192 3 1 180 fffffd134ad1e0c0 syz-executor.4 nanoslp 1107 1107 2 1 0 fffffd134cc9b180 syz-executor.1 425 425 3 1 180 fffffd134cc9ba00 syz-executor.0 nanoslp 1084 1224 3 0 180 fffffd134cc9b5c0 syz-fuzzer kqueue 1084 1220 3 1 180 fffffd134b6b59c0 syz-fuzzer parked 1084 1054 3 0 180 fffffd1349ea0280 syz-fuzzer parked 1084 1078 3 0 180 fffffd134b6b5580 syz-fuzzer parked 1084 1115 3 0 180 fffffd1349c57ac0 syz-fuzzer parked 1084 1195 3 1 180 fffffd1349c57240 syz-fuzzer parked 1084 1111 3 0 180 fffffd134b7f6980 syz-fuzzer parked 1084 1084 3 0 180 fffffd134ad1e940 syz-fuzzer parked 1086 1086 3 1 180 fffffd134b6b5140 sshd select 1259 1259 3 1 180 fffffd134ad1e500 getty nanoslp 1071 1071 3 1 180 fffffd134a094700 getty nanoslp 1106 1106 3 0 180 fffffd13499fa600 getty nanoslp 1252 1252 3 1 1c0 fffffd1349c57680 getty ttyraw 1066 1066 3 1 180 fffffd134b7f6100 sshd select 845 845 3 0 180 fffffd134b7f6540 powerd kqueue 688 688 3 0 180 fffffd134a57fbc0 syslogd kqueue 547 547 3 0 180 fffffd134a5fa040 dhcpcd poll 546 546 3 0 180 fffffd1349ea06c0 dhcpcd poll 600 600 3 1 180 fffffd134a272740 dhcpcd poll 587 587 3 1 180 fffffd134a57f780 dhcpcd poll 289 289 3 1 180 fffffd134a272b80 dhcpcd poll 288 288 3 1 180 fffffd1349ea0b00 dhcpcd poll 351 351 3 0 180 fffffd134a094b40 dhcpcd poll 1 1 3 0 180 fffffd134185e540 init wait 0 967 3 0 200 fffffd13499faa40 physiod physiod 0 194 3 0 200 fffffd1349a75a80 pooldrain pooldrain 0 193 3 0 200 fffffd1349a75640 ioflush syncer 0 192 3 1 200 fffffd1349a75200 pgdaemon pgdaemon 0 167 3 1 200 fffffd13499fa1c0 usb7 usbevt 0 166 3 1 200 fffffd134698da00 usb6 usbevt 0 165 2 1 200 fffffd134698d5c0 usb5 0 164 3 1 200 fffffd134698d180 usb4 usbevt 0 31 3 0 200 fffffd13439209c0 usb3 usbevt 0 63 3 0 200 fffffd1343920580 usb2 usbevt 0 126 3 0 200 fffffd1343920140 usb1 usbevt 0 125 3 0 200 fffffd13417dd300 usb0 usbevt 0 124 3 1 200 fffffd13417dd740 usbtask-dr usbtsk 0 123 3 0 200 fffffd134113d6c0 usbtask-hc usbtsk 0 122 3 1 200 fffffd134185e980 npfgc0 npfgcw 0 121 3 1 200 fffffd134185e100 rt_free rt_free 0 120 3 1 200 fffffd1341859940 unpgc unpgc 0 119 3 0 200 fffffd1341859500 key_timehandler key_timehandler 0 118 3 1 200 fffffd13418590c0 icmp6_wqinput/1 icmp6_wqinput 0 117 3 0 200 fffffd1341824900 icmp6_wqinput/0 icmp6_wqinput 0 116 3 0 200 fffffd13418244c0 nd6_timer nd6_timer 0 115 3 1 200 fffffd1341824080 carp6_wqinput/1 carp6_wqinput 0 114 3 0 200 fffffd13418178c0 carp6_wqinput/0 carp6_wqinput 0 113 3 1 200 fffffd1341817480 carp_wqinput/1 carp_wqinput 0 112 3 0 200 fffffd1341817040 carp_wqinput/0 carp_wqinput 0 111 3 1 200 fffffd13417eabc0 icmp_wqinput/1 icmp_wqinput 0 110 3 0 200 fffffd13417ea780 icmp_wqinput/0 icmp_wqinput 0 109 3 0 200 fffffd13417ddb80 rt_timer rt_timer 0 108 3 1 200 fffffd13417ea340 vmem_rehash vmem_rehash 0 99 3 0 200 fffffd134114ab40 entbutler entropy 0 98 3 1 200 fffffd134114a700 viomb balloon 0 97 3 1 200 fffffd134114a2c0 vioif0_txrx/1 vioif0_txrx 0 96 3 0 200 fffffd134113db00 vioif0_txrx/0 vioif0_txrx 0 29 3 1 200 fffffd134113d280 scsibus0 sccomp 0 28 3 0 200 fffffd133fbc3ac0 pms0 pmsreset 0 27 3 1 200 fffffd133fbc3680 xcall/1 xcall 0 26 1 1 200 fffffd133fbc3240 softser/1 0 25 1 1 200 fffffd133fb8ea80 softclk/1 0 24 1 1 200 fffffd133fb8e640 softbio/1 0 23 1 1 200 fffffd133fb8e200 softnet/1 0 22 1 1 201 fffffd146eb35a40 idle/1 0 21 3 1 200 fffffd146eb35600 lnxsyswq lnxsyswq 0 20 3 1 200 fffffd146eb351c0 lnxubdwq lnxubdwq 0 19 3 1 200 fffffd146eb44a00 lnxpwrwq lnxpwrwq 0 18 3 1 200 fffffd146eb445c0 lnxlngwq lnxlngwq 0 17 3 1 200 fffffd146eb44180 lnxhipwq lnxhipwq 0 16 3 0 200 fffffd146eb5d9c0 lnxrcugc lnxrcugc 0 15 3 0 200 fffffd146eb5d580 sysmon smtaskq 0 14 3 1 200 fffffd146eb5d140 pmfsuspend pmfsuspend 0 13 3 0 200 fffffd146eb6a980 pmfevent pmfevent 0 12 3 1 200 fffffd146eb6a540 sopendfree sopendfr 0 11 3 0 200 fffffd146eb6a100 iflnkst iflnkst 0 10 3 1 200 fffffd146fb9b940 nfssilly nfssilly 0 9 3 0 200 fffffd146fb9b500 vdrain vdrain 0 8 3 1 200 fffffd146fb9b0c0 modunload mod_unld 0 7 3 0 200 fffffd146fbc2900 xcall/0 xcall 0 6 1 0 200 fffffd146fbc24c0 softser/0 0 5 1 0 200 fffffd146fbc2080 softclk/0 0 4 1 0 200 fffffd146fbf18c0 softbio/0 0 3 1 0 200 fffffd146fbf1480 softnet/0 0 2 1 0 201 fffffd146fbf1040 idle/0 0 0 3 0 200 ffffffff86750780 swapper uvm [Locks tracked through LWPs] ****** LWP 1151.1151 (syz-executor.2) @ 0xfffffd13514ff1c0, l_stat=2 *** Locks held: * Lock 0 (initialized at kcov_open) lock address : 0xfffffd13569dc280 type : sleep/adaptive initialized : 0xffffffff838208d6 shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 0 relevant lwp : 0xfffffd13514ff1c0 last held: 0xfffffd13514ff1c0 last locked* : 0xffffffff83821ae3 unlocked : 000000000000000000 owner field : 0xfffffd13514ff1c0 wait/spin: 0/0 Turnstile: no active turnstile for this lock. *** Locks wanted: none ****** LWP 1075.1075 (syz-executor.5) @ 0xfffffd134a272300, l_stat=2 *** Locks held: * Lock 0 (initialized at kcov_open) lock address : 0xfffffd13569dc100 type : sleep/adaptive initialized : 0xffffffff838208d6 shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xfffffd134a272300 last held: 0xfffffd134a272300 last locked* : 0xffffffff83821ae3 unlocked : 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. * Lock 1 (initialized at uvm_obj_init) lock address : 0xfffffd13559c62c0 type : sleep/adaptive initialized : 0xffffffff8360c49e shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xfffffd134a272300 last held: 0xfffffd134a272300 last locked* : 0xffffffff835d2ce0 unlocked : 0xffffffff835ba36a owner/count : 000000000000000000 flags : 000000000000000000 Turnstile: no active turnstile for this lock. *** Locks wanted: none ****** LWP 1222.1222 (syz-executor.3) @ 0xfffffd134a57f340, l_stat=2 *** Locks held: * Lock 0 (initialized at kcov_open) lock address : 0xfffffd13569dc400 type : sleep/adaptive initialized : 0xffffffff838208d6 shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 1 relevant lwp : 0xfffffd134a57f340 last held: 0xfffffd134a57f340 last locked* : 0xffffffff83821ae3 unlocked : 000000000000000000 owner field : 0xfffffd134a57f340 wait/spin: 0/0 Turnstile: no active turnstile for this lock. *** Locks wanted: none ****** LWP 1107.1107 (syz-executor.1) @ 0xfffffd134cc9b180, l_stat=2 *** Locks held: * Lock 0 (initialized at kcov_open) lock address : 0xfffffd135686fa00 type : sleep/adaptive initialized : 0xffffffff838208d6 shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 1 relevant lwp : 0xfffffd134cc9b180 last held: 0xfffffd134cc9b180 last locked* : 0xffffffff83821ae3 unlocked : 000000000000000000 owner field : 0xfffffd134cc9b180 wait/spin: 0/0 Turnstile: no active turnstile for this lock. *** Locks wanted: none ****** LWP 546.546 (dhcpcd) @ 0xfffffd1349ea06c0, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff86beab40 type : sleep/adaptive initialized : 0xffffffff8370d5a7 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xfffffd1349ea06c0 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 600.600 (dhcpcd) @ 0xfffffd134a272740, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff86beab40 type : sleep/adaptive initialized : 0xffffffff8370d5a7 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 0 relevant lwp : 0xfffffd134a272740 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 288.288 (dhcpcd) @ 0xfffffd1349ea0b00, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff86beab40 type : sleep/adaptive initialized : 0xffffffff8370d5a7 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 0 relevant lwp : 0xfffffd1349ea0b00 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 351.351 (dhcpcd) @ 0xfffffd134a094b40, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff86beab40 type : sleep/adaptive initialized : 0xffffffff8370d5a7 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xfffffd134a094b40 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 0.11 (iflnkst) @ 0xfffffd146eb6a100, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff86beab40 type : sleep/adaptive initialized : 0xffffffff8370d5a7 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xfffffd146eb6a100 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 0.5 (softclk/0) @ 0xfffffd146fbc2080, l_stat=1 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff86beab40 type : sleep/adaptive initialized : 0xffffffff8370d5a7 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xfffffd146fbc2080 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 0.0 (swapper) @ 0xffffffff86750780, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff86beab40 type : sleep/adaptive initialized : 0xffffffff8370d5a7 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffffff86750780 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. [Locks tracked through CPUs] ******* Locks held on cpu1: * Lock 0 (initialized at time_init) lock address : 0xffffffff86bed480 type : spin initialized : 0xffffffff837ae169 shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 1 relevant lwp : 0xfffffd13514ff600 last held: 0xfffffd13514ff600 last locked* : 0xffffffff837b4423 unlocked : 0xffffffff837b5fdc owner field : 0x0000000000010700 wait/spin: 0/1 * Lock 1 (initialized at kprintf_init) lock address : 0xffffffff86cce668 type : spin initialized : 0xffffffff8385b103 shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 1 relevant lwp : 0xfffffd13514ff600 last held: 0xfffffd13514ff600 last locked* : 0xffffffff8385b191 unlocked : 0xffffffff8385b20c owner field : 0x0000000000000800 wait/spin: 0/1 PAGE FLAG PQ UOBJECT UANON 0xffffc38000007180 0045 00000000 0x0 0x0 0xffffc38000007200 0045 00000000 0x0 0x0 0xffffc38000007280 0045 00000000 0x0 0x0 0xffffc38000007300 0045 00000000 0x0 0x0 0xffffc38000007380 0045 00000000 0x0 0x0 0xffffc38000007400 0045 00000000 0x0 0x0 0xffffc38000007480 0045 00000000 0x0 0x0 0xffffc38000007500 0045 00000000 0x0 0x0 0xffffc38000007580 0045 00000000 0x0 0x0 0xffffc38000007600 0045 00000000 0x0 0x0 0xffffc38000007680 0041 00000000 0x0 0x0 0xffffc38000007700 0041 00000000 0x0 0x0 0xffffc38000007780 0041 00000000 0x0 0x0 0xffffc38000007800 0041 00000000 0x0 0x0 0xffffc38000007880 0041 00000000 0x0 0x0 0xffffc38000007900 0045 00000000 0x0 0x0 0xffffc38000007980 0041 00000000 0x0 0x0 0xffffc38000007a00 0041 00000000 0x0 0x0 0xffffc38000007a80 0041 00000000 0x0 0x0 0xffffc38000007b00 0041 00000000 0x0 0x0 0xffffc38000007b80 0041 00000000 0x0 0x0 0xffffc38000007c00 0041 00000000 0x0 0x0 0xffffc38000007c80 0041 00000000 0x0 0x0 0xffffc38000007d00 0041 00000000 0x0 0x0 0xffffc38000007d80 0041 00000000 0x0 0x0 0xffffc38000007e00 0041 00000000 0x0 0x0 0xffffc38000007e80 0041 00000000 0x0 0x0 0xffffc38000007f00 0041 00000000 0x0 0x0 0xffffc38000007f80 0041 00000000 0x0 0x0 0xffffc38000008000 0041 00000000 0x0 0x0 0xffffc38000008080 0041 00000000 0x0 0x0 0xffffc38000008100 0041 00000000 0x0 0x0 0xffffc38000008180 0041 00000000 0x0 0x0 0xffffc38000008200 0041 00000000 0x0 0x0 0xffffc38000008280 0041 00000000 0x0 0x0 0xffffc38000008300 0041 00000000 0x0 0x0 0xffffc38000008380 0041 00000000 0x0 0x0 0xffffc38000008400 0041 00000000 0x0 0x0 0xffffc38000008480 0041 00000000 0x0 0x0 0xffffc38000008500 0041 00000000 0x0 0x0 0xffffc38000008580 0041 00000000 0x0 0x0 0xffffc38000008600 0041 00000000 0x0 0x0 0xffffc38000008680 0041 00000000 0x0 0x0 0xffffc38000008700 0041 00000000 0x0 0x0 0xffffc38000008780 0041 00000000 0x0 0x0 0xffffc38000008800 0041 00000000 0x0 0x0 0xffffc38000008880 0041 00000000 0x0 0x0 0xffffc38000008900 0041 00000000 0x0 0x0 0xffffc38000008980 0041 00000000 0x0 0x0 0xffffc38000008a00 0041 00000000 0x0 0x0 0xffffc38000008a80 0041 00000000 0x0 0x0 0xffffc38000008b00 0041 00000000 0x0 0x0 0xffffc38000008b80 0045 00000000 0x0 0x0 0xffffc38000008c00 0045 00000000 0x0 0x0 0xffffc38000008c80 0041 00000000 0x0 0x0 0xffffc38000008d00 0041 00000000 0x0 0x0 0xffffc38000008d80 0041 00000000 0x0 0x0 0xffffc38000008e00 0041 00000000 0x0 0x0 0xffffc38000008e80 0041 00000000 0x0 0x0 0xffffc38000008f00 0041 00000000 0x0 0x0 0xffffc38000008f80 0041 00000000 0x0 0x0 0xffffc38000009000 0041 00000000 0x0 0x0 0xffffc38000009080 0045 00000000 0x0 0x0 0xffffc38000009100 0041 00000000 0x0 0x0 0xffffc38000009180 0041 00000000 0x0 0x0 0xffffc38000009200 0041 00000000 0x0 0x0 0xffffc38000009280 0041 00000000 0x0 0x0 0xffffc38000009300 0041 00000000 0x0 0x0 0xffffc38000009380 0041 00000000 0x0 0x0 0xffffc38000009400 0041 00000000 0x0 0x0 0xffffc38000009480 0041 00000000 0x0 0x0 0xffffc38000009500 0041 00000000 0x0 0x0 0xffffc38000009580 0041 00000000 0x0 0x0 0xffffc38000009600 0041 00000000 0x0 0x0 0xffffc38000009680 0041 00000000 0x0 0x0 0xffffc38000009700 0041 00000000 0x0 0x0 0xffffc38000009780 0041 00000000 0x0 0x0 0xffffc38000009800 0041 00000000 0x0 0x0 0xffffc38000009880 0041 00000000 0x0 0x0 0xffffc38000009900 0041 00000000 0x0 0x0 0xffffc38000009980 0041 00000000 0x0 0x0 0xffffc38000009a00 0041 00000000 0x0 0x0 0xffffc38000009a80 0041 00000000 0x0 0x0 0xffffc38000009b00 0041 00000000 0x0 0x0 0xffffc38000009b80 0041 00000000 0x0 0x0 0xffffc38000009c00 0041 00000000 0x0 0x0 0xffffc38000009c80 0041 00000000 0x0 0x0 0xffffc38000009d00 0041 00000000 0x0 0x0 0xffffc38000009d80 0041 00000000 0x0 0x0 0xffffc38000009e00 0041 00000000 0x0 0x0 0xffffc38000009e80 0041 00000000 0x0 0x0 0xffffc38000009f00 0041 00000000 0x0 0x0 0xffffc38000009f80 0041 00000000 0x0 0x0 0xffffc3800000a000 0041 00000000 0x0 0x0 0xffffc3800000a080 0041 00000000 0x0 0x0 0xffffc3800000a100 0041 00000000 0x0 0x0 0xffffc3800000a180 0041 00000000 0x0 0x0 0xffffc3800000a200 0041 00000000 0x0 0x0 0xffffc3800000a280 0045 00000000 0x0 0x0 0xffffc3800000a300 0041 00000000 0x0 0x0 0xffffc3800000a380 0041 00000000 0x0 0x0 0xffffc3800000a400 0041 00000000 0x0 0x0 0xffffc3800000a480 0041 00000000 0x0 0x0 0xffffc3800000a500 0041 00000000 0x0 0x0 0xffffc3800000a580 0041 00000000 0x0 0x0 0xffffc3800000a600 0045 00000000 0x0 0x0 0xffffc3800000a680 0041 00000000 0x0 0x0 0xffffc3800000a700 0041 00000000 0x0 0x0 0xffffc3800000a780 0041 00000000 0x0 0x0 0xffffc3800000a800 0041 00000000 0x0 0x0 0xffffc3800000a880 0041 00000000 0x0 0x0 0xffffc3800000a900 0041 00000000 0x0 0x0 0xffffc3800000a980 0041 00000000 0x0 0x0 0xffffc3800000aa00 0041 00000000 0x0 0x0 0xffffc3800000aa80 0041 00000000 0x0 0x0 0xffffc3800000ab00 0041 00000000 0x0 0x0 0xffffc3800000ab80 0041 00000000 0x0 0x0 0xffffc3800000ac00 0041 00000000 0x0 0x0 0xffffc3800000ac80 0041 00000000 0x0 0x0 0xffffc3800000ad00 0041 00000000 0x0 0x0 0xffffc3800000ad80 0041 00000000 0x0 0x0 0xffffc3800000ae00 0041 00000000 0x0 0x0 0xffffc3800000ae80 0045 00000000 0x0 0x0 0xffffc3800000af00 0045 00000000 0x0 0x0 0xffffc3800000af80 0041 00000000 0x0 0x0 0xffffc3800000b000 0041 00000000 0x0 0x0 0xffffc3800000b080 0041 00000000 0x0 0x0 0xffffc3800000b100 0041 00000000 0x0 0x0 0xffffc3800000b180 0045 00000000 0x0 0x0 0xffffc3800000b200 0045 00000000 0x0 0x0 0xffffc3800000b280 0045 00000000 0x0 0x0 0xffffc3800000b300 0045 00000000 0x0 0x0 0xffffc3800000b380 0045 00000000 0x0 0x0 0xffffc3800000b400 0041 00000000 0x0 0x0 0xffffc3800000b480 0041 00000000 0x0 0x0 0xffffc3800000b500 0045 00000000 0x0 0x0 0xffffc3800000b580 0045 00000000 0x0 0x0 0xffffc3800000b600 0045 00000000 0x0 0x0 0xffffc3800000b680 0045 00000000 0x0 0x0 0xffffc3800000b700 0045 00000000 0x0 0x0 0xffffc3800000b780 0045 00000000 0x0 0x0 0xffffc3800000b800 0041 00000000 0x0 0x0 0xffffc3800000b880 0041 00000000 0x0 0x0 0xffffc3800000b900 0045 00000000 0x0 0x0 0xffffc3800000b980 0045 00000000 0x0 0x0 0xffffc3800000ba00 0045 00000000 0x0 0x0 0xffffc3800000ba80 0045 00000000 0x0 0x0 0xffffc3800000bb00 0045 00000000 0x0 0x0 0xffffc3800000bb80 0045 00000000 0x0 0x0 0xffffc3800000bc00 0045 00000000 0x0 0x0 0xffffc3800000bc80 0041 00000000 0x0 0x0 0xffffc3800000bd00 0045 00000000 0x0 0x0 0xffffc3800000bd80 0045 00000000 0x0 0x0 0xffffc3800000be00 0045 00000000 0x0 0x0 0xffffc3800000be80 0045 00000000 0x0 0x0 0xffffc3800000bf00 0045 00000000 0x0 0x0 0xffffc3800000bf80 0045 00000000 0x0 0x0 0xffffc3800000c000 0045 00000000 0x0 0x0 0xffffc3800000c080 0045 00000000 0x0 0x0 0xffffc3800000c100 0045 00000000 0x0 0x0 0xffffc3800000c180 0045 00000000 0x0 0x0 0xffffc3800000c200 0045 00000000 0x0 0x0 0xffffc3800000c280 0045 00000000 0x0 0x0 0xffffc3800000c300 0045 00000000 0x0 0x0 0xffffc3800000c380 0045 00000000 0x0 0x0 0xffffc3800000c400 0045 00000000 0x0 0x0 0xffffc3800000c480 0045 00000000 0x0 0x0 0xffffc3800000c500 0045 00000000 0x0 0x0 0xffffc3800000c580 0045 00000000 0x0 0x0 0xffffc3800000c600 0045 00000000 0x0 0x0 0xffffc3800000c680 0045 00000000 0x0 0x0 0xffffc3800000c700 0041 00000000 0x0 0x0 0xffffc3800000c780 0045 00000000 0x0 0x0 0xffffc3800000c800 0045 00000000 0x0 0x0 0xffffc3800000c880 0045 00000000 0x0 0x0 0xffffc3800000c900 0045 00000000 0x0 0x0 0xffffc3800000c980 0045 00000000 0x0 0x0 0xffffc3800000ca00 0045 00000000 0x0 0x0 0xffffc3800000ca80 0041 00000000 0x0 0x0 0xffffc3800000cb00 0041 00000000 0x0 0x0 0xffffc3800000cb80 0041 00000000 0x0 0x0 0xffffc3800000cc00 0045 00000000 0x0 0x0 0xffffc3800000cc80 0045 00000000 0x0 0x0 0xffffc3800000cd00 0041 00000000 0x0 0x0 0xffffc3800000cd80 0041 00000000 0x0 0x0 0xffffc3800000ce00 0041 00000000 0x0 0x0 0xffffc3800000ce80 0041 00000000 0x0 0x0 0xffffc3800000cf00 0041 00000000 0x0 0x0 0xffffc3800000cf80 0041 00000000 0x0 0x0 0xffffc3800000d000 0045 00000000 0x0 0x0 0xffffc3800000d080 0045 00000000 0x0 0x0 0xffffc3800000d100 0041 00000000 0x0 0x0 0xffffc3800000d180 0041 00000000 0x0 0x0 0xffffc3800000d200 0041 00000000 0x0 0x0 0xffffc3800000d280 0041 00000000 0x0 0x0 0xffffc3800000d300 0045 00000000 0x0 0x0 0xffffc3800000d380 0041 00000000 0x0 0x0 0xffffc3800000d400 0041 00000000 0x0 0x0 0xffffc3800000d480 0045 00000000 0x0 0x0 0xffffc3800000d500 0041 00000000 0x0 0x0 0xffffc3800000d580 0041 00000000 0x0 0x0 0xffffc3800000d600 0041 00000000 0x0 0x0 0xffffc3800000d680 0045 00000000 0x0 0x0 0xffffc3800000d700 0041 00000000 0x0 0x0 0xffffc3800000d780 0045 00000000 0x0 0x0 0xffffc3800000d800 0041 00000000 0x0 0x0 0xffffc3800000d880 0041 00000000 0x0 0x0 0xffffc3800000d900 0041 00000000 0x0 0x0 0xffffc3800000d980 0041 00000000 0x0 0x0 0xffffc3800000da00 0041 00000000 0x0 0x0 0xffffc3800000da80 0041 00000000 0x0 0x0 0xffffc3800000db00 0045 00000000 0x0 0x0 0xffffc3800000db80 0041 00000000 0x0 0x0 0xffffc3800000dc00 0041 00000000 0x0 0x0 0xffffc3800000dc80 0041 00000000 0x0 0x0 0xffffc3800000dd00 0041 00000000 0x0 0x0 0xffffc3800000dd80 0041 00000000 0x0 0x0 0xffffc3800000de00 0041 00000000 0x0 0x0 0xffffc3800000de80 0041 00000000 0x0 0x0 0xffffc3800000df00 0045 00000000 0x0 0x0 0xffffc3800000df80 0045 00000000 0x0 0x0 0xffffc3800000e000 0045 00000000 0x0 0x0 0xffffc3800000e080 0041 00000000 0x0 0x0 0xffffc3800000e100 0045 00000000 0x0 0x0 0xffffc3800000e180 0045 00000000 0x0 0x0 0xffffc3800000e200 0045 00000000 0x0 0x0 0xffffc3800000e280 0045 00000000 0x0 0x0 0xffffc3800000e300 0045 00000000 0x0 0x0 0xffffc3800000e380 0045 00000000 0x0 0x0 0xffffc3800000e400 0041 00000000 0x0 0x0 0xffffc3800000e480 0045 00000000 0x0 0x0 0xffffc3800000e500 0041 00000000 0x0 0x0 0xffffc3800000e580 0041 00000000 0x0 0x0 0xffffc3800000e600 0041 00000000 0x0 0x0 0xffffc3800000e680 0041 00000000 0x0 0x0 0xffffc3800000e700 0041 00000000 0x0 0x0 0xffffc3800000e780 0045 00000000 0x0 0x0 0xffffc3800000e800 0045 00000000 0x0 0x0 0xffffc3800000e880 0041 00000000 0x0 0x0 0xffffc3800000e900 0041 00000000 0x0 0x0 0xffffc3800000e980 0041 00000000 0x0 0x0 0xffffc3800000ea00 0041 00000000 0x0 0x0 0xffffc3800000ea80 0045 00000000 0x0 0x0 0xffffc3800000eb00 0041 00000000 0x0 0x0 0xffffc3800000eb80 0041 00000000 0x0 0x0 0xffffc3800000ec00 0045 00000000 0x0 0x0 0xffffc3800000ec80 0045 00000000 0x0 0x0 0xffffc3800000ed00 0045 00000000 0x0 0x0 0xffffc3800000ed80 0041 00000000 0x0 0x0 0xffffc3800000ee00 0041 00000000 0x0 0x0 0xffffc3800000ee80 0041 00000000 0x0 0x0 0xffffc3800000ef00 0041 00000000 0x0 0x0 0xffffc3800000ef80 0041 00000000 0x0 0x0 0xffffc3800000f000 0045 00000000 0x0 0x0 0xffffc3800000f080 0041 00000000 0x0 0x0 0xffffc3800000f100 0041 00000000 0x0 0x0 0xffffc3800000f180 0041 00000000 0x0 0x0 0xffffc3800000f200 0041 00000000 0x0 0x0 0xffffc3800000f280 0045 00000000 0x0 0x0 0xffffc3800000f300 0041 00000000 0x0 0x0 0xffffc3800000f380 0045 00000000 0x0 0x0 0xffffc3800000f400 0041 00000000 0x0 0x0 0xffffc3800000f480 0041 00000000 0x0 0x0 0xffffc3800000f500 0041 00000000 0x0 0x0 0xffffc3800000f580 0041 00000000 0x0 0x0 0xffffc3800000f600 0041 00000000 0x0 0x0 0xffffc3800000f680 0041 00000000 0x0 0x0 0xffffc3800000f700 0041 00000000 0x0 0x0 0xffffc3800000f780 0041 00000000 0x0 0x0 0xffffc3800000f800 0041 00000000 0x0 0x0 0xffffc3800000f880 0045 00000000 0x0 0x0 0xffffc3800000f900 0041 00000000 0x0 0x0 0xffffc3800000f980 0045 00000000 0x0 0x0 0xffffc3800000fa00 0045 00000000 0x0 0x0 0xffffc3800000fa80 0041 00000000 0x0 0x0 0xffffc3800000fb00 0041 00000000 0x0 0x0 0xffffc3800000fb80 0041 00000000 0x0 0x0 0xffffc3800000fc00 0045 00000000 0x0 0x0 0xffffc3800000fc80 0041 00000000 0x0 0x0 0xffffc3800000fd00 0045 00000000 0x0 0x0 0xffffc3800000fd80 0041 00000000 0x0 0x0 0xffffc3800000fe00 0041 00000000 0x0 0x0 0xffffc3800000fe80 0041 00000000 0x0 0x0 0xffffc3800000ff00 0041 00000000 0x0 0x0 0xffffc3800000ff80 0041 00000000 0x0 0x0 0xffffc38000010000 0041 00000000 0x0 0x0 0xffffc38000010080 0041 00000000 0x0 0x0 0xffffc38000010100 0041 00000000 0x0 0x0 0xffffc38000010180 0041 00000000 0x0 0x0 0xffffc38000010200 0041 00000000 0x0 0x0 0xffffc38000010280 0041 00000000 0x0 0x0 0xffffc38000010300 0041 00000000 0x0 0x0 0xffffc38000010380 0041 00000000 0x0 0x0 0xffffc38000010400 0045 00000000 0x0 0x0 0xffffc38000010480 0045 00000000 0x0 0x0 0xffffc38000010500 0045 00000000 0x0 0x0 0xffffc38000010580 0045 00000000 0x0 0x0 0xffffc38000010600 0045 00000000 0x0 0x0 0xffffc38000010680 0041 00000000 0x0 0x0 0xffffc38000010700 0045 00000000 0x0 0x0 0xffffc38000010780 0041 00000000 0x0 0x0 0xffffc38000010800 0041 00000000 0x0 0x0 0xffffc38000010880 0041 00000000 0x0 0x0 0xffffc38000010900 0041 00000000 0x0 0x0 0xffffc38000010980 0041 00000000 0x0 0x0 0xffffc38000010a00 0041 00000000 0x0 0x0 0xffffc38000010a80 0045 00000000 0x0 0x0 0xffffc38000010b00 0041 00000000 0x0 0x0 0xffffc38000010b80 0041 00000000 0x0 0x0 0xffffc38000010c00 0041 00000000 0x0 0x0 0xffffc38000010c80 0045 00000000 0x0 0x0 0xffffc38000010d00 0041 00000000 0x0 0x0 0xffffc38000010d80 0041 00000000 0x0 0x0 0xffffc38000010e00 0045 00000000 0x0 0x0 0xffffc38000010e80 0041 00000000 0x0 0x0 0xffffc38000010f00 0041 00000000 0x0 0x0 0xffffc38000010f80 0041 00000000 0x0 0x0 0xffffc38000011000 0041 00000000 0x0 0x0 0xffffc38000011080 0041 00000000 0x0 0x0 0xffffc38000011100 0041 00000000 0x0 0x0 0xffffc38000011180 0041 00000000 0x0 0x0 0xffffc38000011200 0041 00000000 0x0 0x0 0xffffc38000011280 0041 00000000 0x0 0x0 0xffffc38000011300 0041 00000000 0x0 0x0 0xffffc38000011380 0041 00000000 0x0 0x0 0xffffc38000011400 0041 00000000 0x0 0x0 0xffffc38000011480 0041 00000000 0x0 0x0 0xffffc38000011500 0041 00000000 0x0 0x0 0xffffc38000011580 0041 00000000 0x0 0x0 0xffffc38000011600 0041 00000000 0x0 0x0 0xffffc38000011680 0041 00000000 0x0 0x0 0xffffc38000011700 0041 00000000 0x0 0x0 0xffffc38000011780 0041 00000000 0x0 0x0 0xffffc38000011800 0041 00000000 0x0 0x0 0xffffc38000011880 0041 00000000 0x0 0x0 0xffffc38000011900 0041 00000000 0x0 0x0 0xffffc38000011980 0045 00000000 0x0 0x0 0xffffc38000011a00 0041 00000000 0x0 0x0 0xffffc38000011a80 0045 00000000 0x0 0x0 0xffffc38000011b00 0045 00000000 0x0 0x0 0xffffc38000011b80 0041 00000000 0x0 0x0 0xffffc38000011c00 0041 00000000 0x0 0x0 0xffffc38000011c80 0041 00000000 0x0 0x0 0xffffc38000011d00 0045 00000000 0x0 0x0 0xffffc38000011d80 0041 00000000 0x0 0x0 0xffffc38000011e00 0045 00000000 0x0 0x0 0xffffc38000011e80 0041 00000000 0x0 0x0 0xffffc38000011f00 0041 00000000 0x0 0x0 0xffffc38000011f80 0045 00000000 0x0 0x0 0xffffc38000012000 0041 00000000 0x0 0x0 0xffffc38000012080 0041 00000000 0x0 0x0 0xffffc38000012100 0041 00000000 0x0 0x0 0xffffc38000012180 0041 00000000 0x0 0x0 0xffffc38000012200 0041 00000000 0x0 0x0 0xffffc38000012280 0041 00000000 0x0 0x0 0xffffc38000012300 0041 00000000 0x0 0x0 0xffffc38000012380 0041 00000000 0x0 0x0 0xffffc38000012400 0041 00000000 0x0 0x0 0xffffc38000012480 0041 00000000 0x0 0x0 0xffffc38000012500 0041 00000000 0x0 0x0 0xffffc38000012580 0041 00000000 0x0 0x0 0xffffc38000012600 0041 00000000 0x0 0x0 0xffffc38000012680 0041 00000000 0x0 0x0 0xffffc38000012700 0041 00000000 0x0 0x0 0xffffc38000012780 0041 00000000 0x0 0x0 0xffffc38000012800 0041 00000000 0x0 0x0 0xffffc38000012880 0041 00000000 0x0 0x0 0xffffc38000012900 0041 00000000 0x0 0x0 0xffffc38000012980 0041 00000000 0x0 0x0 0xffffc38000012a00 0041 00000000 0x0 0x0 0xffffc38000012a80 0041 00000000 0x0 0x0 0xffffc38000012b00 0041 00000000 0x0 0x0 0xffffc38000012b80 0041 00000000 0x0 0x0 0xffffc38000012c00 0041 00000000 0x0 0x0 0xffffc38000012c80 0045 00000000 0x0 0x0 0xffffc38000012d00 0001 00000000 0x0 0x0 0xffffc38000012d80 0001 00000000 0x0 0x0 0xffffc38000012e00 0001 00000000 0x0 0x0 0xffffc38000012e80 0001 00000000 0x0 0x0 0xffffc38000012f00 0001 00000000 0x0 0x0 0xffffc38000012f80 0001 00000000 0x0 0x0 0xffffc38000013000 0001 00000000 0x0 0x0 0xffffc38000013080 0001 00000000 0x0 0x0 0xffffc38000013100 0001 00000000 0x0 0x0 0xffffc38000013180 0001 00000000 0x0 0x0 0xffffc38000013200 0001 00000000 0x0 0x0 0xffffc38000013280 0001 00000000 0x0 0x0 0xffffc38000013300 0001 00000000 0x0 0x0 0xffffc38000013380 0001 00000000 0x0 0x0 0xffffc38000013400 0001 00000000 0x0 0x0 0xffffc38000013480 0001 00000000 0x0 0x0 0xffffc38000013500 0001 00000000 0x0 0x0 0xffffc38000013580 0001 00000000 0x0 0x0 0xffffc38000013600 0001 00000000 0x0 0x0 0xffffc38000013680 0001 00000000 0x0 0x0 0xffffc38000013700 0001 00000000 0x0 0x0 0xffffc38000013780 0001 00000000 0x0 0x0 0xffffc38000013800 0001 00000000 0x0 0x0 0xffffc38000013880 0001 00000000 0x0 0x0 0xffffc38000013900 0001 00000000 0x0 0x0 0xffffc38000013980 0001 00000000 0x0 0x0 0xffffc38000013a00 0001 00000000 0x0 0x0 0xffffc38000013a80 0001 00000000 0x0 0x0 0xffffc38000013b00 0001 00000000 0x0 0x0 0xffffc38000013b80 0001 00000000 0x0 0x0 0xffffc38000013c00 0001 00000000 0x0 0x0 0xffffc38000013c80 0001 00000000 0x0 0x0 0xffffc38000013d00 0001 00000000 0x0 0x0 0xffffc38000013d80 0001 00000000 0x0 0x0 0xffffc38000013e00 0001 00000000 0x0 0x0 0xffffc38000013e80 0001 00000000 0x0 0x0 0xffffc38000013f00 0001 00000000 0x0 0x0 0xffffc38000013f80 0001 00000000 0x0 0x0 0xffffc38000014000 0001 00000000 0x0 0x0 0xffffc38000014080 0001 00000000 0x0 0x0 0xffffc38000014100 0001 00000000 0x0 0x0 0xffffc38000014180 0001 00000000 0x0 0x0 0xffffc38000014200 0001 00000000 0x0 0x0 0xffffc38000014280 0001 00000000 0x0 0x0 0xffffc38000014300 0001 00000000 0x0 0x0 0xffffc38000014380 0001 00000000 0x0 0x0 0xffffc38000014400 0001 00000000 0x0 0x0 0xffffc38000014480 0001 00000000 0x0 0x0 0xffffc38000014500 0001 00000000 0x0 0x0 0xffffc38000014580 0001 00000000 0x0 0x0 0xffffc38000014600 0001 00000000 0x0 0x0 0xffffc38000014680 0001 00000000 0x0 0x0 0xffffc38000014700 0001 00000000 0x0 0x0 0xffffc38000014780 0001 00000000 0x0 0x0 0xffffc38000014800 0041 00000000 0x0 0x0 0xffffc38000014880 0041 00000000 0x0 0x0 0xffffc38000014900 0041 00000000 0x0 0x0 0xffffc38000014980 0041 00000000 0x0 0x0 0xffffc38000014a00 0041 00000000 0x0 0x0 0xffffc38000014a80 0041 00000000 0x0 0x0 0xffffc38000014b00 0041 00000000 0x0 0x0 0xffffc38000014b80 0041 00000000 0x0 0x0 0xffffc38000014c00 0041 00000000 0x0 0x0 0xffffc38000014c80 0041 00000000 0x0 0x0 0xffffc38000014d00 0041 00000000 0x0 0x0 0xffffc38000014d80 0041 00000000 0x0 0x0 0xffffc38000014e00 0041 00000000 0x0 0x0 0xffffc38000014e80 0041 00000000 0x0 0x0 0xffffc38000014f00 0041 00000000 0x0 0x0 0xffffc38000014f80 0041 00000000 0x0 0x0 0xffffc38000015000 0041 00000000 0x0 0x0 0xffffc38000015080 0041 00000000 0x0 0x0 0xffffc38000015100 0041 00000000 0x0 0x0 0xffffc38000015180 0041 00000000 0x0 0x0 0xffffc38000015200 0041 00000000 0x0 0x0 0xffffc38000015280 0041 00000000 0x0 0x0 0xffffc38000015300 0041 00000000 0x0 0x0 0xffffc38000015380 0041 00000000 0x0 0x0 0xffffc38000015400 0041 00000000 0x0 0x0 0xffffc38000015480 0041 00000000 0x0 0x0 0xffffc38000015500 0041 00000000 0x0 0x0 0xffffc38000015580 0041 00000000 0x0 0x0 0xffffc38000015600 0041 00000000 0x0 0x0 0xffffc38000015680 0041 00000000 0x0 0x0 0xffffc38000015700 0041 00000000 0x0 0x0 0xffffc38000015780 0041 00000000 0x0 0x0 0xffffc38000015800 0041 00000000 0x0 0x0 0xffffc38000015880 0041 00000000 0x0 0x0 0xffffc38000015900 0041 00000000 0x0 0x0 0xffffc38000015980 0041 00000000 0x0 0x0 0xffffc38000015a00 0041 00000000 0x0 0x0 0xffffc38000015a80 0041 00000000 0x0 0x0 0xffffc38000015b00 0041 00000000 0x0 0x0 0xffffc38000015b80 0041 00000000 0x0 0x0 0xffffc38000015c00 0041 00000000 0x0 0x0 0xffffc38000015c80 0041 00000000 0x0 0x0 0xffffc38000015d00 0001 00000000 0x0 0x0 0xffffc38000015d80 0001 00000000 0x0 0x0 0xffffc38000015e00 0001 00000000 0x0 0x0 0xffffc38000015e80 0001 00000000 0x0 0x0 0xffffc38000015f00 0001 00000000 0x0 0x0 0xffffc38000015f80 0001 00000000 0x0 0x0 0xffffc38000016000 0001 00000000 0x0 0x0 0xffffc38000016080 0001 00000000 0x0 0x0 0xffffc38000016100 0001 00000000 0x0 0x0 0xffffc38000016180 0001 00000000 0x0 0x0 0xffffc38000016200 0001 00000000 0x0 0x0 0xffffc38000016280 0001 00000000 0x0 0x0 0xffffc38000016300 0001 00000000 0x0 0x0 0xffffc38000016380 0001 00000000 0x0 0x0 0xffffc38000016400 0001 00000000 0x0 0x0 0xffffc38000016480 0001 00000000 0x0 0x0 0xffffc38000016500 0001 00000000 0x0 0x0 0xffffc38000016580 0001 00000000 0x0 0x0 0xffffc38000016600 0001 00000000 0x0 0x0 0xffffc38000016680 0001 00000000 0x0 0x0 0xffffc38000016700 0001 00000000 0x0 0x0 0xffffc38000016780 0001 00000000 0x0 0x0 0xffffc38000016800 0001 00000000 0x0 0x0 0xffffc38000016880 0001 00000000 0x0 0x0 0xffffc38000016900 0001 00000000 0x0 0x0 0xffffc38000016980 0001 00000000 0x0 0x0 0xffffc38000016a00 0001 00000000 0x0 0x0 0xffffc38000016a80 0001 00000000 0x0 0x0 0xffffc38000016b00 0001 00000000 0x0 0x0 0xffffc38000016b80 0001 00000000 0x0 0x0 0xffffc38000016c00 0001 00000000 0x0 0x0 0xffffc38000016c80 0001 00000000 0x0 0x0 0xffffc38000016d00 0001 00000000 0x0 0x0 0xffffc38000016d80 0001 00000000 0x0 0x0 0xffffc38000016e00 0001 00000000 0x0 0x0 0xffffc38000016e80 0001 00000000 0x0 0x0 0xffffc38000016f00 0001 00000000 0x0 0x0 0xffffc38000016f80 0001 00000000 0x0 0x0 0xffffc38000017000 0001 00000000 0x0 0x0 0xffffc38000017080 0001 00000000 0x0 0x0 0xffffc38000017100 0001 00000000 0x0 0x0 0xffffc38000017180 0001 00000000 0x0 0x0 0xffffc38000017200 0001 00000000 0x0 0x0 0xffffc38000017280 0001 00000000 0x0 0x0 0xffffc38000017300 0001 00000000 0x0 0x0 0xffffc38000017380 0001 00000000 0x0 0x0 0xffffc38000017400 0001 00000000 0x0 0x0 0xffffc38000017480 0001 00000000 0x0 0x0 0xffffc38000017500 0001 00000000 0x0 0x0 0xffffc38000017580 0001 00000000 0x0 0x0 0xffffc38000017600 0001 00000000 0x0 0x0 0xffffc38000017680 0001 00000000 0x0 0x0 0xffffc38000017700 0001 00000000 0x0 0x0 0xffffc38000017780 0001 00000000 0x0 0x0 0xffffc38000017800 0041 00000000 0x0 0x0 0xffffc38000017880 0041 00000000 0x0 0x0 0xffffc38000017900 0041 00000000 0x0 0x0 0xffffc38000017980 0041 00000000 0x0 0x0 0xffffc38000017a00 0041 00000000 0x0 0x0 0xffffc38000017a80 0041 00000000 0x0 0x0 0xffffc38000017b00 0041 00000000 0x0 0x0 0xffffc38000017b80 0041 00000000 0x0 0x0 0xffffc38000017c00 0041 00000000 0x0 0x0 0xffffc38000017c80 0041 00000000 0x0 0x0 0xffffc38000017d00 0041 00000000 0x0 0x0 0xffffc38000017d80 0041 00000000 0x0 0x0 0xffffc38000017e00 0041 00000000 0x0 0x0 0xffffc38000017e80 0041 00000000 0x0 0x0 0xffffc38000017f00 0041 00000000 0x0 0x0 0xffffc38000017f80 0041 00000000 0x0 0x0 0xffffc38000018000 0041 00000000 0x0 0x0 0xffffc38000018080 0041 00000000 0x0 0x0 0xffffc38000018100 0041 00000000 0x0 0x0 0xffffc38000018180 0041 00000000 0x0 0x0 0xffffc38000018200 0041 00000000 0x0 0x0 0xffffc38000018280 0041 00000000 0x0 0x0 0xffffc38000018300 0041 00000000 0x0 0x0 0xffffc38000018380 0041 00000000 0x0 0x0 0xffffc38000018400 0041 00000000 0x0 0x0 0xffffc38000018480 0041 00000000 0x0 0x0 0xffffc38000018500 0041 00000000 0x0 0x0 0xffffc38000018580 0041 00000000 0x0 0x0 0xffffc38000018600 0041 00000000 0x0 0x0 0xffffc38000018680 0041 00000000 0x0 0x0 0xffffc38000018700 0041 00000000 0x0 0x0 0xffffc38000018780 0041 00000000 0x0 0x0 0xffffc38000018800 0041 00000000 0x0 0x0 0xffffc38000018880 0041 00000000 0x0 0x0 0xffffc38000018900 0041 00000000 0x0 0x0 0xffffc38000018980 0041 00000000 0x0 0x0 0xffffc38000018a00 0041 00000000 0x0 0x0 0xffffc38000018a80 0041 00000000 0x0 0x0 0xffffc38000018b00 0041 00000000 0x0 0x0 0xffffc38000018b80 0041 00000000 0x0 0x0 0xffffc38000018c00 0041 00000000 0x0 0x0 0xffffc38000018c80 0041 00000000 0x0 0x0 0xffffc38000018d00 0001 00000000 0x0 0x0 0xffffc38000018d80 0001 00000000 0x0 0x0 0xffffc38000018e00 0001 00000000 0x0 0x0 0xffffc38000018e80 0001 00000000 0x0 0x0 0xffffc38000018f00 0001 00000000 0x0 0x0 0xffffc38000018f80 0001 00000000 0x0 0x0 0xffffc38000019000 0001 00000000 0x0 0x0 0xffffc38000019080 0001 00000000 0x0 0x0 0xffffc38000019100 0001 00000000 0x0 0x0 0xffffc38000019180 0001 00000000 0x0 0x0 0xffffc38000019200 0001 00000000 0x0 0x0 0xffffc38000019280 0001 00000000 0x0 0x0 0xffffc38000019300 0001 00000000 0x0 0x0 0xffffc38000019380 0001 00000000 0x0 0x0 0xffffc38000019400 0001 00000000 0x0 0x0 0xffffc38000019480 0001 00000000 0x0 0x0 0xffffc38000019500 0001 00000000 0x0 0x0 0xffffc38000019580 0001 00000000 0x0 0x0 0xffffc38000019600 0001 00000000 0x0 0x0 0xffffc38000019680 0001 00000000 0x0 0x0 0xffffc38000019700 0001 00000000 0x0 0x0 0xffffc38000019780 0001 00000000 0x0 0x0 0xffffc38000019800 0001 00000000 0x0 0x0 0xffffc38000019880 0001 00000000 0x0 0x0 0xffffc38000019900 0001 00000000 0x0 0x0 0xffffc38000019980 0001 00000000 0x0 0x0 0xffffc38000019a00 0001 00000000 0x0 0x0 0xffffc38000019a80 0001 00000000 0x0 0x0 0xffffc38000019b00 0001 00000000 0x0 0x0 0xffffc38000019b80 0001 00000000 0x0 0x0 0xffffc38000019c00 0001 00000000 0x0 0x0 0xffffc38000019c80 0001 00000000 0x0 0x0 0xffffc38000019d00 0001 00000000 0x0 0x0 0xffffc38000019d80 0001 00000000 0x0 0x0 0xffffc38000019e00 0001 00000000 0x0 0x0 0xffffc38000019e80 0001 00000000 0x0 0x0 0xffffc38000019f00 0001 00000000 0x0 0x0 0xffffc38000019f80 0001 00000000 0x0 0x0 0xffffc3800001a000 0001 00000000 0x0 0x0 0xffffc3800001a080 0001 00000000 0x0 0x0 0xffffc3800001a100 0001 00000000 0x0 0x0 0xffffc3800001a180 0001 00000000 0x0 0x0 0xffffc3800001a200 0001 00000000 0x0 0x0 0xffffc3800001a280 0001 00000000 0x0 0x0 0xffffc3800001a300 0001 00000000 0x0 0x0 0xffffc3800001a380 0001 00000000 0x0 0x0 0xffffc3800001a400 0001 00000000 0x0 0x0 0xffffc3800001a480 0001 00000000 0x0 0x0 0xffffc3800001a500 0001 00000000 0x0 0x0 0xffffc3800001a580 0001 00000000 0x0 0x0 0xffffc3800001a600 0001 00000000 0x0 0x0 0xffffc3800001a680 0001 00000000 0x0 0x0 0xffffc3800001a700 0001 00000000 0x0 0x0 0xffffc3800001a780 0001 00000000 0x0 0x0 0xffffc3800001a800 0041 00000000 0x0 0x0 0xffffc3800001a880 0041 00000000 0x0 0x0 0xffffc3800001a900 0041 00000000 0x0 0x0 0xffffc3800001a980 0041 00000000 0x0 0x0 0xffffc3800001aa00 0041 00000000 0x0 0x0 0xffffc3800001aa80 0041 00000000 0x0 0x0 0xffffc3800001ab00 0041 00000000 0x0 0x0 0xffffc3800001ab80 0041 00000000 0x0 0x0 0xffffc3800001ac00 0041 00000000 0x0 0x0 0xffffc3800001ac80 0041 00000000 0x0 0x0 0xffffc3800001ad00 0041 00000000 0x0 0x0 0xffffc3800001ad80 0041 00000000 0x0 0x0 0xffffc3800001ae00 0041 00000000 0x0 0x0 0xffffc3800001ae80 0041 00000000 0x0 0x0 0xffffc3800001af00 0041 00000000 0x0 0x0 0xffffc3800001af80 0041 00000000 0x0 0x0 0xffffc3800001b000 0041 00000000 0x0 0x0 0xffffc3800001b080 0041 00000000 0x0 0x0 0xffffc3800001b100 0041 00000000 0x0 0x0 0xffffc3800001b180 0041 00000000 0x0 0x0 0xffffc3800001b200 0041 00000000 0x0 0x0 0xffffc3800001b280 0041 00000000 0x0 0x0 0xffffc3800001b300 0041 00000000 0x0 0x0 0xffffc3800001b380 0041 00000000 0x0 0x0 0xffffc3800001b400 0041 00000000 0x0 0x0 0xffffc3800001b480 0041 00000000 0x0 0x0 0xffffc3800001b500 0041 00000000 0x0 0x0 0xffffc3800001b580 0041 00000000 0x0 0x0 0xffffc3800001b600 0041 00000000 0x0 0x0 0xffffc3800001b680 0041 00000000 0x0 0x0 0xffffc3800001b700 0041 00000000 0x0 0x0 0xffffc3800001b780 0041 00000000 0x0 0x0 0xffffc3800001b800 0041 00000000 0x0 0x0 0xffffc3800001b880 0041 00000000 0x0 0x0 0xffffc3800001b900 0041 00000000 0x0 0x0 0xffffc3800001b980 0045 00000000 0x0 0x0 0xffffc3800001ba00 0041 00000000 0x0 0x0 0xffffc3800001ba80 0045 00000000 0x0 0x0 0xffffc3800001bb00 0045 00000000 0x0 0x0 0xffffc3800001bb80 0041 00000000 0x0 0x0 0xffffc3800001bc00 0045 00000000 0x0 0x0 0xffffc3800001bc80 0041 00000000 0x0 0x0 0xffffc3800001bd00 0001 00000000 0x0 0x0 0xffffc3800001bd80 0001 00000000 0x0 0x0 0xffffc3800001be00 0001 00000000 0x0 0x0 0xffffc3800001be80 0001 00000000 0x0 0x0 0xffffc3800001bf00 0001 00000000 0x0 0x0 0xffffc3800001bf80 0001 00000000 0x0 0x0 0xffffc3800001c000 0001 00000000 0x0 0x0 0xffffc3800001c080 0001 00000000 0x0 0x0 0xffffc3800001c100 0001 00000000 0x0 0x0 0xffffc3800001c180 0001 00000000 0x0 0x0 0xffffc3800001c200 0001 00000000 0x0 0x0 0xffffc3800001c280 0001 00000000 0x0 0x0 0xffffc3800001c300 0001 00000000 0x0 0x0 0xffffc3800001c380 0001 00000000 0x0 0x0 0xffffc3800001c400 0001 00000000 0x0 0x0 0xffffc3800001c480 0001 00000000 0x0 0x0 0xffffc3800001c500 0001 00000000 0x0 0x0 0xffffc3800001c580 0001 00000000 0x0 0x0 0xffffc3800001c600 0001 00000000 0x0 0x0 0xffffc3800001c680 0001 00000000 0x0 0x0 0xffffc3800001c700 0001 00000000 0x0 0x0 0xffffc3800001c780 0001 00000000 0x0 0x0 0xffffc3800001c800 0001 00000000 0x0 0x0 0xffffc3800001c880 0001 00000000 0x0 0x0 0xffffc3800001c900 0001 00000000 0x0 0x0 0xffffc3800001c980 0001 00000000 0x0 0x0 0xffffc3800001ca00 0001 00000000 0x0 0x0 0xffffc3800001ca80 0001 00000000 0x0 0x0 0xffffc3800001cb00 0001 00000000 0x0 0x0 0xffffc3800001cb80 0001 00000000 0x0 0x0 0xffffc3800001cc00 0001 00000000 0x0 0x0 0xffffc3800001cc80 0001 00000000 0x0 0x0 0xffffc3800001cd00 0001 00000000 0x0 0x0 0xffffc3800001cd80 0001 00000000 0x0 0x0 0xffffc3800001ce00 0001 00000000 0x0 0x0 0xffffc3800001ce80 0001 00000000 0x0 0x0 0xffffc3800001cf00 0001 00000000 0x0 0x0 0xffffc3800001cf80 0001 00000000 0x0 0x0 0xffffc3800001d000 0001 00000000 0x0 0x0 0xffffc3800001d080 0001 00000000 0x0 0x0 0xffffc3800001d100 0001 00000000 0x0 0x0 0xffffc3800001d180 0001 00000000 0x0 0x0 0xffffc3800001d200 0001 00000000 0x0 0x0 0xffffc3800001d280 0001 00000000 0x0 0x0 0xffffc3800001d300 0001 00000000 0x0 0x0 0xffffc3800001d380 0001 00000000 0x0 0x0 0xffffc3800001d400 0001 00000000 0x0 0x0 0xffffc3800001d480 0001 00000000 0x0 0x0 0xffffc3800001d500 0001 00000000 0x0 0x0 0xffffc3800001d580 0001 00000000 0x0 0x0 0xffffc3800001d600 0001 00000000 0x0 0x0 0xffffc3800001d680 0001 00000000 0x0 0x0 0xffffc3800001d700 0001 00000000 0x0 0x0 0xffffc3800001d780 0001 00000000 0x0 0x0 0xffffc3800001d800 0001 00000000 0x0 0x0 0xffffc3800001d880 0001 00000000 0x0 0x0 0xffffc3800001d900 0001 00000000 0x0 0x0 0xffffc3800001d980 0001 00000000 0x0 0x0 0xffffc3800001da00 0001 00000000 0x0 0x0 0xffffc3800001da80 0001 00000000 0x0 0x0 0xffffc3800001db00 0001 00000000 0x0 0x0 0xffffc3800001db80 0001 00000000 0x0 0x0 0xffffc3800001dc00 0001 00000000 0x0 0x0 0xffffc3800001dc80 0001 00000000 0x0 0x0 0xffffc3800001dd00 0001 00000000 0x0 0x0 0xffffc3800001dd80 0001 00000000 0x0 0x0 0xffffc3800001de00 0001 00000000 0x0 0x0 0xffffc3800001de80 0001 00000000 0x0 0x0 0xffffc3800001df00 0001 00000000 0x0 0x0 0xffffc3800001df80 0001 00000000 0x0 0x0 0xffffc3800001e000 0001 00000000 0x0 0x0 0xffffc3800001e080 0001 00000000 0x0 0x0 0xffffc3800001e100 0001 00000000 0x0 0x0 0xffffc3800001e180 0001 00000000 0x0 0x0 0xffffc3800001e200 0001 00000000 0x0 0x0 0xffffc3800001e280 0001 00000000 0x0 0x0 0xffffc3800001e300 0001 00000000 0x0 0x0 0xffffc3800001e380 0001 00000000 0x0 0x0 0xffffc3800001e400 0001 00000000 0x0 0x0 0xffffc3800001e480 0001 00000000 0x0 0x0 0xffffc3800001e500 0001 00000000 0x0 0x0 0xffffc3800001e580 0001 00000000 0x0 0x0 0xffffc3800001e600 0001 00000000 0x0 0x0 0xffffc3800001e680 0001 00000000 0x0 0x0 0xffffc3800001e700 0001 00000000 0x0 0x0 0xffffc3800001e780 0001 00000000 0x0 0x0 0xffffc3800001e800 0001 00000000 0x0 0x0 0xffffc3800001e880 0001 00000000 0x0 0x0 0xffffc3800001e900 0001 00000000 0x0 0x0 0xffffc3800001e980 0001 00000000 0x0 0x0 0xffffc3800001ea00 0001 00000000 0x0 0x0 0xffffc3800001ea80 0001 00000000 0x0 0x0 0xffffc3800001eb00 0001 00000000 0x0 0x0 0xffffc3800001eb80 0001 00000000 0x0 0x0 0xffffc3800001ec00 0001 00000000 0x0 0x0 0xffffc3800001ec80 0001 00000000 0x0 0x0 0xffffc3800001ed00 0001 00000000 0x0 0x0 0xffffc3800001ed80 0001 00000000 0x0 0x0 0xffffc3800001ee00 0001 00000000 0x0 0x0 0xffffc3800001ee80 0001 00000000 0x0 0x0 0xffffc3800001ef00 0001 00000000 0x0 0x0 0xffffc3800001ef80 0001 00000000 0x0 0x0 0xffffc3800001f000 0001 00000000 0x0 0x0 0xffffc3800001f080 0001 00000000 0x0 0x0 0xffffc3800001f100 0001 00000000 0x0 0x0 0xffffc3800001f180 0001 00000000 0x0 0x0 0xffffc3800001f200 0001 00000000 0x0 0x0 0xffffc3800001f280 0001 00000000 0x0 0x0 0xffffc3800001f300 0001 00000000 0x0 0x0 0xffffc3800001f380 0001 00000000 0x0 0x0 0xffffc3800001f400 0001 00000000 0x0 0x0 0xffffc3800001f480 0001 00000000 0x0 0x0 0xffffc3800001f500 0001 00000000 0x0 0x0 0xffffc3800001f580 0001 00000000 0x0 0x0 0xffffc3800001f600 0001 00000000 0x0 0x0 0xffffc3800001f680 0001 00000000 0x0 0x0 0xffffc3800001f700 0001 00000000 0x0 0x0 0xffffc3800001f780 0001 00000000 0x0 0x0 0xffffc3800001f800 0001 00000000 0x0 0x0 0xffffc3800001f880 0001 00000000 0x0 0x0 0xffffc3800001f900 0001 00000000 0x0 0x0 0xffffc3800001f980 0001 00000000 0x0 0x0 0xffffc3800001fa00 0001 00000000 0x0 0x0 0xffffc3800001fa80 0001 00000000 0x0 0x0 0xffffc3800001fb00 0001 00000000 0x0 0x0 0xffffc3800001fb80 0001 00000000 0x0 0x0 0xffffc3800001fc00 0001 00000000 0x0 0x0 0xffffc3800001fc80 0001 00000000 0x0 0x0 0xffffc3800001fd00 0001 00000000 0x0 0x0 0xffffc3800001fd80 0001 00000000 0x0 0x0 0xffffc3800001fe00 0001 00000000 0x0 0x0 0xffffc3800001fe80 0001 00000000 0x0 0x0 0xffffc3800001ff00 0001 00000000 0x0 0x0 0xffffc3800001ff80 0001 00000000 0x0 0x0 0xffffc38000020000 0001 00000000 0x0 0x0 0xffffc38000020080 0001 00000000 0x0 0x0 0xffffc38000020100 0001 00000000 0x0 0x0 0xffffc38000020180 0001 00000000 0x0 0x0 0xffffc38000020200 0001 00000000 0x0 0x0 0xffffc38000020280 0001 00000000 0x0 0x0 0xffffc38000020300 0001 00000000 0x0 0x0 0xffffc38000020380 0001 00000000 0x0 0x0 0xffffc38000020400 0001 00000000 0x0 0x0 0xffffc38000020480 0001 00000000 0x0 0x0 0xffffc38000020500 0001 00000000 0x0 0x0 0xffffc38000020580 0001 00000000 0x0 0x0 0xffffc38000020600 0001 00000000 0x0 0x0 0xffffc38000020680 0001 00000000 0x0 0x0 0xffffc38000020700 0001 00000000 0x0 0x0 0xffffc38000020780 0001 00000000 0x0 0x0 0xffffc38000020800 0001 00000000 0x0 0x0 0xffffc38000020880 0001 00000000 0x0 0x0 0xffffc38000020900 0001 00000000 0x0 0x0 0xffffc38000020980 0001 00000000 0x0 0x0 0xffffc38000020a00 0001 00000000 0x0 0x0 0xffffc38000020a80 0001 00000000 0x0 0x0 0xffffc38000020b00 0001 00000000 0x0 0x0 0xffffc38000020b80 0001 00000000 0x0 0x0 0xffffc38000020c00 0001 00000000 0x0 0x0 0xffffc38000020c80 0001 00000000 0x0 0x0 0xffffc38000020d00 0001 00000000 0x0 0x0 0xffffc38000020d80 0001 00000000 0x0 0x0 0xffffc38000020e00 0001 00000000 0x0 0x0 0xffffc38000020e80 0001 00000000 0x0 0x0 0xffffc38000020f00 0001 00000000 0x0 0x0 0xffffc38000020f80 0001 00000000 0x0 0x0 0xffffc38000021000 0001 00000000 0x0 0x0 0xffffc38000021080 0001 00000000 0x0 0x0 0xffffc38000021100 0001 00000000 0x0 0x0 0xffffc38000021180 0001 00000000 0x0 0x0 0xffffc38000021200 0001 00000000 0x0 0x0 0xffffc38000021280 0001 00000000 0x0 0x0 0xffffc38000021300 0001 00000000 0x0 0x0 0xffffc38000021380 0001 00000000 0x0 0x0 0xffffc38000021400 0001 00000000 0x0 0x0 0xffffc38000021480 0001 00000000 0x0 0x0 0xffffc38000021500 0001 00000000 0x0 0x0 0xffffc38000021580 0001 00000000 0x0 0x0 0xffffc38000021600 0001 00000000 0x0 0x0 0xffffc38000021680 0001 00000000 0x0 0x0 0xffffc38000021700 0001 00000000 0x0 0x0 0xffffc38000021780 0001 00000000 0x0 0x0 0xffffc38000021800 0001 00000000 0x0 0x0 0xffffc38000021880 0001 00000000 0x0 0x0 0xffffc38000021900 0001 00000000 0x0 0x0 0xffffc38000021980 0001 00000000 0x0 0x0 0xffffc38000021a00 0001 00000000 0x0 0x0 0xffffc38000021a80 0001 00000000 0x0 0x0 0xffffc38000021b00 0001 00000000 0x0 0x0 0xffffc38000021b80 0001 00000000 0x0 0x0 0xffffc38000021c00 0001 00000000 0x0 0x0 0xffffc38000021c80 0001 00000000 0x0 0x0 0xffffc38000021d00 0001 00000000 0x0 0x0 0xffffc38000021d80 0001 00000000 0x0 0x0 0xffffc38000021e00 0001 00000000 0x0 0x0 0xffffc38000021e80 0001 00000000 0x0 0x0 0xffffc38000021f00 0001 00000000 0x0 0x0 0xffffc38000021f80 0001 00000000 0x0 0x0 0xffffc38000022000 0001 00000000 0x0 0x0 0xffffc38000022080 0001 00000000 0x0 0x0 0xffffc38000022100 0001 00000000 0x0 0x0 0xffffc38000022180 0001 00000000 0x0 0x0 0xffffc38000022200 0001 00000000 0x0 0x0 0xffffc38000022280 0001 00000000 0x0 0x0 0xffffc38000022300 0001 00000000 0x0 0x0 0xffffc38000022380 0001 00000000 0x0 0x0 0xffffc38000022400 0001 00000000 0x0 0x0 0xffffc38000022480 0001 00000000 0x0 0x0 0xffffc38000022500 0001 00000000 0x0 0x0 0xffffc38000022580 0001 00000000 0x0 0x0 0xffffc38000022600 0001 00000000 0x0 0x0 0xffffc38000022680 0001 00000000 0x0 0x0 0xffffc38000022700 0001 00000000 0x0 0x0 0xffffc38000022780 0001 00000000 0x0 0x0 0xffffc38000022800 0001 00000000 0x0 0x0 0xffffc38000022880 0001 00000000 0x0 0x0 0xffffc38000022900 0001 00000000 0x0 0x0 0xffffc38000022980 0001 00000000 0x0 0x0 0xffffc38000022a00 0001 00000000 0x0 0x0 0xffffc38000022a80 0001 00000000 0x0 0x0 0xffffc38000022b00 0001 00000000 0x0 0x0 0xffffc38000022b80 0001 00000000 0x0 0x0 0xffffc38000022c00 0001 00000000 0x0 0x0 0xffffc38000022c80 0001 00000000 0x0 0x0 0xffffc38000022d00 0001 00000000 0x0 0x0 0xffffc38000022d80 0001 00000000 0x0 0x0 0xffffc38000022e00 0001 00000000 0x0 0x0 0xffffc38000022e80 0001 00000000 0x0 0x0 0xffffc38000022f00 0001 00000000 0x0 0x0 0xffffc38000022f80 0001 00000000 0x0 0x0 0xffffc38000023000 0001 00000000 0x0 0x0 0xffffc38000023080 0001 00000000 0x0 0x0 0xffffc38000023100 0001 00000000 0x0 0x0 0xffffc38000023180 0001 00000000 0x0 0x0 0xffffc38000023200 0001 00000000 0x0 0x0 0xffffc38000023280 0001 00000000 0x0 0x0 0xffffc38000023300 0001 00000000 0x0 0x0 0xffffc38000023380 0001 00000000 0x0 0x0 0xffffc38000023400 0001 00000000 0x0 0x0 0xffffc38000023480 0001 00000000 0x0 0x0 0xffffc38000023500 0001 00000000 0x0 0x0 0xffffc38000023580 0001 00000000 0x0 0x0 0xffffc38000023600 0001 00000000 0x0 0x0 0xffffc38000023680 0001 00000000 0x0 0x0 0xffffc38000023700 0001 00000000 0x0 0x0 0xffffc38000023780 0001 00000000 0x0 0x0 0xffffc38000023800 0001 00000000 0x0 0x0 0xffffc38000023880 0001 00000000 0x0 0x0 0xffffc38000023900 0001 00000000 0x0 0x0 0xffffc38000023980 0001 00000000 0x0 0x0 0xffffc38000023a00 0001 00000000 0x0 0x0 0xffffc38000023a80 0001 00000000 0x0 0x0 0xffffc38000023b00 0001 00000000 0x0 0x0 0xffffc38000023b80 0001 00000000 0x0 0x0 0xffffc38000023c00 0001 00000000 0x0 0x0 0xffffc38000023c80 0001 00000000 0x0 0x0 0xffffc38000023d00 0001 00000000 0x0 0x0 0xffffc38000023d80 0001 00000000 0x0 0x0 0xffffc38000023e00 0001 00000000 0x0 0x0 0xffffc38000023e80 0001 00000000 0x0 0x0 0xffffc38000023f00 0001 00000000 0x0 0x0 0xffffc38000023f80 0001 00000000 0x0 0x0 0xffffc38000024000 0001 00000000 0x0 0x0 0xffffc38000024080 0001 00000000 0x0 0x0 0xffffc38000024100 0001 00000000 0x0 0x0 0xffffc38000024180 0001 00000000 0x0 0x0 0xffffc38000024200 0001 00000000 0x0 0x0 0xffffc38000024280 0001 00000000 0x0 0x0 0xffffc38000024300 0001 00000000 0x0 0x0 0xffffc38000024380 0001 00000000 0x0 0x0 0xffffc38000024400 0001 00000000 0x0 0x0 0xffffc38000024480 0001 00000000 0x0 0x0 0xffffc38000024500 0001 00000000 0x0 0x0 0xffffc38000024580 0001 00000000 0x0 0x0 0xffffc38000024600 0001 00000000 0x0 0x0 0xffffc38000024680 0001 00000000 0x0 0x0 0xffffc38000024700 0001 00000000 0x0 0x0 0xffffc38000024780 0001 00000000 0x0 0x0 0xffffc38000024800 0001 00000000 0x0 0x0 0xffffc38000024880 0001 00000000 0x0 0x0 0xffffc38000024900 0001 00000000 0x0 0x0 0xffffc38000024980 0001 00000000 0x0 0x0 0xffffc38000024a00 0001 00000000 0x0 0x0 0xffffc38000024a80 0001 00000000 0x0 0x0 0xffffc38000024b00 0001 00000000 0x0 0x0 0xffffc38000024b80 0001 00000000 0x0 0x0 0xffffc38000024c00 0001 00000000 0x0 0x0 0xffffc38000024c80 0001 00000000 0x0 0x0 0xffffc38000024d00 0001 00000000 0x0 0x0 0xffffc38000024d80 0001 00000000 0x0 0x0 0xffffc38000024e00 0001 00000000 0x0 0x0 0xffffc38000024e80 0001 00000000 0x0 0x0 0xffffc38000024f00 0001 00000000 0x0 0x0 0xffffc38000024f80 0001 00000000 0x0 0x0 0xffffc38000025000 0001 00000000 0x0 0x0 0xffffc38000025080 0001 00000000 0x0 0x0 0xffffc38000025100 0001 00000000 0x0 0x0 0xffffc38000025180 0001 00000000 0x0 0x0 0xffffc38000025200 0001 00000000 0x0 0x0 0xffffc38000025280 0001 00000000 0x0 0x0 0xffffc38000025300 0001 00000000 0x0 0x0 0xffffc38000025380 0001 00000000 0x0 0x0 0xffffc38000025400 0001 00000000 0x0 0x0 0xffffc38000025480 0001 00000000 0x0 0x0 0xffffc38000025500 0001 00000000 0x0 0x0 0xffffc38000025580 0001 00000000 0x0 0x0 0xffffc38000025600 0001 00000000 0x0 0x0 0xffffc38000025680 0001 00000000 0x0 0x0 0xffffc38000025700 0001 00000000 0x0 0x0 0xffffc38000025780 0001 00000000 0x0 0x0 0xffffc38000025800 0001 00000000 0x0 0x0 0xffffc38000025880 0001 00000000 0x0 0x0 0xffffc38000025900 0001 00000000 0x0 0x0 0xffffc38000025980 0001 00000000 0x0 0x0 0xffffc38000025a00 0001 00000000 0x0 0x0 0xffffc38000025a80 0001 00000000 0x0 0x0 0xffffc38000025b00 0001 00000000 0x0 0x0 0xffffc38000025b80 0001 00000000 0x0 0x0 0xffffc38000025c00 0001 00000000 0x0 0x0 0xffffc38000025c80 0001 00000000 0x0 0x0 0xffffc38000025d00 0001 00000000 0x0 0x0 0xffffc38000025d80 0001 00000000 0x0 0x0 0xffffc38000025e00 0001 00000000 0x0 0x0 0xffffc38000025e80 0001 00000000 0x0 0x0 0xffffc38000025f00 0001 00000000 0x0 0x0 0xffffc38000025f80 0001 00000000 0x0 0x0 0xffffc38000026000 0001 00000000 0x0 0x0 0xffffc38000026080 0001 00000000 0x0 0x0 0xffffc38000026100 0001 00000000 0x0 0x0 0xffffc38000026180 0001 00000000 0x0 0x0 0xffffc38000026200 0001 00000000 0x0 0x0 0xffffc38000026280 0001 00000000 0x0 0x0 0xffffc38000026300 0001 00000000 0x0 0x0 0xffffc38000026380 0001 00000000 0x0 0x0 0xffffc38000026400 0001 00000000 0x0 0x0 0xffffc38000026480 0001 00000000 0x0 0x0 0xffffc38000026500 0001 00000000 0x0 0x0 0xffffc38000026580 0001 00000000 0x0 0x0 0xffffc38000026600 0001 00000000 0x0 0x0 0xffffc38000026680 0001 00000000 0x0 0x0 0xffffc38000026700 0001 00000000 0x0 0x0 0xffffc38000026780 0001 00000000 0x0 0x0 0xffffc38000026800 0001 00000000 0x0 0x0 0xffffc38000026880 0001 00000000 0x0 0x0 0xffffc38000026900 0001 00000000 0x0 0x0 0xffffc38000026980 0001 00000000 0x0 0x0 0xffffc38000026a00 0001 00000000 0x0 0x0 0xffffc38000026a80 0001 00000000 0x0 0x0 0xffffc38000026b00 0001 00000000 0x0 0x0 0xffffc38000026b80 0001 00000000 0x0 0x0 0xffffc38000026c00 0001 00000000 0x0 0x0 0xffffc38000026c80 0001 00000000 0x0 0x0 0xffffc38000026d00 0001 00000000 0x0 0x0 0xffffc38000026d80 0001 00000000 0x0 0x0 0xffffc38000026e00 0001 00000000 0x0 0x0 0xffffc38000026e80 0001 00000000 0x0 0x0 0xffffc38000026f00 0001 00000000 0x0 0x0 0xffffc38000026f80 0001 00000000 0x0 0x0 0xffffc38000027000 0001 00000000 0x0 0x0 0xffffc38000027080 0001 00000000 0x0 0x0 0xffffc38000027100 0001 00000000 0x0 0x0 0xffffc38000027180 0001 00000000 0x0 0x0 0xffffc38000027200 0001 00000000 0x0 0x0 0xffffc38000027280 0001 00000000 0x0 0x0 0xffffc38000027300 0001 00000000 0x0 0x0 0xffffc38000027380 0001 00000000 0x0 0x0 0xffffc38000027400 0001 00000000 0x0 0x0 0xffffc38000027480 0001 00000000 0x0 0x0 0xffffc38000027500 0045 00000000 0x0 0x0 0xffffc38000027580 0045 00000000 0x0 0x0 0xffffc38000027600 0045 00000000 0x0 0x0 0xffffc38000027680 0045 00000000 0x0 0x0 0xffffc38000027700 0045 00000000 0x0 0x0 0xffffc38000027780 0045 00000000 0x0 0x0 0xffffc38000027800 0045 00000000 0x0 0x0 0xffffc38000027880 0041 00000000 0x0 0x0 0xffffc38000027900 0045 00000000 0x0 0x0 0xffffc38000027980 0045 00000000 0x0 0x0 0xffffc38000027a00 0045 00000000 0x0 0x0 0xffffc38000027a80 0045 00000000 0x0 0x0 0xffffc38000027b00 0045 00000000 0x0 0x0 0xffffc38000027b80 0045 00000000 0x0 0x0 0xffffc38000027c00 0045 00000000 0x0 0x0 0xffffc38000027c80 0045 00000000 0x0 0x0 0xffffc38000027d00 0045 00000000 0x0 0x0 0xffffc38000027d80 0045 00000000 0x0 0x0 0xffffc38000027e00 0045 00000000 0x0 0x0 0xffffc38000027e80 0045 00000000 0x0 0x0 0xffffc38000027f00 0045 00000000 0x0 0x0 0xffffc38000027f80 0045 00000000 0x0 0x0 0xffffc38000028000 0045 00000000 0x0 0x0 0xffffc38000028080 0045 00000000 0x0 0x0 0xffffc38000028100 0045 00000000 0x0 0x0 0xffffc38000028180 0045 00000000 0x0 0x0 0xffffc38000028200 0045 00000000 0x0 0x0 0xffffc38000028280 0045 00000000 0x0 0x0 0xffffc38000028300 0045 00000000 0x0 0x0 0xffffc38000028380 0045 00000000 0x0 0x0 0xffffc38000028400 0045 00000000 0x0 0x0 0xffffc38000028480 0045 00000000 0x0 0x0 0xffffc38000028500 0045 00000000 0x0 0x0 0xffffc38000028580 0045 00000000 0x0 0x0 0xffffc38000028600 0045 00000000 0x0 0x0 0xffffc38000028680 0045 00000000 0x0 0x0 0xffffc38000028700 0045 00000000 0x0 0x0 0xffffc38000028780 0045 00000000 0x0 0x0 0xffffc38000028800 0045 00000000 0x0 0x0 0xffffc38000028880 0045 00000000 0x0 0x0 0xffffc38000028900 0045 00000000 0x0 0x0 0xffffc38000028980 0045 00000000 0x0 0x0 0xffffc38000028a00 0045 00000000 0x0 0x0 0xffffc38000028a80 0045 00000000 0x0 0x0 0xffffc38000028b00 0045 00000000 0x0 0x0 0xffffc38000028b80 0045 00000000 0x0 0x0 0xffffc38000028c00 0045 00000000 0x0 0x0 0xffffc38000028c80 0045 00000000 0x0 0x0 0xffffc38000028d00 0045 00000000 0x0 0x0 0xffffc38000028d80 0045 00000000 0x0 0x0 0xffffc38000028e00 0045 00000000 0x0 0x0 0xffffc38000028e80 0045 00000000 0x0 0x0 0xffffc38000028f00 0045 00000000 0x0 0x0