[ 73.3076669] panic: UBSan: Undefined Behavior in /syzkaller/managers/netbsd-kubsan/kernel/sys/dev/midisyn.c:547:47, left shift of negative value -16384 [ 73.3076669] cpu0: Begin traceback... [ 73.3076669] vpanic() at netbsd:vpanic+0x2cd syzkaller/managers/netbsd-kubsan/kernel/sys/kern/subr_prf.c:290 [ 73.3076669] isAlreadyReported() at netbsd:isAlreadyReported [ 73.3076669] HandleShiftOutOfBounds() at netbsd:HandleShiftOutOfBounds+0x1ee syzkaller/managers/netbsd-kubsan/kernel/sys/../common/lib/libc/misc/ubsan.c:499 [ 73.3076669] midisyn_notify.cold() at netbsd:midisyn_notify.cold+0x19 [ 73.3076669] midisyn_channelmsg() at netbsd:midisyn_channelmsg+0x1e4 syzkaller/managers/netbsd-kubsan/kernel/sys/dev/midisyn.c:432 [ 73.3076669] midi_msg_out() at netbsd:midi_msg_out+0x2e0 syzkaller/managers/netbsd-kubsan/kernel/sys/dev/midi.c:1122 [ 73.3076669] real_writebytes() at netbsd:real_writebytes+0xeb3 midi_poll_out syzkaller/managers/netbsd-kubsan/kernel/sys/dev/midi.c:1199 [inline] [ 73.3076669] real_writebytes() at netbsd:real_writebytes+0xeb3 midi_start_output syzkaller/managers/netbsd-kubsan/kernel/sys/dev/midi.c:1326 [inline] [ 73.3076669] real_writebytes() at netbsd:real_writebytes+0xeb3 real_writebytes syzkaller/managers/netbsd-kubsan/kernel/sys/dev/midi.c:1433 [inline] [ 73.3076669] real_writebytes() at netbsd:real_writebytes+0xeb3 syzkaller/managers/netbsd-kubsan/kernel/sys/dev/midi.c:1330 [ 73.3076669] midi_writebytes() at netbsd:midi_writebytes+0xc4 syzkaller/managers/netbsd-kubsan/kernel/sys/dev/midi.c:1593 [ 73.3076669] seq_do_command() at netbsd:seq_do_command+0x1da midiseq_out syzkaller/managers/netbsd-kubsan/kernel/sys/dev/sequencer.c:1496 [inline] [ 73.3076669] seq_do_command() at netbsd:seq_do_command+0x1da syzkaller/managers/netbsd-kubsan/kernel/sys/dev/sequencer.c:1055 [ 73.3076669] seq_startoutput() at netbsd:seq_startoutput+0x202 syzkaller/managers/netbsd-kubsan/kernel/sys/dev/sequencer.c:448 [ 73.3076669] sequencerwrite() at netbsd:sequencerwrite+0x591 syzkaller/managers/netbsd-kubsan/kernel/sys/dev/sequencer.c:687 [ 73.3076669] cdev_write() at netbsd:cdev_write+0xfb syzkaller/managers/netbsd-kubsan/kernel/sys/kern/subr_devsw.c:919 [ 73.3076669] spec_write() at netbsd:spec_write+0x267 syzkaller/managers/netbsd-kubsan/kernel/sys/miscfs/specfs/spec_vnops.c:809 [ 73.3076669] VOP_WRITE() at netbsd:VOP_WRITE+0x138 syzkaller/managers/netbsd-kubsan/kernel/sys/kern/vnode_if.c:540 [ 73.3076669] vn_write() at netbsd:vn_write+0x308 syzkaller/managers/netbsd-kubsan/kernel/sys/kern/vfs_vnops.c:612 [ 73.3076669] do_filewritev.part.0() at netbsd:do_filewritev.part.0+0x500 [ 73.3076669] do_filewritev() at netbsd:do_filewritev+0x53 syzkaller/managers/netbsd-kubsan/kernel/sys/kern/sys_generic.c:397 [ 73.3076669] sys_pwritev() at netbsd:sys_pwritev+0x54 syzkaller/managers/netbsd-kubsan/kernel/sys/kern/vfs_syscalls.c:3038 [ 73.3076669] sys___syscall() at netbsd:sys___syscall+0x1cf sy_call syzkaller/managers/netbsd-kubsan/kernel/sys/sys/syscallvar.h:66 [inline] [ 73.3076669] sys___syscall() at netbsd:sys___syscall+0x1cf syzkaller/managers/netbsd-kubsan/kernel/sys/kern/sys_syscall.c:77 [ 73.3076669] syscall() at netbsd:syscall+0x2d5 sy_call syzkaller/managers/netbsd-kubsan/kernel/sys/sys/syscallvar.h:65 [inline] [ 73.3076669] syscall() at netbsd:syscall+0x2d5 sy_invoke syzkaller/managers/netbsd-kubsan/kernel/sys/sys/syscallvar.h:94 [inline] [ 73.3076669] syscall() at netbsd:syscall+0x2d5 syzkaller/managers/netbsd-kubsan/kernel/sys/arch/x86/x86/syscall.c:138 [ 73.3076669] --- syscall (number 198) --- [ 73.3076669] netbsd:syscall+0x2d5: [ 73.3076669] cpu0: End traceback... [ 73.3076669] fatal breakpoint trap in supervisor mode [ 73.3076669] trap type 1 code 0 rip 0xffffffff80221a95 cs 0x8 rflags 0x246 cr2 0x751b2c027000 ilevel 0x8 rsp 0xffffb400d38a10b0 [ 73.3076669] curlwp 0xffff9674ca59e740 pid 3810.3513 lowest kstack 0xffffb400d389d2c0 Stopped in pid 3810.3513 (syz-executor.1) at netbsd:breakpoint+0x5: leave ? breakpoint() at netbsd:breakpoint+0x5 db_panic() at netbsd:db_panic+0xec syzkaller/managers/netbsd-kubsan/kernel/sys/ddb/db_panic.c:67 vpanic() at netbsd:vpanic+0x2cd syzkaller/managers/netbsd-kubsan/kernel/sys/kern/subr_prf.c:290 isAlreadyReported() at netbsd:isAlreadyReported HandleShiftOutOfBounds() at netbsd:HandleShiftOutOfBounds+0x1ee syzkaller/managers/netbsd-kubsan/kernel/sys/../common/lib/libc/misc/ubsan.c:499 midisyn_notify.cold() at netbsd:midisyn_notify.cold+0x19 midisyn_channelmsg() at netbsd:midisyn_channelmsg+0x1e4 syzkaller/managers/netbsd-kubsan/kernel/sys/dev/midisyn.c:432 midi_msg_out() at netbsd:midi_msg_out+0x2e0 syzkaller/managers/netbsd-kubsan/kernel/sys/dev/midi.c:1122 real_writebytes() at netbsd:real_writebytes+0xeb3 midi_poll_out syzkaller/managers/netbsd-kubsan/kernel/sys/dev/midi.c:1199 [inline] real_writebytes() at netbsd:real_writebytes+0xeb3 midi_start_output syzkaller/managers/netbsd-kubsan/kernel/sys/dev/midi.c:1326 [inline] real_writebytes() at netbsd:real_writebytes+0xeb3 real_writebytes syzkaller/managers/netbsd-kubsan/kernel/sys/dev/midi.c:1433 [inline] real_writebytes() at netbsd:real_writebytes+0xeb3 syzkaller/managers/netbsd-kubsan/kernel/sys/dev/midi.c:1330 midi_writebytes() at netbsd:midi_writebytes+0xc4 syzkaller/managers/netbsd-kubsan/kernel/sys/dev/midi.c:1593 seq_do_command() at netbsd:seq_do_command+0x1da midiseq_out syzkaller/managers/netbsd-kubsan/kernel/sys/dev/sequencer.c:1496 [inline] seq_do_command() at netbsd:seq_do_command+0x1da syzkaller/managers/netbsd-kubsan/kernel/sys/dev/sequencer.c:1055 seq_startoutput() at netbsd:seq_startoutput+0x202 syzkaller/managers/netbsd-kubsan/kernel/sys/dev/sequencer.c:448 sequencerwrite() at netbsd:sequencerwrite+0x591 syzkaller/managers/netbsd-kubsan/kernel/sys/dev/sequencer.c:687 cdev_write() at netbsd:cdev_write+0xfb syzkaller/managers/netbsd-kubsan/kernel/sys/kern/subr_devsw.c:919 spec_write() at netbsd:spec_write+0x267 syzkaller/managers/netbsd-kubsan/kernel/sys/miscfs/specfs/spec_vnops.c:809 VOP_WRITE() at netbsd:VOP_WRITE+0x138 syzkaller/managers/netbsd-kubsan/kernel/sys/kern/vnode_if.c:540 vn_write() at netbsd:vn_write+0x308 syzkaller/managers/netbsd-kubsan/kernel/sys/kern/vfs_vnops.c:612 do_filewritev.part.0() at netbsd:do_filewritev.part.0+0x500 do_filewritev() at netbsd:do_filewritev+0x53 syzkaller/managers/netbsd-kubsan/kernel/sys/kern/sys_generic.c:397 sys_pwritev() at netbsd:sys_pwritev+0x54 syzkaller/managers/netbsd-kubsan/kernel/sys/kern/vfs_syscalls.c:3038 sys___syscall() at netbsd:sys___syscall+0x1cf sy_call syzkaller/managers/netbsd-kubsan/kernel/sys/sys/syscallvar.h:66 [inline] sys___syscall() at netbsd:sys___syscall+0x1cf syzkaller/managers/netbsd-kubsan/kernel/sys/kern/sys_syscall.c:77 syscall() at netbsd:syscall+0x2d5 sy_call syzkaller/managers/netbsd-kubsan/kernel/sys/sys/syscallvar.h:65 [inline] syscall() at netbsd:syscall+0x2d5 sy_invoke syzkaller/managers/netbsd-kubsan/kernel/sys/sys/syscallvar.h:94 [inline] syscall() at netbsd:syscall+0x2d5 syzkaller/managers/netbsd-kubsan/kernel/sys/arch/x86/x86/syscall.c:138 --- syscall (number 198) --- netbsd:syscall+0x2d5: Panic string: UBSan: Undefined Behavior in /syzkaller/managers/netbsd-kubsan/kernel/sys/dev/midisyn.c:547:47, left shift of negative value -16384 PID LID S CPU FLAGS STRUCT LWP * NAME WAIT 3810 3616 2 0 100000 ffff9674c8fc85c0 syz-executor.1 3810 3633 2 0 100000 ffff9674ca3f2a40 syz-executor.1 3810 2881 2 0 100000 ffff9674cab89a80 syz-executor.1 3810 3812 2 0 100000 ffff9674badf4bc0 syz-executor.1 3810 3673 2 0 100000 ffff9674cacb1ac0 syz-executor.1 3810 2759 2 0 100000 ffff9674ca3f21c0 syz-executor.1 3810 3651 2 0 100000 ffff9674c8fc8a00 syz-executor.1 3810 3711 2 0 100100 ffff9674ca7432c0 syz-executor.1 3810 >3513 7 0 100100 ffff9674ca59e740 syz-executor.1 3810 3810 3 1 10000000 ffff9674cab89200 syz-executor.1 lwpwait 3386 >3504 7 1 100 ffff9674bae0b480 syz-executor.2 3386 3386 2 0 10000000 ffff9674cacb1240 syz-executor.2 3686 3880 3 1 180 ffff9674ca7bf6c0 syz-executor.3 parked 3686 3789 2 0 100 ffff9674be034340 syz-executor.3 3686 3686 2 0 10000000 ffff9674ca7bf280 syz-executor.3 3809 3771 2 0 0 ffff9674ca7bfb00 syz-executor.4 3809 3809 2 0 10000000 ffff9674ca743b40 syz-executor.4 3557 3498 2 0 0 ffff9674ca59e300 syz-executor.5 3557 3557 2 1 10000000 ffff9674cab89640 syz-executor.5 1098 1098 2 1 140 ffff9674bbd1f9c0 syz-executor.5 1103 1103 3 0 180 ffff9674badf4780 syz-executor.4 nanoslp 1084 1084 3 0 180 ffff9674badf4340 syz-executor.3 nanoslp 1077 1077 3 0 180 ffff9674bbd1f580 syz-executor.2 nanoslp 420 420 3 0 180 ffff9674b9e3f940 syz-executor.1 nanoslp 1079 1079 2 0 140 ffff9674b9e36700 syz-executor.0 1071 1086 3 1 180 ffff9674ba19cb80 syz-fuzzer kqueue 1071 991 3 0 1c0 ffff9674bb263540 syz-fuzzer parked 1071 1075 3 0 180 ffff9674b9a63ac0 syz-fuzzer parked 1071 1078 3 1 180 ffff9674b9e3f0c0 syz-fuzzer parked 1071 935 3 0 180 ffff9674b15ba2c0 syz-fuzzer parked 1071 1069 3 0 180 ffff9674b9d6b280 syz-fuzzer parked 1071 1106 3 0 180 ffff9674b9a63240 syz-fuzzer parked 1071 1071 3 0 180 ffff9674b9a63680 syz-fuzzer parked 1070 1070 3 1 180 ffff9674b880ca40 sshd select 952 952 3 1 180 ffff9674bb0374c0 getty nanoslp 856 856 3 1 180 ffff9674bb263100 getty nanoslp 1097 1097 3 1 180 ffff9674bbd1f140 getty nanoslp 1099 1099 3 0 1c0 ffff9674bb263980 getty ttyraw 946 946 3 0 180 ffff9674b9e3f500 sshd select 962 962 3 0 180 ffff9674bb037900 powerd kqueue 871 871 3 0 180 ffff9674ba19c300 syslogd kqueue 599 599 3 0 180 ffff9674b9d6bb00 dhcpcd poll 595 595 3 1 180 ffff9674b9e362c0 dhcpcd poll 593 593 3 1 180 ffff9674bb037080 dhcpcd poll 428 428 3 1 180 ffff9674b9d6b6c0 dhcpcd poll 350 350 3 1 180 ffff9674ba19c740 dhcpcd poll 349 349 3 1 180 ffff9674b9e36b40 dhcpcd poll 348 348 3 0 180 ffff9674b15ba700 dhcpcd poll 1 1 3 0 180 ffff9674b1680100 init wait 0 3683 3 0 200 ffff9674cacb1680 midictlt midictlv 0 602 3 0 200 ffff9674b98a6200 physiod physiod 0 192 3 0 200 ffff9674b98a6a80 ioflush syncer 0 166 3 0 200 ffff9674b15bab40 pooldrain pooldrain 0 165 3 1 200 ffff9674b98a6640 pgdaemon pgdaemon 0 162 3 0 200 ffff9674b880c600 usb7 usbevt 0 161 3 1 200 ffff9674b880c1c0 usb6 usbevt 0 31 3 1 240 ffff9674b577fa00 usb5 tstile 0 63 3 1 240 ffff9674b577f5c0 usb4 tstile 0 126 3 1 200 ffff9674b577f180 usb3 usbevt 0 125 3 0 200 ffff9674b27029c0 usb2 usbdly 0 124 3 1 200 ffff9674b2702580 usb1 usbevt 0 123 3 1 200 ffff9674b2702140 usb0 usbevt 0 122 3 1 200 ffff9674b1680980 usbtask-dr usbtsk 0 121 3 1 200 ffff9674b1680540 usbtask-hc usbtsk 0 120 3 0 200 ffff9674aeab3ac0 npfgc0 npfgcw 0 119 3 1 200 ffff9674b1683940 rt_free rt_free 0 118 3 1 200 ffff9674b1683500 unpgc unpgc 0 117 3 0 200 ffff9674b16830c0 key_timehandler key_timehandler 0 116 3 1 200 ffff9674b164e900 icmp6_wqinput/1 icmp6_wqinput 0 115 3 0 200 ffff9674b164e4c0 icmp6_wqinput/0 icmp6_wqinput 0 114 3 1 200 ffff9674b164e080 nd6_timer nd6_timer 0 113 3 1 200 ffff9674b16418c0 carp6_wqinput/1 carp6_wqinput 0 112 3 0 200 ffff9674b1641480 carp6_wqinput/0 carp6_wqinput 0 111 3 1 200 ffff9674b1641040 carp_wqinput/1 carp_wqinput 0 110 3 0 200 ffff9674b161cbc0 carp_wqinput/0 carp_wqinput 0 109 3 1 200 ffff9674b161c780 icmp_wqinput/1 icmp_wqinput 0 108 3 0 200 ffff9674b161c340 icmp_wqinput/0 icmp_wqinput 0 107 3 0 200 ffff9674b15c7b80 rt_timer rt_timer 0 106 3 1 200 ffff9674b15c7740 vmem_rehash vmem_rehash 0 105 3 0 200 ffff9674b15c7300 entbutler entropy 0 96 3 1 200 ffff9674b0f23b00 viomb balloon 0 30 3 1 200 ffff9674b0f236c0 vioif0_txrx/1 vioif0_txrx 0 29 3 0 200 ffff9674b0f23280 vioif0_txrx/0 vioif0_txrx 0 27 3 1 200 ffff9674aeab3680 scsibus0 sccomp 0 26 3 0 200 ffff9674aeab3240 pms0 pmsreset 0 25 3 1 200 ffff9674aea04a80 xcall/1 xcall 0 24 1 1 200 ffff9674aea04640 softser/1 0 23 1 1 200 ffff9674aea04200 softclk/1 0 22 1 1 200 ffff9674ae9dfa40 softbio/1 0 21 1 1 200 ffff9674ae9df600 softnet/1 0 20 1 1 201 ffff9674ae9df1c0 idle/1 0 19 3 0 200 ffff9675dd949a00 lnxpwrwq lnxpwrwq 0 18 3 1 200 ffff9675dd9495c0 lnxlngwq lnxlngwq 0 17 3 1 200 ffff9675dd949180 lnxsyswq lnxsyswq 0 16 3 1 200 ffff9675dd9649c0 lnxrcugc lnxrcugc 0 15 3 0 200 ffff9675dd964580 sysmon smtaskq 0 14 3 0 200 ffff9675dd964140 pmfsuspend pmfsuspend 0 13 3 1 200 ffff9675dd973980 pmfevent pmfevent 0 12 3 1 200 ffff9675dd973540 sopendfree sopendfr 0 11 3 0 200 ffff9675dd973100 iflnkst iflnkst 0 10 3 0 200 ffff9675de99e940 nfssilly nfssilly 0 9 3 0 200 ffff9675de99e500 vdrain vdrain 0 8 3 1 200 ffff9675de99e0c0 modunload mod_unld 0 7 3 0 200 ffff9675de9d3900 xcall/0 xcall 0 6 1 0 200 ffff9675de9d34c0 softser/0 0 5 1 0 200 ffff9675de9d3080 softclk/0 0 4 1 0 200 ffff9675de9fd8c0 softbio/0 0 3 1 0 200 ffff9675de9fd480 softnet/0 0 2 1 0 201 ffff9675de9fd040 idle/0 0 0 3 0 200 ffffffff85edc580 swapper uvm [Locks tracked through LWPs] ****** LWP 3810.3513 (syz-executor.1) @ 0xffff9674ca59e740, l_stat=7 *** Locks held: * Lock 0 (initialized at sequencerget) lock address : 0xffffb4000f9d9050 type : sleep/adaptive initialized : 0xffffffff835cf5e3 shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffff9674ca59e740 last held: 0xffff9674ca59e740 last locked* : 0xffffffff835d0f12 unlocked : 0xffffffff835d0b6a owner field : 0xffff9674ca59e740 wait/spin: 0/0 Turnstile: no active turnstile for this lock. *** Locks wanted: none ****** LWP 3386.3504 (syz-executor.2) @ 0xffff9674bae0b480, l_stat=7 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at vhci_attach) lock address : 0xffffb4000f9cb6d8 type : sleep/adaptive initialized : 0xffffffff81526e0a shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 1 relevant cpu : 1 last held: 1 relevant lwp : 0xffff9674bae0b480 last held: 000000000000000000 last locked : 0xffffffff8152f796 unlocked*: 0xffffffff8152fc55 owner field : 0xffff9674bae0b480 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 3809.3771 (syz-executor.4) @ 0xffff9674ca7bfb00, l_stat=2 *** Locks held: * Lock 0 (initialized at vhci_attach) lock address : 0xffffb4000f9d16d8 type : sleep/adaptive initialized : 0xffffffff81526e0a shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffff9674ca7bfb00 last held: 0xffff9674ca7bfb00 last locked* : 0xffffffff8152f796 unlocked : 0xffffffff8152fc55 owner field : 0xffff9674ca7bfb00 wait/spin: 1/0 Turnstile: => 0 waiting readers: => 1 waiting writers: 0xffff9674b577f5c0 *** Locks wanted: none ****** LWP 3557.3498 (syz-executor.5) @ 0xffff9674ca59e300, l_stat=2 *** Locks held: * Lock 0 (initialized at vhci_attach) lock address : 0xffffb4000f9d36d8 type : sleep/adaptive initialized : 0xffffffff81526e0a shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffff9674ca59e300 last held: 0xffff9674ca59e300 last locked* : 0xffffffff8152f796 unlocked : 0xffffffff8152fc55 owner field : 0xffff9674ca59e300 wait/spin: 1/0 Turnstile: => 0 waiting readers: => 1 waiting writers: 0xffff9674b577fa00 *** Locks wanted: none ****** LWP 3557.3557 (syz-executor.5) @ 0xffff9674cab89640, l_stat=2 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at sched_cpuattach) lock address : 0xffff9675dee81340 type : spin initialized : 0xffffffff8329a550 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 1 relevant cpu : 1 last held: 1 relevant lwp : 0xffff9674cab89640 last held: 000000000000000000 last locked : 0xffffffff83273eb1 unlocked*: 0xffffffff833e08e9 owner field : 0x0000000000000700 wait/spin: 0/1 ****** LWP 1079.1079 (syz-executor.0) @ 0xffff9674b9e36700, l_stat=2 *** Locks held: * Lock 0 (initialized at filedesc_ctor) lock address : 0xffff9674b9e372c0 type : sleep/adaptive initialized : 0xffffffff831e068c shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffff9674b9e36700 last held: 0xffff9674b9e36700 last locked* : 0xffffffff831e75cd unlocked : 0xffffffff831effa0 owner field : 0xffff9674b9e36700 wait/spin: 0/0 Turnstile: no active turnstile for this lock. *** Locks wanted: none ****** LWP 595.595 (dhcpcd) @ 0xffff9674b9e362c0, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff862cc1c0 type : sleep/adaptive initialized : 0xffffffff8325afb7 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 0 relevant lwp : 0xffff9674b9e362c0 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 593.593 (dhcpcd) @ 0xffff9674bb037080, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff862cc1c0 type : sleep/adaptive initialized : 0xffffffff8325afb7 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 0 relevant lwp : 0xffff9674bb037080 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 349.349 (dhcpcd) @ 0xffff9674b9e36b40, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff862cc1c0 type : sleep/adaptive initialized : 0xffffffff8325afb7 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 0 relevant lwp : 0xffff9674b9e36b40 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 348.348 (dhcpcd) @ 0xffff9674b15ba700, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff862cc1c0 type : sleep/adaptive initialized : 0xffffffff8325afb7 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffff9674b15ba700 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 0.23 (softclk/1) @ 0xffff9674aea04200, l_stat=1 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff862cc1c0 type : sleep/adaptive initialized : 0xffffffff8325afb7 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 0 relevant lwp : 0xffff9674aea04200 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 0.11 (iflnkst) @ 0xffff9675dd973100, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff862cc1c0 type : sleep/adaptive initialized : 0xffffffff8325afb7 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffff9675dd973100 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. [Locks tracked through CPUs] ******* Locks held on cpu0: * Lock 0 (initialized at tty_init) lock address : 0xffffffff863b77b0 type : spin initialized : 0xffffffff8342a128 shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffff9674ca59e740 last held: 0xffff9674ca59e740 last locked* : 0xffffffff827dd24e unlocked : 0xffffffff827dd28c owner field : 0x0000000000010600 wait/spin: 0/1 PAGE FLAG PQ UOBJECT UANON 0xffffb40000007180 0045 00000000 0x0 0x0 0xffffb40000007200 0045 00000000 0x0 0x0 0xffffb40000007280 0045 00000000 0x0 0x0 0xffffb40000007300 0045 00000000 0x0 0x0 0xffffb40000007380 0045 00000000 0x0 0x0 0xffffb40000007400 0045 00000000 0x0 0x0 0xffffb40000007480 0045 00000000 0x0 0x0 0xffffb40000007500 0045 00000000 0x0 0x0 0xffffb40000007580 0045 00000000 0x0 0x0 0xffffb40000007600 0045 00000000 0x0 0x0 0xffffb40000007680 0041 00000000 0x0 0x0 0xffffb40000007700 0041 00000000 0x0 0x0 0xffffb40000007780 0041 00000000 0x0 0x0 0xffffb40000007800 0041 00000000 0x0 0x0 0xffffb40000007880 0041 00000000 0x0 0x0 0xffffb40000007900 0045 00000000 0x0 0x0 0xffffb40000007980 0041 00000000 0x0 0x0 0xffffb40000007a00 0041 00000000 0x0 0x0 0xffffb40000007a80 0041 00000000 0x0 0x0 0xffffb40000007b00 0041 00000000 0x0 0x0 0xffffb40000007b80 0041 00000000 0x0 0x0 0xffffb40000007c00 0041 00000000 0x0 0x0 0xffffb40000007c80 0041 00000000 0x0 0x0 0xffffb40000007d00 0041 00000000 0x0 0x0 0xffffb40000007d80 0041 00000000 0x0 0x0 0xffffb40000007e00 0041 00000000 0x0 0x0 0xffffb40000007e80 0041 00000000 0x0 0x0 0xffffb40000007f00 0041 00000000 0x0 0x0 0xffffb40000007f80 0041 00000000 0x0 0x0 0xffffb40000008000 0041 00000000 0x0 0x0 0xffffb40000008080 0041 00000000 0x0 0x0 0xffffb40000008100 0041 00000000 0x0 0x0 0xffffb40000008180 0041 00000000 0x0 0x0 0xffffb40000008200 0041 00000000 0x0 0x0 0xffffb40000008280 0041 00000000 0x0 0x0 0xffffb40000008300 0041 00000000 0x0 0x0 0xffffb40000008380 0041 00000000 0x0 0x0 0xffffb40000008400 0041 00000000 0x0 0x0 0xffffb40000008480 0041 00000000 0x0 0x0 0xffffb40000008500 0041 00000000 0x0 0x0 0xffffb40000008580 0041 00000000 0x0 0x0 0xffffb40000008600 0041 00000000 0x0 0x0 0xffffb40000008680 0041 00000000 0x0 0x0 0xffffb40000008700 0041 00000000 0x0 0x0 0xffffb40000008780 0041 00000000 0x0 0x0 0xffffb40000008800 0041 00000000 0x0 0x0 0xffffb40000008880 0041 00000000 0x0 0x0 0xffffb40000008900 0041 00000000 0x0 0x0 0xffffb40000008980 0041 00000000 0x0 0x0 0xffffb40000008a00 0041 00000000 0x0 0x0 0xffffb40000008a80 0041 00000000 0x0 0x0 0xffffb40000008b00 0041 00000000 0x0 0x0 0xffffb40000008b80 0041 00000000 0x0 0x0 0xffffb40000008c00 0041 00000000 0x0 0x0 0xffffb40000008c80 0045 00000000 0x0 0x0 0xffffb40000008d00 0041 00000000 0x0 0x0 0xffffb40000008d80 0045 00000000 0x0 0x0 0xffffb40000008e00 0041 00000000 0x0 0x0 0xffffb40000008e80 0041 00000000 0x0 0x0 0xffffb40000008f00 0041 00000000 0x0 0x0 0xffffb40000008f80 0041 00000000 0x0 0x0 0xffffb40000009000 0041 00000000 0x0 0x0 0xffffb40000009080 0041 00000000 0x0 0x0 0xffffb40000009100 0041 00000000 0x0 0x0 0xffffb40000009180 0041 00000000 0x0 0x0 0xffffb40000009200 0041 00000000 0x0 0x0 0xffffb40000009280 0041 00000000 0x0 0x0 0xffffb40000009300 0041 00000000 0x0 0x0 0xffffb40000009380 0041 00000000 0x0 0x0 0xffffb40000009400 0041 00000000 0x0 0x0 0xffffb40000009480 0041 00000000 0x0 0x0 0xffffb40000009500 0045 00000000 0x0 0x0 0xffffb40000009580 0041 00000000 0x0 0x0 0xffffb40000009600 0041 00000000 0x0 0x0 0xffffb40000009680 0041 00000000 0x0 0x0 0xffffb40000009700 0041 00000000 0x0 0x0 0xffffb40000009780 0041 00000000 0x0 0x0 0xffffb40000009800 0041 00000000 0x0 0x0 0xffffb40000009880 0041 00000000 0x0 0x0 0xffffb40000009900 0041 00000000 0x0 0x0 0xffffb40000009980 0041 00000000 0x0 0x0 0xffffb40000009a00 0041 00000000 0x0 0x0 0xffffb40000009a80 0041 00000000 0x0 0x0 0xffffb40000009b00 0041 00000000 0x0 0x0 0xffffb40000009b80 0041 00000000 0x0 0x0 0xffffb40000009c00 0041 00000000 0x0 0x0 0xffffb40000009c80 0041 00000000 0x0 0x0 0xffffb40000009d00 0041 00000000 0x0 0x0 0xffffb40000009d80 0041 00000000 0x0 0x0 0xffffb40000009e00 0041 00000000 0x0 0x0 0xffffb40000009e80 0041 00000000 0x0 0x0 0xffffb40000009f00 0045 00000000 0x0 0x0 0xffffb40000009f80 0041 00000000 0x0 0x0 0xffffb4000000a000 0041 00000000 0x0 0x0 0xffffb4000000a080 0041 00000000 0x0 0x0 0xffffb4000000a100 0041 00000000 0x0 0x0 0xffffb4000000a180 0041 00000000 0x0 0x0 0xffffb4000000a200 0041 00000000 0x0 0x0 0xffffb4000000a280 0041 00000000 0x0 0x0 0xffffb4000000a300 0041 00000000 0x0 0x0 0xffffb4000000a380 0041 00000000 0x0 0x0 0xffffb4000000a400 0041 00000000 0x0 0x0 0xffffb4000000a480 0041 00000000 0x0 0x0 0xffffb4000000a500 0041 00000000 0x0 0x0 0xffffb4000000a580 0041 00000000 0x0 0x0 0xffffb4000000a600 0041 00000000 0x0 0x0 0xffffb4000000a680 0041 00000000 0x0 0x0 0xffffb4000000a700 0041 00000000 0x0 0x0 0xffffb4000000a780 0041 00000000 0x0 0x0 0xffffb4000000a800 0041 00000000 0x0 0x0 0xffffb4000000a880 0041 00000000 0x0 0x0 0xffffb4000000a900 0041 00000000 0x0 0x0 0xffffb4000000a980 0041 00000000 0x0 0x0 0xffffb4000000aa00 0041 00000000 0x0 0x0 0xffffb4000000aa80 0041 00000000 0x0 0x0 0xffffb4000000ab00 0041 00000000 0x0 0x0 0xffffb4000000ab80 0041 00000000 0x0 0x0 0xffffb4000000ac00 0041 00000000 0x0 0x0 0xffffb4000000ac80 0041 00000000 0x0 0x0 0xffffb4000000ad00 0041 00000000 0x0 0x0 0xffffb4000000ad80 0041 00000000 0x0 0x0 0xffffb4000000ae00 0045 00000000 0x0 0x0 0xffffb4000000ae80 0045 00000000 0x0 0x0 0xffffb4000000af00 0041 00000000 0x0 0x0 0xffffb4000000af80 0041 00000000 0x0 0x0 0xffffb4000000b000 0045 00000000 0x0 0x0 0xffffb4000000b080 0041 00000000 0x0 0x0 0xffffb4000000b100 0041 00000000 0x0 0x0 0xffffb4000000b180 0041 00000000 0x0 0x0 0xffffb4000000b200 0045 00000000 0x0 0x0 0xffffb4000000b280 0045 00000000 0x0 0x0 0xffffb4000000b300 0045 00000000 0x0 0x0 0xffffb4000000b380 0045 00000000 0x0 0x0 0xffffb4000000b400 0045 00000000 0x0 0x0 0xffffb4000000b480 0041 00000000 0x0 0x0 0xffffb4000000b500 0041 00000000 0x0 0x0 0xffffb4000000b580 0045 00000000 0x0 0x0 0xffffb4000000b600 0045 00000000 0x0 0x0 0xffffb4000000b680 0045 00000000 0x0 0x0 0xffffb4000000b700 0045 00000000 0x0 0x0 0xffffb4000000b780 0045 00000000 0x0 0x0 0xffffb4000000b800 0045 00000000 0x0 0x0 0xffffb4000000b880 0041 00000000 0x0 0x0 0xffffb4000000b900 0041 00000000 0x0 0x0 0xffffb4000000b980 0045 00000000 0x0 0x0 0xffffb4000000ba00 0045 00000000 0x0 0x0 0xffffb4000000ba80 0045 00000000 0x0 0x0 0xffffb4000000bb00 0045 00000000 0x0 0x0 0xffffb4000000bb80 0045 00000000 0x0 0x0 0xffffb4000000bc00 0045 00000000 0x0 0x0 0xffffb4000000bc80 0045 00000000 0x0 0x0 0xffffb4000000bd00 0045 00000000 0x0 0x0 0xffffb4000000bd80 0045 00000000 0x0 0x0 0xffffb4000000be00 0045 00000000 0x0 0x0 0xffffb4000000be80 0045 00000000 0x0 0x0 0xffffb4000000bf00 0045 00000000 0x0 0x0 0xffffb4000000bf80 0045 00000000 0x0 0x0 0xffffb4000000c000 0041 00000000 0x0 0x0 0xffffb4000000c080 0045 00000000 0x0 0x0 0xffffb4000000c100 0045 00000000 0x0 0x0 0xffffb4000000c180 0045 00000000 0x0 0x0 0xffffb4000000c200 0041 00000000 0x0 0x0 0xffffb4000000c280 0041 00000000 0x0 0x0 0xffffb4000000c300 0045 00000000 0x0 0x0 0xffffb4000000c380 0045 00000000 0x0 0x0 0xffffb4000000c400 0041 00000000 0x0 0x0 0xffffb4000000c480 0045 00000000 0x0 0x0 0xffffb4000000c500 0045 00000000 0x0 0x0 0xffffb4000000c580 0041 00000000 0x0 0x0 0xffffb4000000c600 0041 00000000 0x0 0x0 0xffffb4000000c680 0041 00000000 0x0 0x0 0xffffb4000000c700 0041 00000000 0x0 0x0 0xffffb4000000c780 0041 00000000 0x0 0x0 0xffffb4000000c800 0041 00000000 0x0 0x0 0xffffb4000000c880 0045 00000000 0x0 0x0 0xffffb4000000c900 0045 00000000 0x0 0x0 0xffffb4000000c980 0041 00000000 0x0 0x0 0xffffb4000000ca00 0041 00000000 0x0 0x0 0xffffb4000000ca80 0041 00000000 0x0 0x0 0xffffb4000000cb00 0041 00000000 0x0 0x0 0xffffb4000000cb80 0041 00000000 0x0 0x0 0xffffb4000000cc00 0041 00000000 0x0 0x0 0xffffb4000000cc80 0041 00000000 0x0 0x0 0xffffb4000000cd00 0041 00000000 0x0 0x0 0xffffb4000000cd80 0041 00000000 0x0 0x0 0xffffb4000000ce00 0041 00000000 0x0 0x0 0xffffb4000000ce80 0045 00000000 0x0 0x0 0xffffb4000000cf00 0041 00000000 0x0 0x0 0xffffb4000000cf80 0041 00000000 0x0 0x0 0xffffb4000000d000 0045 00000000 0x0 0x0 0xffffb4000000d080 0041 00000000 0x0 0x0 0xffffb4000000d100 0041 00000000 0x0 0x0 0xffffb4000000d180 0041 00000000 0x0 0x0 0xffffb4000000d200 0041 00000000 0x0 0x0 0xffffb4000000d280 0041 00000000 0x0 0x0 0xffffb4000000d300 0045 00000000 0x0 0x0 0xffffb4000000d380 0041 00000000 0x0 0x0 0xffffb4000000d400 0045 00000000 0x0 0x0 0xffffb4000000d480 0041 00000000 0x0 0x0 0xffffb4000000d500 0041 00000000 0x0 0x0 0xffffb4000000d580 0041 00000000 0x0 0x0 0xffffb4000000d600 0045 00000000 0x0 0x0 0xffffb4000000d680 0041 00000000 0x0 0x0 0xffffb4000000d700 0041 00000000 0x0 0x0 0xffffb4000000d780 0045 00000000 0x0 0x0 0xffffb4000000d800 0045 00000000 0x0 0x0 0xffffb4000000d880 0041 00000000 0x0 0x0 0xffffb4000000d900 0041 00000000 0x0 0x0 0xffffb4000000d980 0045 00000000 0x0 0x0 0xffffb4000000da00 0041 00000000 0x0 0x0 0xffffb4000000da80 0045 00000000 0x0 0x0 0xffffb4000000db00 0045 00000000 0x0 0x0 0xffffb4000000db80 0045 00000000 0x0 0x0 0xffffb4000000dc00 0041 00000000 0x0 0x0 0xffffb4000000dc80 0045 00000000 0x0 0x0 0xffffb4000000dd00 0041 00000000 0x0 0x0 0xffffb4000000dd80 0041 00000000 0x0 0x0 0xffffb4000000de00 0041 00000000 0x0 0x0 0xffffb4000000de80 0041 00000000 0x0 0x0 0xffffb4000000df00 0045 00000000 0x0 0x0 0xffffb4000000df80 0045 00000000 0x0 0x0 0xffffb4000000e000 0045 00000000 0x0 0x0 0xffffb4000000e080 0045 00000000 0x0 0x0 0xffffb4000000e100 0045 00000000 0x0 0x0 0xffffb4000000e180 0041 00000000 0x0 0x0 0xffffb4000000e200 0041 00000000 0x0 0x0 0xffffb4000000e280 0045 00000000 0x0 0x0 0xffffb4000000e300 0045 00000000 0x0 0x0 0xffffb4000000e380 0041 00000000 0x0 0x0 0xffffb4000000e400 0041 00000000 0x0 0x0 0xffffb4000000e480 0041 00000000 0x0 0x0 0xffffb4000000e500 0045 00000000 0x0 0x0 0xffffb4000000e580 0041 00000000 0x0 0x0 0xffffb4000000e600 0045 00000000 0x0 0x0 0xffffb4000000e680 0041 00000000 0x0 0x0 0xffffb4000000e700 0041 00000000 0x0 0x0 0xffffb4000000e780 0045 00000000 0x0 0x0 0xffffb4000000e800 0041 00000000 0x0 0x0 0xffffb4000000e880 0041 00000000 0x0 0x0 0xffffb4000000e900 0041 00000000 0x0 0x0 0xffffb4000000e980 0041 00000000 0x0 0x0 0xffffb4000000ea00 0041 00000000 0x0 0x0 0xffffb4000000ea80 0041 00000000 0x0 0x0 0xffffb4000000eb00 0041 00000000 0x0 0x0 0xffffb4000000eb80 0041 00000000 0x0 0x0 0xffffb4000000ec00 0041 00000000 0x0 0x0 0xffffb4000000ec80 0045 00000000 0x0 0x0 0xffffb4000000ed00 0041 00000000 0x0 0x0 0xffffb4000000ed80 0041 00000000 0x0 0x0 0xffffb4000000ee00 0045 00000000 0x0 0x0 0xffffb4000000ee80 0041 00000000 0x0 0x0 0xffffb4000000ef00 0041 00000000 0x0 0x0 0xffffb4000000ef80 0041 00000000 0x0 0x0 0xffffb4000000f000 0041 00000000 0x0 0x0 0xffffb4000000f080 0041 00000000 0x0 0x0 0xffffb4000000f100 0041 00000000 0x0 0x0 0xffffb4000000f180 0041 00000000 0x0 0x0 0xffffb4000000f200 0041 00000000 0x0 0x0 0xffffb4000000f280 0041 00000000 0x0 0x0 0xffffb4000000f300 0041 00000000 0x0 0x0 0xffffb4000000f380 0041 00000000 0x0 0x0 0xffffb4000000f400 0041 00000000 0x0 0x0 0xffffb4000000f480 0041 00000000 0x0 0x0 0xffffb4000000f500 0041 00000000 0x0 0x0 0xffffb4000000f580 0041 00000000 0x0 0x0 0xffffb4000000f600 0041 00000000 0x0 0x0 0xffffb4000000f680 0045 00000000 0x0 0x0 0xffffb4000000f700 0041 00000000 0x0 0x0 0xffffb4000000f780 0041 00000000 0x0 0x0 0xffffb4000000f800 0041 00000000 0x0 0x0 0xffffb4000000f880 0041 00000000 0x0 0x0 0xffffb4000000f900 0041 00000000 0x0 0x0 0xffffb4000000f980 0045 00000000 0x0 0x0 0xffffb4000000fa00 0045 00000000 0x0 0x0 0xffffb4000000fa80 0041 00000000 0x0 0x0 0xffffb4000000fb00 0041 00000000 0x0 0x0 0xffffb4000000fb80 0041 00000000 0x0 0x0 0xffffb4000000fc00 0041 00000000 0x0 0x0 0xffffb4000000fc80 0041 00000000 0x0 0x0 0xffffb4000000fd00 0041 00000000 0x0 0x0 0xffffb4000000fd80 0041 00000000 0x0 0x0 0xffffb4000000fe00 0041 00000000 0x0 0x0 0xffffb4000000fe80 0041 00000000 0x0 0x0 0xffffb4000000ff00 0041 00000000 0x0 0x0 0xffffb4000000ff80 0041 00000000 0x0 0x0 0xffffb40000010000 0045 00000000 0x0 0x0 0xffffb40000010080 0041 00000000 0x0 0x0 0xffffb40000010100 0041 00000000 0x0 0x0 0xffffb40000010180 0041 00000000 0x0 0x0 0xffffb40000010200 0041 00000000 0x0 0x0 0xffffb40000010280 0041 00000000 0x0 0x0 0xffffb40000010300 0041 00000000 0x0 0x0 0xffffb40000010380 0041 00000000 0x0 0x0 0xffffb40000010400 0041 00000000 0x0 0x0 0xffffb40000010480 0041 00000000 0x0 0x0 0xffffb40000010500 0041 00000000 0x0 0x0 0xffffb40000010580 0041 00000000 0x0 0x0 0xffffb40000010600 0041 00000000 0x0 0x0 0xffffb40000010680 0045 00000000 0x0 0x0 0xffffb40000010700 0045 00000000 0x0 0x0 0xffffb40000010780 0041 00000000 0x0 0x0 0xffffb40000010800 0041 00000000 0x0 0x0 0xffffb40000010880 0045 00000000 0x0 0x0 0xffffb40000010900 0045 00000000 0x0 0x0 0xffffb40000010980 0041 00000000 0x0 0x0 0xffffb40000010a00 0041 00000000 0x0 0x0 0xffffb40000010a80 0041 00000000 0x0 0x0 0xffffb40000010b00 0041 00000000 0x0 0x0 0xffffb40000010b80 0045 00000000 0x0 0x0 0xffffb40000010c00 0001 00000000 0x0 0x0 0xffffb40000010c80 0001 00000000 0x0 0x0 0xffffb40000010d00 0001 00000000 0x0 0x0 0xffffb40000010d80 0001 00000000 0x0 0x0 0xffffb40000010e00 0001 00000000 0x0 0x0 0xffffb40000010e80 0001 00000000 0x0 0x0 0xffffb40000010f00 0001 00000000 0x0 0x0 0xffffb40000010f80 0001 00000000 0x0 0x0 0xffffb40000011000 0001 00000000 0x0 0x0 0xffffb40000011080 0001 00000000 0x0 0x0 0xffffb40000011100 0001 00000000 0x0 0x0 0xffffb40000011180 0001 00000000 0x0 0x0 0xffffb40000011200 0001 00000000 0x0 0x0 0xffffb40000011280 0001 00000000 0x0 0x0 0xffffb40000011300 0001 00000000 0x0 0x0 0xffffb40000011380 0001 00000000 0x0 0x0 0xffffb40000011400 0001 00000000 0x0 0x0 0xffffb40000011480 0001 00000000 0x0 0x0 0xffffb40000011500 0001 00000000 0x0 0x0 0xffffb40000011580 0001 00000000 0x0 0x0 0xffffb40000011600 0001 00000000 0x0 0x0 0xffffb40000011680 0001 00000000 0x0 0x0 0xffffb40000011700 0001 00000000 0x0 0x0 0xffffb40000011780 0001 00000000 0x0 0x0 0xffffb40000011800 0001 00000000 0x0 0x0 0xffffb40000011880 0001 00000000 0x0 0x0 0xffffb40000011900 0001 00000000 0x0 0x0 0xffffb40000011980 0001 00000000 0x0 0x0 0xffffb40000011a00 0001 00000000 0x0 0x0 0xffffb40000011a80 0001 00000000 0x0 0x0 0xffffb40000011b00 0001 00000000 0x0 0x0 0xffffb40000011b80 0001 00000000 0x0 0x0 0xffffb40000011c00 0001 00000000 0x0 0x0 0xffffb40000011c80 0001 00000000 0x0 0x0 0xffffb40000011d00 0001 00000000 0x0 0x0 0xffffb40000011d80 0001 00000000 0x0 0x0 0xffffb40000011e00 0001 00000000 0x0 0x0 0xffffb40000011e80 0001 00000000 0x0 0x0 0xffffb40000011f00 0001 00000000 0x0 0x0 0xffffb40000011f80 0001 00000000 0x0 0x0 0xffffb40000012000 0001 00000000 0x0 0x0 0xffffb40000012080 0001 00000000 0x0 0x0 0xffffb40000012100 0001 00000000 0x0 0x0 0xffffb40000012180 0001 00000000 0x0 0x0 0xffffb40000012200 0001 00000000 0x0 0x0 0xffffb40000012280 0001 00000000 0x0 0x0 0xffffb40000012300 0001 00000000 0x0 0x0 0xffffb40000012380 0001 00000000 0x0 0x0 0xffffb40000012400 0001 00000000 0x0 0x0 0xffffb40000012480 0001 00000000 0x0 0x0 0xffffb40000012500 0001 00000000 0x0 0x0 0xffffb40000012580 0001 00000000 0x0 0x0 0xffffb40000012600 0001 00000000 0x0 0x0 0xffffb40000012680 0001 00000000 0x0 0x0 0xffffb40000012700 0041 00000000 0x0 0x0 0xffffb40000012780 0041 00000000 0x0 0x0 0xffffb40000012800 0041 00000000 0x0 0x0 0xffffb40000012880 0041 00000000 0x0 0x0 0xffffb40000012900 0041 00000000 0x0 0x0 0xffffb40000012980 0041 00000000 0x0 0x0 0xffffb40000012a00 0041 00000000 0x0 0x0 0xffffb40000012a80 0041 00000000 0x0 0x0 0xffffb40000012b00 0041 00000000 0x0 0x0 0xffffb40000012b80 0041 00000000 0x0 0x0 0xffffb40000012c00 0041 00000000 0x0 0x0 0xffffb40000012c80 0041 00000000 0x0 0x0 0xffffb40000012d00 0041 00000000 0x0 0x0 0xffffb40000012d80 0041 00000000 0x0 0x0 0xffffb40000012e00 0041 00000000 0x0 0x0 0xffffb40000012e80 0041 00000000 0x0 0x0 0xffffb40000012f00 0041 00000000 0x0 0x0 0xffffb40000012f80 0041 00000000 0x0 0x0 0xffffb40000013000 0041 00000000 0x0 0x0 0xffffb40000013080 0041 00000000 0x0 0x0 0xffffb40000013100 0041 00000000 0x0 0x0 0xffffb40000013180 0041 00000000 0x0 0x0 0xffffb40000013200 0041 00000000 0x0 0x0 0xffffb40000013280 0041 00000000 0x0 0x0 0xffffb40000013300 0041 00000000 0x0 0x0 0xffffb40000013380 0041 00000000 0x0 0x0 0xffffb40000013400 0041 00000000 0x0 0x0 0xffffb40000013480 0041 00000000 0x0 0x0 0xffffb40000013500 0041 00000000 0x0 0x0 0xffffb40000013580 0041 00000000 0x0 0x0 0xffffb40000013600 0041 00000000 0x0 0x0 0xffffb40000013680 0041 00000000 0x0 0x0 0xffffb40000013700 0041 00000000 0x0 0x0 0xffffb40000013780 0041 00000000 0x0 0x0 0xffffb40000013800 0041 00000000 0x0 0x0 0xffffb40000013880 0041 00000000 0x0 0x0 0xffffb40000013900 0041 00000000 0x0 0x0 0xffffb40000013980 0041 00000000 0x0 0x0 0xffffb40000013a00 0041 00000000 0x0 0x0 0xffffb40000013a80 0041 00000000 0x0 0x0 0xffffb40000013b00 0041 00000000 0x0 0x0 0xffffb40000013b80 0041 00000000 0x0 0x0 0xffffb40000013c00 0041 00000000 0x0 0x0 0xffffb40000013c80 0041 00000000 0x0 0x0 0xffffb40000013d00 0041 00000000 0x0 0x0 0xffffb40000013d80 0001 00000000 0x0 0x0 0xffffb40000013e00 0001 00000000 0x0 0x0 0xffffb40000013e80 0001 00000000 0x0 0x0 0xffffb40000013f00 0001 00000000 0x0 0x0 0xffffb40000013f80 0001 00000000 0x0 0x0 0xffffb40000014000 0001 00000000 0x0 0x0 0xffffb40000014080 0001 00000000 0x0 0x0 0xffffb40000014100 0001 00000000 0x0 0x0 0xffffb40000014180 0001 00000000 0x0 0x0 0xffffb40000014200 0001 00000000 0x0 0x0 0xffffb40000014280 0001 00000000 0x0 0x0 0xffffb40000014300 0001 00000000 0x0 0x0 0xffffb40000014380 0001 00000000 0x0 0x0 0xffffb40000014400 0001 00000000 0x0 0x0 0xffffb40000014480 0001 00000000 0x0 0x0 0xffffb40000014500 0001 00000000 0x0 0x0 0xffffb40000014580 0001 00000000 0x0 0x0 0xffffb40000014600 0001 00000000 0x0 0x0 0xffffb40000014680 0001 00000000 0x0 0x0 0xffffb40000014700 0001 00000000 0x0 0x0 0xffffb40000014780 0001 00000000 0x0 0x0 0xffffb40000014800 0001 00000000 0x0 0x0 0xffffb40000014880 0001 00000000 0x0 0x0 0xffffb40000014900 0001 00000000 0x0 0x0 0xffffb40000014980 0001 00000000 0x0 0x0 0xffffb40000014a00 0001 00000000 0x0 0x0 0xffffb40000014a80 0001 00000000 0x0 0x0 0xffffb40000014b00 0001 00000000 0x0 0x0 0xffffb40000014b80 0001 00000000 0x0 0x0 0xffffb40000014c00 0001 00000000 0x0 0x0 0xffffb40000014c80 0001 00000000 0x0 0x0 0xffffb40000014d00 0001 00000000 0x0 0x0 0xffffb40000014d80 0001 00000000 0x0 0x0 0xffffb40000014e00 0001 00000000 0x0 0x0 0xffffb40000014e80 0001 00000000 0x0 0x0 0xffffb40000014f00 0001 00000000 0x0 0x0 0xffffb40000014f80 0001 00000000 0x0 0x0 0xffffb40000015000 0001 00000000 0x0 0x0 0xffffb40000015080 0001 00000000 0x0 0x0 0xffffb40000015100 0001 00000000 0x0 0x0 0xffffb40000015180 0001 00000000 0x0 0x0 0xffffb40000015200 0001 00000000 0x0 0x0 0xffffb40000015280 0001 00000000 0x0 0x0 0xffffb40000015300 0001 00000000 0x0 0x0 0xffffb40000015380 0001 00000000 0x0 0x0 0xffffb40000015400 0001 00000000 0x0 0x0 0xffffb40000015480 0001 00000000 0x0 0x0 0xffffb40000015500 0001 00000000 0x0 0x0 0xffffb40000015580 0001 00000000 0x0 0x0 0xffffb40000015600 0001 00000000 0x0 0x0 0xffffb40000015680 0001 00000000 0x0 0x0 0xffffb40000015700 0001 00000000 0x0 0x0 0xffffb40000015780 0001 00000000 0x0 0x0 0xffffb40000015800 0001 00000000 0x0 0x0 0xffffb40000015880 0041 00000000 0x0 0x0 0xffffb40000015900 0041 00000000 0x0 0x0 0xffffb40000015980 0041 00000000 0x0 0x0 0xffffb40000015a00 0041 00000000 0x0 0x0 0xffffb40000015a80 0041 00000000 0x0 0x0 0xffffb40000015b00 0041 00000000 0x0 0x0 0xffffb40000015b80 0041 00000000 0x0 0x0 0xffffb40000015c00 0041 00000000 0x0 0x0 0xffffb40000015c80 0041 00000000 0x0 0x0 0xffffb40000015d00 0041 00000000 0x0 0x0 0xffffb40000015d80 0041 00000000 0x0 0x0 0xffffb40000015e00 0041 00000000 0x0 0x0 0xffffb40000015e80 0041 00000000 0x0 0x0 0xffffb40000015f00 0041 00000000 0x0 0x0 0xffffb40000015f80 0041 00000000 0x0 0x0 0xffffb40000016000 0041 00000000 0x0 0x0 0xffffb40000016080 0041 00000000 0x0 0x0 0xffffb40000016100 0041 00000000 0x0 0x0 0xffffb40000016180 0041 00000000 0x0 0x0 0xffffb40000016200 0041 00000000 0x0 0x0 0xffffb40000016280 0041 00000000 0x0 0x0 0xffffb40000016300 0041 00000000 0x0 0x0 0xffffb40000016380 0041 00000000 0x0 0x0 0xffffb40000016400 0041 00000000 0x0 0x0 0xffffb40000016480 0041 00000000 0x0 0x0 0xffffb40000016500 0041 00000000 0x0 0x0 0xffffb40000016580 0041 00000000 0x0 0x0 0xffffb40000016600 0041 00000000 0x0 0x0 0xffffb40000016680 0041 00000000 0x0 0x0 0xffffb40000016700 0041 00000000 0x0 0x0 0xffffb40000016780 0041 00000000 0x0 0x0 0xffffb40000016800 0041 00000000 0x0 0x0 0xffffb40000016880 0041 00000000 0x0 0x0 0xffffb40000016900 0041 00000000 0x0 0x0 0xffffb40000016980 0041 00000000 0x0 0x0 0xffffb40000016a00 0041 00000000 0x0 0x0 0xffffb40000016a80 0041 00000000 0x0 0x0 0xffffb40000016b00 0041 00000000 0x0 0x0 0xffffb40000016b80 0041 00000000 0x0 0x0 0xffffb40000016c00 0041 00000000 0x0 0x0 0xffffb40000016c80 0041 00000000 0x0 0x0 0xffffb40000016d00 0041 00000000 0x0 0x0 0xffffb40000016d80 0041 00000000 0x0 0x0 0xffffb40000016e00 0041 00000000 0x0 0x0 0xffffb40000016e80 0041 00000000 0x0 0x0 0xffffb40000016f00 0041 00000000 0x0 0x0 0xffffb40000016f80 0041 00000000 0x0 0x0 0xffffb40000017000 0001 00000000 0x0 0x0 0xffffb40000017080 0001 00000000 0x0 0x0 0xffffb40000017100 0001 00000000 0x0 0x0 0xffffb40000017180 0001 00000000 0x0 0x0 0xffffb40000017200 0001 00000000 0x0 0x0 0xffffb40000017280 0001 00000000 0x0 0x0 0xffffb40000017300 0001 00000000 0x0 0x0 0xffffb40000017380 0001 00000000 0x0 0x0 0xffffb40000017400 0001 00000000 0x0 0x0 0xffffb40000017480 0001 00000000 0x0 0x0 0xffffb40000017500 0001 00000000 0x0 0x0 0xffffb40000017580 0001 00000000 0x0 0x0 0xffffb40000017600 0001 00000000 0x0 0x0 0xffffb40000017680 0001 00000000 0x0 0x0 0xffffb40000017700 0001 00000000 0x0 0x0 0xffffb40000017780 0001 00000000 0x0 0x0 0xffffb40000017800 0001 00000000 0x0 0x0 0xffffb40000017880 0001 00000000 0x0 0x0 0xffffb40000017900 0001 00000000 0x0 0x0 0xffffb40000017980 0001 00000000 0x0 0x0 0xffffb40000017a00 0001 00000000 0x0 0x0 0xffffb40000017a80 0001 00000000 0x0 0x0 0xffffb40000017b00 0001 00000000 0x0 0x0 0xffffb40000017b80 0001 00000000 0x0 0x0 0xffffb40000017c00 0001 00000000 0x0 0x0 0xffffb40000017c80 0001 00000000 0x0 0x0 0xffffb40000017d00 0001 00000000 0x0 0x0 0xffffb40000017d80 0001 00000000 0x0 0x0 0xffffb40000017e00 0001 00000000 0x0 0x0 0xffffb40000017e80 0001 00000000 0x0 0x0 0xffffb40000017f00 0001 00000000 0x0 0x0 0xffffb40000017f80 0001 00000000 0x0 0x0 0xffffb40000018000 0001 00000000 0x0 0x0 0xffffb40000018080 0001 00000000 0x0 0x0 0xffffb40000018100 0001 00000000 0x0 0x0 0xffffb40000018180 0001 00000000 0x0 0x0 0xffffb40000018200 0001 00000000 0x0 0x0 0xffffb40000018280 0001 00000000 0x0 0x0 0xffffb40000018300 0001 00000000 0x0 0x0 0xffffb40000018380 0001 00000000 0x0 0x0 0xffffb40000018400 0001 00000000 0x0 0x0 0xffffb40000018480 0001 00000000 0x0 0x0 0xffffb40000018500 0001 00000000 0x0 0x0 0xffffb40000018580 0001 00000000 0x0 0x0 0xffffb40000018600 0001 00000000 0x0 0x0 0xffffb40000018680 0001 00000000 0x0 0x0 0xffffb40000018700 0001 00000000 0x0 0x0 0xffffb40000018780 0001 00000000 0x0 0x0 0xffffb40000018800 0001 00000000 0x0 0x0 0xffffb40000018880 0001 00000000 0x0 0x0 0xffffb40000018900 0001 00000000 0x0 0x0 0xffffb40000018980 0001 00000000 0x0 0x0 0xffffb40000018a00 0001 00000000 0x0 0x0 0xffffb40000018a80 0001 00000000 0x0 0x0 0xffffb40000018b00 0041 00000000 0x0 0x0 0xffffb40000018b80 0041 00000000 0x0 0x0 0xffffb40000018c00 0041 00000000 0x0 0x0 0xffffb40000018c80 0041 00000000 0x0 0x0 0xffffb40000018d00 0041 00000000 0x0 0x0 0xffffb40000018d80 0041 00000000 0x0 0x0 0xffffb40000018e00 0041 00000000 0x0 0x0 0xffffb40000018e80 0041 00000000 0x0 0x0 0xffffb40000018f00 0041 00000000 0x0 0x0 0xffffb40000018f80 0041 00000000 0x0 0x0 0xffffb40000019000 0041 00000000 0x0 0x0 0xffffb40000019080 0041 00000000 0x0 0x0 0xffffb40000019100 0041 00000000 0x0 0x0 0xffffb40000019180 0041 00000000 0x0 0x0 0xffffb40000019200 0041 00000000 0x0 0x0 0xffffb40000019280 0041 00000000 0x0 0x0 0xffffb40000019300 0041 00000000 0x0 0x0 0xffffb40000019380 0041 00000000 0x0 0x0 0xffffb40000019400 0041 00000000 0x0 0x0 0xffffb40000019480 0041 00000000 0x0 0x0 0xffffb40000019500 0041 00000000 0x0 0x0 0xffffb40000019580 0041 00000000 0x0 0x0 0xffffb40000019600 0041 00000000 0x0 0x0 0xffffb40000019680 0041 00000000 0x0 0x0 0xffffb40000019700 0041 00000000 0x0 0x0 0xffffb40000019780 0041 00000000 0x0 0x0 0xffffb40000019800 0041 00000000 0x0 0x0 0xffffb40000019880 0041 00000000 0x0 0x0 0xffffb40000019900 0041 00000000 0x0 0x0 0xffffb40000019980 0045 00000000 0x0 0x0 0xffffb40000019a00 0045 00000000 0x0 0x0 0xffffb40000019a80 0041 00000000 0x0 0x0 0xffffb40000019b00 0041 00000000 0x0 0x0 0xffffb40000019b80 0041 00000000 0x0 0x0 0xffffb40000019c00 0041 00000000 0x0 0x0 0xffffb40000019c80 0041 00000000 0x0 0x0 0xffffb40000019d00 0041 00000000 0x0 0x0 0xffffb40000019d80 0045 00000000 0x0 0x0 0xffffb40000019e00 0045 00000000 0x0 0x0 0xffffb40000019e80 0041 00000000 0x0 0x0 0xffffb40000019f00 0045 00000000 0x0 0x0 0xffffb40000019f80 0041 00000000 0x0 0x0 0xffffb4000001a000 0045 00000000 0x0 0x0 0xffffb4000001a080 0041 00000000 0x0 0x0 0xffffb4000001a100 0041 00000000 0x0 0x0 0xffffb4000001a180 0045 00000000 0x0 0x0 0xffffb4000001a200 0045 00000000 0x0 0x0 0xffffb4000001a280 0041 00000000 0x0 0x0 0xffffb4000001a300 0045 00000000 0x0 0x0 0xffffb4000001a380 0045 00000000 0x0 0x0 0xffffb4000001a400 0045 00000000 0x0 0x0 0xffffb4000001a480 0041 00000000 0x0 0x0 0xffffb4000001a500 0001 00000000 0x0 0x0 0xffffb4000001a580 0001 00000000 0x0 0x0 0xffffb4000001a600 0001 00000000 0x0 0x0 0xffffb4000001a680 0001 00000000 0x0 0x0 0xffffb4000001a700 0001 00000000 0x0 0x0 0xffffb4000001a780 0001 00000000 0x0 0x0 0xffffb4000001a800 0001 00000000 0x0 0x0 0xffffb4000001a880 0001 00000000 0x0 0x0 0xffffb4000001a900 0001 00000000 0x0 0x0 0xffffb4000001a980 0001 00000000 0x0 0x0 0xffffb4000001aa00 0001 00000000 0x0 0x0 0xffffb4000001aa80 0001 00000000 0x0 0x0 0xffffb4000001ab00 0001 00000000 0x0 0x0 0xffffb4000001ab80 0001 00000000 0x0 0x0 0xffffb4000001ac00 0001 00000000 0x0 0x0 0xffffb4000001ac80 0001 00000000 0x0 0x0 0xffffb4000001ad00 0001 00000000 0x0 0x0 0xffffb4000001ad80 0001 00000000 0x0 0x0 0xffffb4000001ae00 0001 00000000 0x0 0x0 0xffffb4000001ae80 0001 00000000 0x0 0x0 0xffffb4000001af00 0001 00000000 0x0 0x0 0xffffb4000001af80 0001 00000000 0x0 0x0 0xffffb4000001b000 0001 00000000 0x0 0x0 0xffffb4000001b080 0001 00000000 0x0 0x0 0xffffb4000001b100 0001 00000000 0x0 0x0 0xffffb4000001b180 0001 00000000 0x0 0x0 0xffffb4000001b200 0001 00000000 0x0 0x0 0xffffb4000001b280 0001 00000000 0x0 0x0 0xffffb4000001b300 0001 00000000 0x0 0x0 0xffffb4000001b380 0001 00000000 0x0 0x0 0xffffb4000001b400 0001 00000000 0x0 0x0 0xffffb4000001b480 0001 00000000 0x0 0x0 0xffffb4000001b500 0001 00000000 0x0 0x0 0xffffb4000001b580 0001 00000000 0x0 0x0 0xffffb4000001b600 0001 00000000 0x0 0x0 0xffffb4000001b680 0001 00000000 0x0 0x0 0xffffb4000001b700 0001 00000000 0x0 0x0 0xffffb4000001b780 0001 00000000 0x0 0x0 0xffffb4000001b800 0001 00000000 0x0 0x0 0xffffb4000001b880 0001 00000000 0x0 0x0 0xffffb4000001b900 0001 00000000 0x0 0x0 0xffffb4000001b980 0001 00000000 0x0 0x0 0xffffb4000001ba00 0001 00000000 0x0 0x0 0xffffb4000001ba80 0001 00000000 0x0 0x0 0xffffb4000001bb00 0001 00000000 0x0 0x0 0xffffb4000001bb80 0001 00000000 0x0 0x0 0xffffb4000001bc00 0001 00000000 0x0 0x0 0xffffb4000001bc80 0001 00000000 0x0 0x0 0xffffb4000001bd00 0001 00000000 0x0 0x0 0xffffb4000001bd80 0001 00000000 0x0 0x0 0xffffb4000001be00 0001 00000000 0x0 0x0 0xffffb4000001be80 0001 00000000 0x0 0x0 0xffffb4000001bf00 0001 00000000 0x0 0x0 0xffffb4000001bf80 0001 00000000 0x0 0x0 0xffffb4000001c000 0001 00000000 0x0 0x0 0xffffb4000001c080 0001 00000000 0x0 0x0 0xffffb4000001c100 0001 00000000 0x0 0x0 0xffffb4000001c180 0001 00000000 0x0 0x0 0xffffb4000001c200 0001 00000000 0x0 0x0 0xffffb4000001c280 0001 00000000 0x0 0x0 0xffffb4000001c300 0001 00000000 0x0 0x0 0xffffb4000001c380 0001 00000000 0x0 0x0 0xffffb4000001c400 0001 00000000 0x0 0x0 0xffffb4000001c480 0001 00000000 0x0 0x0 0xffffb4000001c500 0001 00000000 0x0 0x0 0xffffb4000001c580 0001 00000000 0x0 0x0 0xffffb4000001c600 0001 00000000 0x0 0x0 0xffffb4000001c680 0001 00000000 0x0 0x0 0xffffb4000001c700 0001 00000000 0x0 0x0 0xffffb4000001c780 0001 00000000 0x0 0x0 0xffffb4000001c800 0001 00000000 0x0 0x0 0xffffb4000001c880 0001 00000000 0x0 0x0 0xffffb4000001c900 0001 00000000 0x0 0x0 0xffffb4000001c980 0001 00000000 0x0 0x0 0xffffb4000001ca00 0001 00000000 0x0 0x0 0xffffb4000001ca80 0001 00000000 0x0 0x0 0xffffb4000001cb00 0001 00000000 0x0 0x0 0xffffb4000001cb80 0001 00000000 0x0 0x0 0xffffb4000001cc00 0001 00000000 0x0 0x0 0xffffb4000001cc80 0001 00000000 0x0 0x0 0xffffb4000001cd00 0001 00000000 0x0 0x0 0xffffb4000001cd80 0001 00000000 0x0 0x0 0xffffb4000001ce00 0001 00000000 0x0 0x0 0xffffb4000001ce80 0001 00000000 0x0 0x0 0xffffb4000001cf00 0001 00000000 0x0 0x0 0xffffb4000001cf80 0001 00000000 0x0 0x0