[ 242.2257161] panic: kernel diagnostic assertion "entry->next != &map->header && entry->next->start <= entry->end" failed: file "/syzkaller/managers/netbsd/kernel/sys/uvm/uvm_fault.c", line 2692 [ 242.2566948] cpu0: Begin traceback... [ 242.3156824] vpanic() at netbsd:vpanic+0x265 sys/kern/subr_prf.c:290 [ 242.4456778] _GLOBAL__sub_D_65535_0_cpu_configure() at netbsd:_GLOBAL__sub_D_65535_0_cpu_configure [ 242.5756722] uvm_fault_unwire_locked() at netbsd:uvm_fault_unwire_locked+0x20f sys/uvm/uvm_fault.c:2694 [ 242.7056775] uvm_fault_unwire() at netbsd:uvm_fault_unwire+0x32 sys/uvm/uvm_fault.c:2650 [ 242.8956746] genfs_directio() at netbsd:genfs_directio+0xa0c genfs_do_directio sys/miscfs/genfs/genfs_io.c:1950 [inline] [ 242.8956746] genfs_directio() at netbsd:genfs_directio+0xa0c sys/miscfs/genfs/genfs_io.c:1815 [ 243.0156780] ffs_write() at netbsd:ffs_write+0x8ba sys/ufs/ufs/ufs_readwrite.c:354 [ 243.1456843] VOP_WRITE() at netbsd:VOP_WRITE+0x118 sys/kern/vnode_if.c:540 [ 243.2858776] vn_write() at netbsd:vn_write+0x25d sys/kern/vfs_vnops.c:612 [ 243.4156738] do_filewritev() at netbsd:do_filewritev+0x4b3 sys/kern/sys_generic.c:472 [ 243.5456796] sys___syscall() at netbsd:sys___syscall+0xff sy_call sys/sys/syscallvar.h:65 [inline] [ 243.5456796] sys___syscall() at netbsd:sys___syscall+0xff sys/kern/sys_syscall.c:77 [ 243.6756749] syscall() at netbsd:syscall+0x259 sy_call sys/sys/syscallvar.h:65 [inline] [ 243.6756749] syscall() at netbsd:syscall+0x259 sy_invoke sys/sys/syscallvar.h:94 [inline] [ 243.6756749] syscall() at netbsd:syscall+0x259 sys/arch/x86/x86/syscall.c:138 [ 243.6956770] --- syscall (number 198) --- [ 243.7356737] netbsd:syscall+0x259: [ 243.7356737] cpu0: End traceback... [ 243.7491564] fatal breakpoint trap in supervisor mode [ 243.7491564] trap type 1 code 0 rip 0xffffffff80220a1d cs 0x8 rflags 0x282 cr2 0x7d9d06000000 ilevel 0 rsp 0xffffd98193870680 [ 243.7668376] curlwp 0xffffd980145e4600 pid 1990.2394 lowest kstack 0xffffd981938692c0 Stopped in pid 1990.2394 (syz-executor.3) at netbsd:breakpoint+0x5: leave ? breakpoint() at netbsd:breakpoint+0x5 db_panic() at netbsd:db_panic+0x105 sys/ddb/db_panic.c:67 vpanic() at netbsd:vpanic+0x265 sys/kern/subr_prf.c:290 _GLOBAL__sub_D_65535_0_cpu_configure() at netbsd:_GLOBAL__sub_D_65535_0_cpu_configure uvm_fault_unwire_locked() at netbsd:uvm_fault_unwire_locked+0x20f sys/uvm/uvm_fault.c:2694 uvm_fault_unwire() at netbsd:uvm_fault_unwire+0x32 sys/uvm/uvm_fault.c:2650 genfs_directio() at netbsd:genfs_directio+0xa0c genfs_do_directio sys/miscfs/genfs/genfs_io.c:1950 [inline] genfs_directio() at netbsd:genfs_directio+0xa0c sys/miscfs/genfs/genfs_io.c:1815 ffs_write() at netbsd:ffs_write+0x8ba sys/ufs/ufs/ufs_readwrite.c:354 VOP_WRITE() at netbsd:VOP_WRITE+0x118 sys/kern/vnode_if.c:540 vn_write() at netbsd:vn_write+0x25d sys/kern/vfs_vnops.c:612 do_filewritev() at netbsd:do_filewritev+0x4b3 sys/kern/sys_generic.c:472 sys___syscall() at netbsd:sys___syscall+0xff sy_call sys/sys/syscallvar.h:65 [inline] sys___syscall() at netbsd:sys___syscall+0xff sys/kern/sys_syscall.c:77 syscall() at netbsd:syscall+0x259 sy_call sys/sys/syscallvar.h:65 [inline] syscall() at netbsd:syscall+0x259 sy_invoke sys/sys/syscallvar.h:94 [inline] syscall() at netbsd:syscall+0x259 sys/arch/x86/x86/syscall.c:138 --- syscall (number 198) --- netbsd:syscall+0x259: Panic string: kernel diagnostic assertion "entry->next != &map->header && entry->next->start <= entry->end" failed: file "/syzkaller/managers/netbsd/kernel/sys/uvm/uvm_fault.c", line 2692 PID LID S CPU FLAGS STRUCT LWP * NAME WAIT 981 864 2 0 0 ffffd980145e5a80 syz-executor.4 981 1119 2 0 0 ffffd980145e5640 syz-executor.4 981 734 2 0 0 ffffd980144dd540 syz-executor.4 981 733 2 0 0 ffffd98012d96b00 syz-executor.4 981 731 2 1 0 ffffd980145e4a40 syz-executor.4 981 2777 2 0 0 ffffd980145e41c0 syz-executor.4 981 1368 3 1 0 ffffd98012d8dac0 syz-executor.4 tstile 981 981 2 1 10000000 ffffd98012d2c900 syz-executor.4 1998 3030 3 0 80 ffffd98012d8d240 syz-executor.0 parked 1998 2260 3 0 80 ffffd98012d2c4c0 syz-executor.0 parked 1998 1998 2 0 10000000 ffffd98012d7f640 syz-executor.0 2632 2385 5 1 100000 ffffd98013853600 syz-executor.2 2632 2632 2 0 10000040 ffffd9801383d180 syz-executor.2 1479 732 3 1 80 ffffd980145e5200 syz-executor.1 parked 1479 1874 2 0 0 ffffd98012e20900 syz-executor.1 1479 1479 2 0 10000000 ffffd98012d96280 syz-executor.1 1990 >2394 7 0 0 ffffd980145e4600 syz-executor.3 1990 1104 3 1 80 ffffd98012d2c080 syz-executor.3 parked 1990 1990 2 0 10000000 ffffd98012da92c0 syz-executor.3 709 1484 3 1 80 ffffd98012d701c0 syz-executor.5 parked 709 1483 3 0 80 ffffd980137c6100 syz-executor.5 parked 709 842 3 0 80 ffffd98012de9bc0 syz-executor.5 parked 709 709 2 0 10000000 ffffd98012c7d640 syz-executor.5 388 388 3 0 80 ffffd98014591180 syz-executor.1 parked 2643 2643 3 0 80 ffffd980144dd980 syz-executor.1 parked 2109 2109 3 0 80 ffffd980137d4580 syz-executor.1 parked 2104 2104 3 1 80 ffffd98012c7da80 syz-executor.4 parked 1895 1895 3 1 80 ffffd980137980c0 syz-executor.1 parked 1827 1827 3 1 80 ffffd980143fd2c0 syz-executor.5 parked 1876 1876 3 0 80 ffffd980144dd100 syz-executor.5 parked 1746 1746 3 1 80 ffffd9801442d340 syz-executor.2 parked 1338 1338 3 1 80 ffffd9801390e500 syz-executor.2 parked 1614 1614 3 1 80 ffffd98012d966c0 syz-executor.5 parked 714 714 3 1 80 ffffd980138f7040 syz-executor.5 parked 1201 1201 3 0 80 ffffd98012de9340 syz-executor.2 parked 1204 1204 3 1 80 ffffd980137c6980 syz-executor.2 parked 1240 1240 3 0 80 ffffd98014449480 syz-executor.5 parked 1067 1067 3 1 80 ffffd9801442d780 syz-executor.5 parked 325 325 3 0 80 ffffd98012cb8280 syz-executor.5 parked 1052 1052 2 0 40 ffffd980142a6640 syz-executor.5 1216 1216 2 0 40 ffffd980142a6200 syz-executor.4 1253 1253 2 0 40 ffffd98014261600 syz-executor.3 1218 1218 2 0 40 ffffd980142611c0 syz-executor.2 1074 1074 2 0 40 ffffd980141c8a00 syz-executor.1 416 416 2 0 40 ffffd980141c85c0 syz-executor.0 1100 1099 2 0 40 ffffd98014261a40 syz-fuzzer 1100 1086 3 1 c0 ffffd98012c525c0 syz-fuzzer parked 1100 1065 3 0 c0 ffffd980141c8180 syz-fuzzer parked 1100 1079 2 0 0 ffffd98012b874c0 syz-fuzzer 1100 1075 3 1 80 ffffd98012b87080 syz-fuzzer parked 1100 1095 2 0 0 ffffd980140fc9c0 syz-fuzzer 1100 1083 3 0 c0 ffffd980140fc580 syz-fuzzer parked 1100 1151 3 0 c0 ffffd98012adb340 syz-fuzzer parked 1100 956 2 1 40 ffffd98012b1c480 syz-fuzzer 1100 1100 3 0 c0 ffffd98012c691c0 syz-fuzzer parked 1081 1081 3 0 80 ffffd98012c52a00 sshd select 1250 1250 3 0 80 ffffd980138ecbc0 getty nanoslp 1251 1251 3 0 80 ffffd980138ec780 getty nanoslp 1249 1249 3 0 80 ffffd98013885280 getty nanoslp 1103 1103 3 1 c0 ffffd98012ab4b80 getty ttyraw 991 991 3 1 80 ffffd9801383da00 sshd select 976 976 3 1 80 ffffd980137d49c0 powerd kqueue 682 682 3 1 80 ffffd9801386eac0 syslogd kqueue 599 599 3 1 80 ffffd98012d0c780 dhcpcd poll 736 736 3 0 80 ffffd98012d47980 dhcpcd poll 597 597 3 0 80 ffffd98012d1b8c0 dhcpcd poll 580 580 3 1 80 ffffd98012d0c340 dhcpcd poll 350 350 3 0 80 ffffd98012e204c0 dhcpcd poll 349 349 3 0 80 ffffd98012e20080 dhcpcd poll 348 348 3 0 80 ffffd98012e028c0 dhcpcd poll 1 1 3 1 80 ffffd980128c3980 init wait 0 1451 5 0 200 ffffd980127c3b40 (zombie) 0 961 3 0 200 ffffd980129e6a80 physiod physiod 0 162 3 0 200 ffffd980129fcac0 pooldrain pooldrain 0 165 2 1 240 ffffd980129fc680 ioflush 0 164 3 1 240 ffffd980129fc240 pgdaemon pgdaemon 0 161 3 1 200 ffffd980129e6200 usb7 usbevt 0 31 3 1 200 ffffd9801299ca40 usb6 usbevt 0 63 3 0 200 ffffd9801299c600 usb5 usbevt 0 126 3 1 200 ffffd9801299c1c0 usb4 usbevt 0 125 3 0 200 ffffd98012949a00 usb3 usbevt 0 124 3 0 200 ffffd980129495c0 usb2 usbevt 0 123 3 0 200 ffffd98012949180 usb1 usbevt 0 122 3 1 200 ffffd980128d79c0 usb0 usbevt 0 121 3 1 200 ffffd980128d7580 usbtask-dr usbtsk 0 120 3 0 200 ffffd9800fe34ac0 usbtask-hc usbtsk 0 119 2 1 240 ffffd980128d7140 npfgc0 0 118 3 1 200 ffffd980128c3540 rt_free rt_free 0 117 2 0 240 ffffd980128c3100 unpgc 0 116 2 0 200 ffffd980127f8940 key_timehandler 0 115 3 1 200 ffffd980127f8500 icmp6_wqinput/1 icmp6_wqinput 0 114 3 0 200 ffffd980127f80c0 icmp6_wqinput/0 icmp6_wqinput 0 113 3 1 200 ffffd980127ed900 nd6_timer nd6_timer 0 112 3 1 200 ffffd980127ed4c0 carp6_wqinput/1 carp6_wqinput 0 111 3 0 200 ffffd980127ed080 carp6_wqinput/0 carp6_wqinput 0 110 3 1 200 ffffd980127d98c0 carp_wqinput/1 carp_wqinput 0 109 3 0 200 ffffd980127d9480 carp_wqinput/0 carp_wqinput 0 108 3 1 200 ffffd980127d9040 icmp_wqinput/1 icmp_wqinput 0 107 3 0 200 ffffd980127c7bc0 icmp_wqinput/0 icmp_wqinput 0 106 2 0 200 ffffd980127c6b80 rt_timer 0 105 3 1 200 ffffd980127c7780 vmem_rehash vmem_rehash 0 104 3 1 200 ffffd980127c6300 entbutler entropy 0 30 3 1 200 ffffd9801213a6c0 vioif0_txrx/1 vioif0_txrx 0 29 3 0 200 ffffd9801213a280 vioif0_txrx/0 vioif0_txrx 0 27 3 0 200 ffffd9800fe34680 scsibus0 sccomp 0 26 3 0 200 ffffd9800fe34240 pms0 pmsreset 0 25 3 1 200 ffffd9800fd89a80 xcall/1 xcall 0 24 1 1 200 ffffd9800fd89640 softser/1 0 23 1 1 200 ffffd9800fd89200 softclk/1 0 22 1 1 200 ffffd9800fd87a40 softbio/1 0 21 1 1 200 ffffd9800fd87600 softnet/1 0 20 1 1 201 ffffd9800fd871c0 idle/1 0 19 3 0 200 ffffd9800e7f8a00 lnxpwrwq lnxpwrwq 0 18 3 0 200 ffffd9800e7f85c0 lnxlngwq lnxlngwq 0 17 3 0 200 ffffd9800e7f8180 lnxsyswq lnxsyswq 0 16 3 0 200 ffffd9800e7f09c0 lnxrcugc lnxrcugc 0 15 3 0 200 ffffd9800e7f0580 sysmon smtaskq 0 14 3 0 200 ffffd9800e7f0140 pmfsuspend pmfsuspend 0 13 3 0 200 ffffd9800e7ec980 pmfevent pmfevent 0 12 3 0 200 ffffd9800e7ec540 sopendfree sopendfr 0 11 3 1 200 ffffd9800e7ec100 iflnkst iflnkst 0 10 3 0 200 ffffd9800e7e0940 nfssilly nfssilly 0 9 3 0 200 ffffd9800e7e0500 vdrain vdrain 0 8 3 0 200 ffffd9800e7e00c0 modunload mod_unld 0 7 3 0 200 ffffd9800e7d3900 xcall/0 xcall 0 6 1 0 200 ffffd9800e7d34c0 softser/0 0 5 1 0 200 ffffd9800e7d3080 softclk/0 0 4 1 0 200 ffffd9800e7d18c0 softbio/0 0 3 1 0 200 ffffd9800e7d1480 softnet/0 0 2 1 0 201 ffffd9800e7d1040 idle/0 0 > 0 7 1 240 ffffffff82eecbc0 swapper [Locks tracked through LWPs] ****** LWP 981.731 (syz-executor.4) @ 0xffffd980145e4a40, l_stat=2 *** Locks held: * Lock 0 (initialized at shminit) lock address : 0xffffffff82ffdf80 type : sleep/adaptive initialized : 0xffffffff819cbb2a shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 1 relevant cpu : 1 last held: 1 relevant lwp : 0xffffd980145e4a40 last held: 0xffffd980145e4a40 last locked* : 0xffffffff819ca922 unlocked : 0xffffffff819ca8b6 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. * Lock 1 (initialized at uvm_obj_init) lock address : 0xffffd980144d0700 type : sleep/adaptive initialized : 0xffffffff8185699a shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 1 relevant lwp : 0xffffd980145e4a40 last held: 0xffffd980145e4a40 last locked* : 0xffffffff81856df9 unlocked : 0xffffffff8182b6ba owner/count : 000000000000000000 flags : 000000000000000000 Turnstile: no active turnstile for this lock. *** Locks wanted: none ****** LWP 981.1368 (syz-executor.4) @ 0xffffd98012d8dac0, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at shminit) lock address : 0xffffffff82ffdf80 type : sleep/adaptive initialized : 0xffffffff819cbb2a shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 1 relevant cpu : 1 last held: 1 relevant lwp : 0xffffd98012d8dac0 last held: 0xffffd980145e4a40 last locked* : 0xffffffff819ca922 unlocked : 0xffffffff819ca8b6 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 2632.2385 (syz-executor.2) @ 0xffffd98013853600, l_stat=5 *** Locks held: * Lock 0 (initialized at vcache_alloc) lock address : 0xffffd980144979c0 type : sleep/adaptive initialized : 0xffffffff81a51e10 shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 1 relevant lwp : 0xffffd98013853600 last held: 0xffffd98013853600 last locked* : 0xffffffff81a84bb0 unlocked : 0xffffffff81a84c12 owner/count : 000000000000000000 flags : 000000000000000000 Turnstile: no active turnstile for this lock. * Lock 1 (initialized at genfs_node_init) lock address : 0xffffd98014457ee0 type : sleep/adaptive initialized : 0xffffffff81a84d7c shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 1 relevant lwp : 0xffffd98013853600 last held: 0xffffd98013853600 last locked* : 0xffffffff8180320e unlocked : 0xffffffff8176f4f1 owner/count : 000000000000000000 flags : 000000000000000000 Turnstile: no active turnstile for this lock. *** Locks wanted: none ****** LWP 1479.1874 (syz-executor.1) @ 0xffffd98012e20900, l_stat=2 *** Locks held: * Lock 0 (initialized at pool_init) lock address : 0xffffd9800ec01130 type : sleep/adaptive initialized : 0xffffffff81976eef shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffd98012e20900 last held: 0xffffd98012e20900 last locked* : 0xffffffff81979c17 unlocked : 0xffffffff81979d0b owner field : 0xffffd98012e20900 wait/spin: 1/0 Turnstile: => 0 waiting readers: => 1 waiting writers: 0xffffd98012d8dac0 *** Locks wanted: none ****** LWP 1479.1479 (syz-executor.1) @ 0xffffd98012d96280, l_stat=2 *** Locks held: * Lock 0 (initialized at amap_ctor) lock address : 0xffffd980141cc6c0 type : sleep/adaptive initialized : 0xffffffff8182490b shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffd98012d96280 last held: 0xffffd98012d96280 last locked* : 0xffffffff8183517d unlocked : 0xffffffff81833c0f owner/count : 0xffffd98012d96280 flags : 0x0000000000000004 Turnstile: no active turnstile for this lock. *** Locks wanted: none ****** LWP 1990.2394 (syz-executor.3) @ 0xffffd980145e4600, l_stat=7 *** Locks held: * Lock 0 (initialized at vcache_alloc) lock address : 0xffffd9801449e540 type : sleep/adaptive initialized : 0xffffffff81a51e10 shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffd980145e4600 last held: 0xffffd980145e4600 last locked* : 0xffffffff81a84bb0 unlocked : 0xffffffff81a84c12 owner/count : 0xffffd980145e4600 flags : 0x0000000000000004 Turnstile: no active turnstile for this lock. * Lock 1 (initialized at amap_ctor) lock address : 0xffffd98012e55840 type : sleep/adaptive initialized : 0xffffffff8182490b shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffd980145e4600 last held: 0xffffd980145e4600 last locked* : 0xffffffff81849441 unlocked : 0xffffffff81829e90 owner/count : 0xffffd980145e4600 flags : 0x0000000000000004 Turnstile: no active turnstile for this lock. *** Locks wanted: none ****** LWP 736.736 (dhcpcd) @ 0xffffd98012d47980, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff82ff4380 type : sleep/adaptive initialized : 0xffffffff818d9dd1 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffd98012d47980 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 597.597 (dhcpcd) @ 0xffffd98012d1b8c0, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff82ff4380 type : sleep/adaptive initialized : 0xffffffff818d9dd1 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffd98012d1b8c0 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 349.349 (dhcpcd) @ 0xffffd98012e20080, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff82ff4380 type : sleep/adaptive initialized : 0xffffffff818d9dd1 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffd98012e20080 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 348.348 (dhcpcd) @ 0xffffd98012e028c0, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff82ff4380 type : sleep/adaptive initialized : 0xffffffff818d9dd1 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffd98012e028c0 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 0.11 (iflnkst) @ 0xffffd9800e7ec100, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff82ff4380 type : sleep/adaptive initialized : 0xffffffff818d9dd1 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 0 relevant lwp : 0xffffd9800e7ec100 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 0.5 (softclk/0) @ 0xffffd9800e7d3080, l_stat=1 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at module_hook_init) lock address : 0xffffffff82ff4380 type : sleep/adaptive initialized : 0xffffffff818d9dd1 shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffd9800e7d3080 last held: 000000000000000000 last locked : 000000000000000000 unlocked*: 000000000000000000 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. [Locks tracked through CPUs] PAGE FLAG PQ UOBJECT UANON 0xffffd98000017180 0041 00000000 0x0 0x0 0xffffd98000017200 0001 00000000 0x0 0x0 0xffffd98000017280 0001 00000000 0x0 0x0 0xffffd98000017300 0001 00000000 0x0 0x0 0xffffd98000017380 0001 00000000 0x0 0x0 0xffffd98000017400 0001 00000000 0x0 0x0 0xffffd98000017480 0001 00000000 0x0 0x0 0xffffd98000017500 0001 00000000 0x0 0x0 0xffffd98000017580 0001 00000000 0x0 0x0 0xffffd98000017600 0001 00000000 0x0 0x0 0xffffd98000017680 0001 00000000 0x0 0x0 0xffffd98000017700 0001 00000000 0x0 0x0 0xffffd98000017780 0001 00000000 0x0 0x0 0xffffd98000017800 0001 00000000 0x0 0x0 0xffffd98000017880 0001 00000000 0x0 0x0 0xffffd98000017900 0001 00000000 0x0 0x0 0xffffd98000017980 0001 00000000 0x0 0x0 0xffffd98000017a00 0001 00000000 0x0 0x0 0xffffd98000017a80 0001 00000000 0x0 0x0 0xffffd98000017b00 0001 00000000 0x0 0x0 0xffffd98000017b80 0001 00000000 0x0 0x0 0xffffd98000017c00 0001 00000000 0x0 0x0 0xffffd98000017c80 0001 00000000 0x0 0x0 0xffffd98000017d00 0001 00000000 0x0 0x0 0xffffd98000017d80 0001 00000000 0x0 0x0 0xffffd98000017e00 0001 00000000 0x0 0x0 0xffffd98000017e80 0001 00000000 0x0 0x0 0xffffd98000017f00 0001 00000000 0x0 0x0 0xffffd98000017f80 0001 00000000 0x0 0x0 0xffffd98000018000 0001 00000000 0x0 0x0 0xffffd98000018080 0001 00000000 0x0 0x0 0xffffd98000018100 0001 00000000 0x0 0x0 0xffffd98000018180 0001 00000000 0x0 0x0 0xffffd98000018200 0001 00000000 0x0 0x0 0xffffd98000018280 0001 00000000 0x0 0x0 0xffffd98000018300 0001 00000000 0x0 0x0 0xffffd98000018380 0001 00000000 0x0 0x0 0xffffd98000018400 0001 00000000 0x0 0x0 0xffffd98000018480 0001 00000000 0x0 0x0 0xffffd98000018500 0001 00000000 0x0 0x0 0xffffd98000018580 0001 00000000 0x0 0x0 0xffffd98000018600 0001 00000000 0x0 0x0 0xffffd98000018680 0001 00000000 0x0 0x0 0xffffd98000018700 0001 00000000 0x0 0x0 0xffffd98000018780 0001 00000000 0x0 0x0 0xffffd98000018800 0001 00000000 0x0 0x0 0xffffd98000018880 0001 00000000 0x0 0x0 0xffffd98000018900 0001 00000000 0x0 0x0 0xffffd98000018980 0001 00000000 0x0 0x0 0xffffd98000018a00 0001 00000000 0x0 0x0 0xffffd98000018a80 0001 00000000 0x0 0x0 0xffffd98000018b00 0001 00000000 0x0 0x0 0xffffd98000018b80 0001 00000000 0x0 0x0 0xffffd98000018c00 0001 00000000 0x0 0x0 0xffffd98000018c80 0001 00000000 0x0 0x0 0xffffd98000018d00 0001 00000000 0x0 0x0 0xffffd98000018d80 0001 00000000 0x0 0x0 0xffffd98000018e00 0001 00000000 0x0 0x0 0xffffd98000018e80 0001 00000000 0x0 0x0 0xffffd98000018f00 0001 00000000 0x0 0x0 0xffffd98000018f80 0001 00000000 0x0 0x0 0xffffd98000019000 0001 00000000 0x0 0x0 0xffffd98000019080 0001 00000000 0x0 0x0 0xffffd98000019100 0001 00000000 0x0 0x0 0xffffd98000019180 0001 00000000 0x0 0x0 0xffffd98000019200 0001 00000000 0x0 0x0 0xffffd98000019280 0001 00000000 0x0 0x0 0xffffd98000019300 0001 00000000 0x0 0x0 0xffffd98000019380 0001 00000000 0x0 0x0 0xffffd98000019400 0001 00000000 0x0 0x0 0xffffd98000019480 0001 00000000 0x0 0x0 0xffffd98000019500 0001 00000000 0x0 0x0 0xffffd98000019580 0001 00000000 0x0 0x0 0xffffd98000019600 0001 00000000 0x0 0x0 0xffffd98000019680 0001 00000000 0x0 0x0 0xffffd98000019700 0001 00000000 0x0 0x0 0xffffd98000019780 0001 00000000 0x0 0x0 0xffffd98000019800 0001 00000000 0x0 0x0 0xffffd98000019880 0001 00000000 0x0 0x0 0xffffd98000019900 0001 00000000 0x0 0x0 0xffffd98000019980 0001 00000000 0x0 0x0 0xffffd98000019a00 0001 00000000 0x0 0x0 0xffffd98000019a80 0001 00000000 0x0 0x0 0xffffd98000019b00 0001 00000000 0x0 0x0 0xffffd98000019b80 0001 00000000 0x0 0x0 0xffffd98000019c00 0001 00000000 0x0 0x0 0xffffd98000019c80 0001 00000000 0x0 0x0 0xffffd98000019d00 0001 00000000 0x0 0x0 0xffffd98000019d80 0001 00000000 0x0 0x0 0xffffd98000019e00 0001 00000000 0x0 0x0 0xffffd98000019e80 0001 00000000 0x0 0x0 0xffffd98000019f00 0001 00000000 0x0 0x0 0xffffd98000019f80 0001 00000000 0x0 0x0 0xffffd9800001a000 0001 00000000 0x0 0x0 0xffffd9800001a080 0001 00000000 0x0 0x0 0xffffd9800001a100 0001 00000000 0x0 0x0 0xffffd9800001a180 0001 00000000 0x0 0x0 0xffffd9800001a200 0001 00000000 0x0 0x0 0xffffd9800001a280 0001 00000000 0x0 0x0 0xffffd9800001a300 0001 00000000 0x0 0x0 0xffffd9800001a380 0001 00000000 0x0 0x0 0xffffd9800001a400 0001 00000000 0x0 0x0 0xffffd9800001a480 0001 00000000 0x0 0x0 0xffffd9800001a500 0001 00000000 0x0 0x0 0xffffd9800001a580 0001 00000000 0x0 0x0 0xffffd9800001a600 0001 00000000 0x0 0x0 0xffffd9800001a680 0001 00000000 0x0 0x0 0xffffd9800001a700 0001 00000000 0x0 0x0 0xffffd9800001a780 0001 00000000 0x0 0x0 0xffffd9800001a800 0001 00000000 0x0 0x0 0xffffd9800001a880 0001 00000000 0x0 0x0 0xffffd9800001a900 0001 00000000 0x0 0x0 0xffffd9800001a980 0001 00000000 0x0 0x0 0xffffd9800001aa00 0001 00000000 0x0 0x0 0xffffd9800001aa80 0001 00000000 0x0 0x0 0xffffd9800001ab00 0001 00000000 0x0 0x0 0xffffd9800001ab80 0001 00000000 0x0 0x0 0xffffd9800001ac00 0001 00000000 0x0 0x0 0xffffd9800001ac80 0001 00000000 0x0 0x0 0xffffd9800001ad00 0001 00000000 0x0 0x0 0xffffd9800001ad80 0001 00000000 0x0 0x0 0xffffd9800001ae00 0001 00000000 0x0 0x0 0xffffd9800001ae80 0001 00000000 0x0 0x0 0xffffd9800001af00 0001 00000000 0x0 0x0 0xffffd9800001af80 0001 00000000 0x0 0x0 0xffffd9800001b000 0001 00000000 0x0 0x0 0xffffd9800001b080 0001 00000000 0x0 0x0 0xffffd9800001b100 0001 00000000 0x0 0x0 0xffffd9800001b180 0001 00000000 0x0 0x0 0xffffd9800001b200 0001 00000000 0x0 0x0 0xffffd9800001b280 0001 00000000 0x0 0x0 0xffffd9800001b300 0001 00000000 0x0 0x0 0xffffd9800001b380 0001 00000000 0x0 0x0 0xffffd9800001b400 0001 00000000 0x0 0x0 0xffffd9800001b480 0001 00000000 0x0 0x0 0xffffd9800001b500 0001 00000000 0x0 0x0 0xffffd9800001b580 0001 00000000 0x0 0x0 0xffffd9800001b600 0001 00000000 0x0 0x0 0xffffd9800001b680 0001 00000000 0x0 0x0 0xffffd9800001b700 0001 00000000 0x0 0x0 0xffffd9800001b780 0001 00000000 0x0 0x0 0xffffd9800001b800 0001 00000000 0x0 0x0 0xffffd9800001b880 0001 00000000 0x0 0x0 0xffffd9800001b900 0001 00000000 0x0 0x0 0xffffd9800001b980 0001 00000000 0x0 0x0 0xffffd9800001ba00 0001 00000000 0x0 0x0 0xffffd9800001ba80 0001 00000000 0x0 0x0 0xffffd9800001bb00 0001 00000000 0x0 0x0 0xffffd9800001bb80 0001 00000000 0x0 0x0 0xffffd9800001bc00 0001 00000000 0x0 0x0 0xffffd9800001bc80 0001 00000000 0x0 0x0 0xffffd9800001bd00 0001 00000000 0x0 0x0 0xffffd9800001bd80 0001 00000000 0x0 0x0 0xffffd9800001be00 0001 00000000 0x0 0x0 0xffffd9800001be80 0001 00000000 0x0 0x0 0xffffd9800001bf00 0001 00000000 0x0 0x0 0xffffd9800001bf80 0001 00000000 0x0 0x0 0xffffd9800001c000 0001 00000000 0x0 0x0 0xffffd9800001c080 0001 00000000 0x0 0x0 0xffffd9800001c100 0001 00000000 0x0 0x0 0xffffd9800001c180 0001 00000000 0x0 0x0 0xffffd9800001c200 0001 00000000 0x0 0x0 0xffffd9800001c280 0001 00000000 0x0 0x0 0xffffd9800001c300 0001 00000000 0x0 0x0 0xffffd9800001c380 0001 00000000 0x0 0x0 0xffffd9800001c400 0001 00000000 0x0 0x0 0xffffd9800001c480 0001 00000000 0x0 0x0 0xffffd9800001c500 0001 00000000 0x0 0x0 0xffffd9800001c580 0001 00000000 0x0 0x0 0xffffd9800001c600 0001 00000000 0x0 0x0 0xffffd9800001c680 0001 00000000 0x0 0x0 0xffffd9800001c700 0001 00000000 0x0 0x0 0xffffd9800001c780 0001 00000000 0x0 0x0 0xffffd9800001c800 0001 00000000 0x0 0x0 0xffffd9800001c880 0001 00000000 0x0 0x0 0xffffd9800001c900 0001 00000000 0x0 0x0 0xffffd9800001c980 0001 00000000 0x0 0x0 0xffffd9800001ca00 0001 00000000 0x0 0x0 0xffffd9800001ca80 0001 00000000 0x0 0x0 0xffffd9800001cb00 0001 00000000 0x0 0x0 0xffffd9800001cb80 0001 00000000 0x0 0x0 0xffffd9800001cc00 0001 00000000 0x0 0x0 0xffffd9800001cc80 0001 00000000 0x0 0x0 0xffffd9800001cd00 0001 00000000 0x0 0x0 0xffffd9800001cd80 0001 00000000 0x0 0x0 0xffffd9800001ce00 0001 00000000 0x0 0x0 0xffffd9800001ce80 0001 00000000 0x0 0x0 0xffffd9800001cf00 0001 00000000 0x0 0x0 0xffffd9800001cf80 0001 00000000 0x0 0x0 0xffffd9800001d000 0001 00000000 0x0 0x0 0xffffd9800001d080 0001 00000000 0x0 0x0 0xffffd9800001d100 0001 00000000 0x0 0x0 0xffffd9800001d180 0001 00000000 0x0 0x0 0xffffd9800001d200 0001 00000000 0x0 0x0 0xffffd9800001d280 0001 00000000 0x0 0x0 0xffffd9800001d300 0001 00000000 0x0 0x0 0xffffd9800001d380 0001 00000000 0x0 0x0 0xffffd9800001d400 0001 00000000 0x0 0x0 0xffffd9800001d480 0001 00000000 0x0 0x0 0xffffd9800001d500 0001 00000000 0x0 0x0 0xffffd9800001d580 0001 00000000 0x0 0x0 0xffffd9800001d600 0001 00000000 0x0 0x0 0xffffd9800001d680 0001 00000000 0x0 0x0 0xffffd9800001d700 0001 00000000 0x0 0x0 0xffffd9800001d780 0001 00000000 0x0 0x0 0xffffd9800001d800 0001 00000000 0x0 0x0 0xffffd9800001d880 0001 00000000 0x0 0x0 0xffffd9800001d900 0001 00000000 0x0 0x0 0xffffd9800001d980 0001 00000000 0x0 0x0 0xffffd9800001da00 0001 00000000 0x0 0x0 0xffffd9800001da80 0001 00000000 0x0 0x0 0xffffd9800001db00 0001 00000000 0x0 0x0 0xffffd9800001db80 0001 00000000 0x0 0x0 0xffffd9800001dc00 0001 00000000 0x0 0x0 0xffffd9800001dc80 0001 00000000 0x0 0x0 0xffffd9800001dd00 0001 00000000 0x0 0x0 0xffffd9800001dd80 0001 00000000 0x0 0x0 0xffffd9800001de00 0001 00000000 0x0 0x0 0xffffd9800001de80 0001 00000000 0x0 0x0 0xffffd9800001df00 0001 00000000 0x0 0x0 0xffffd9800001df80 0001 00000000 0x0 0x0 0xffffd9800001e000 0001 00000000 0x0 0x0 0xffffd9800001e080 0001 00000000 0x0 0x0 0xffffd9800001e100 0001 00000000 0x0 0x0 0xffffd9800001e180 0001 00000000 0x0 0x0 0xffffd9800001e200 0001 00000000 0x0 0x0 0xffffd9800001e280 0001 00000000 0x0 0x0 0xffffd9800001e300 0001 00000000 0x0 0x0 0xffffd9800001e380 0001 00000000 0x0 0x0 0xffffd9800001e400 0001 00000000 0x0 0x0 0xffffd9800001e480 0001 00000000 0x0 0x0 0xffffd9800001e500 0001 00000000 0x0 0x0 0xffffd9800001e580 0001 00000000 0x0 0x0 0xffffd9800001e600 0001 00000000 0x0 0x0 0xffffd9800001e680 0001 00000000 0x0 0x0 0xffffd9800001e700 0001 00000000 0x0 0x0 0xffffd9800001e780 0001 00000000 0x0 0x0 0xffffd9800001e800 0001 00000000 0x0 0x0 0xffffd9800001e880 0001 00000000 0x0 0x0 0xffffd9800001e900 0001 00000000 0x0 0x0 0xffffd9800001e980 0001 00000000 0x0 0x0 0xffffd9800001ea00 0001 00000000 0x0 0x0 0xffffd9800001ea80 0001 00000000 0x0 0x0 0xffffd9800001eb00 0001 00000000 0x0 0x0 0xffffd9800001eb80 0001 00000000 0x0 0x0 0xffffd9800001ec00 0001 00000000 0x0 0x0 0xffffd9800001ec80 0001 00000000 0x0 0x0 0xffffd9800001ed00 0001 00000000 0x0 0x0 0xffffd9800001ed80 0001 00000000 0x0 0x0 0xffffd9800001ee00 0001 00000000 0x0 0x0 0xffffd9800001ee80 0001 00000000 0x0 0x0 0xffffd9800001ef00 0001 00000000 0x0 0x0 0xffffd9800001ef80 0001 00000000 0x0 0x0 0xffffd9800001f000 0001 00000000 0x0 0x0 0xffffd9800001f080 0001 00000000 0x0 0x0 0xffffd9800001f100 0001 00000000 0x0 0x0 0xffffd9800001f180 0001 00000000 0x0 0x0 0xffffd9800001f200 0001 00000000 0x0 0x0 0xffffd9800001f280 0001 00000000 0x0 0x0 0xffffd9800001f300 0001 00000000 0x0 0x0 0xffffd9800001f380 0001 00000000 0x0 0x0 0xffffd9800001f400 0001 00000000 0x0 0x0 0xffffd9800001f480 0001 00000000 0x0 0x0 0xffffd9800001f500 0001 00000000 0x0 0x0 0xffffd9800001f580 0001 00000000 0x0 0x0 0xffffd9800001f600 0001 00000000 0x0 0x0 0xffffd9800001f680 0001 00000000 0x0 0x0 0xffffd9800001f700 0001 00000000 0x0 0x0 0xffffd9800001f780 0001 00000000 0x0 0x0 0xffffd9800001f800 0001 00000000 0x0 0x0 0xffffd9800001f880 0001 00000000 0x0 0x0 0xffffd9800001f900 0001 00000000 0x0 0x0 0xffffd9800001f980 0001 00000000 0x0 0x0 0xffffd9800001fa00 0001 00000000 0x0 0x0 0xffffd9800001fa80 0001 00000000 0x0 0x0 0xffffd9800001fb00 0001 00000000 0x0 0x0 0xffffd9800001fb80 0001 00000000 0x0 0x0 0xffffd9800001fc00 0001 00000000 0x0 0x0 0xffffd9800001fc80 0001 00000000 0x0 0x0 0xffffd9800001fd00 0001 00000000 0x0 0x0 0xffffd9800001fd80 0001 00000000 0x0 0x0 0xffffd9800001fe00 0001 00000000 0x0 0x0 0xffffd9800001fe80 0001 00000000 0x0 0x0 0xffffd9800001ff00 0001 00000000 0x0 0x0 0xffffd9800001ff80 0001 00000000 0x0 0x0 0xffffd98000020000 0001 00000000 0x0 0x0 0xffffd98000020080 0001 00000000 0x0 0x0 0xffffd98000020100 0001 00000000 0x0 0x0 0xffffd98000020180 0001 00000000 0x0 0x0 0xffffd98000020200 0001 00000000 0x0 0x0 0xffffd98000020280 0001 00000000 0x0 0x0 0xffffd98000020300 0001 00000000 0x0 0x0 0xffffd98000020380 0001 00000000 0x0 0x0 0xffffd98000020400 0001 00000000 0x0 0x0 0xffffd98000020480 0001 00000000 0x0 0x0 0xffffd98000020500 0001 00000000 0x0 0x0 0xffffd98000020580 0001 00000000 0x0 0x0 0xffffd98000020600 0001 00000000 0x0 0x0 0xffffd98000020680 0001 00000000 0x0 0x0 0xffffd98000020700 0001 00000000 0x0 0x0 0xffffd98000020780 0001 00000000 0x0 0x0 0xffffd98000020800 0001 00000000 0x0 0x0 0xffffd98000020880 0001 00000000 0x0 0x0 0xffffd98000020900 0001 00000000 0x0 0x0 0xffffd98000020980 0001 00000000 0x0 0x0 0xffffd98000020a00 0001 00000000 0x0 0x0 0xffffd98000020a80 0001 00000000 0x0 0x0 0xffffd98000020b00 0001 00000000 0x0 0x0 0xffffd98000020b80 0001 00000000 0x0 0x0 0xffffd98000020c00 0001 00000000 0x0 0x0 0xffffd98000020c80 0001 00000000 0x0 0x0 0xffffd98000020d00 0001 00000000 0x0 0x0 0xffffd98000020d80 0001 00000000 0x0 0x0 0xffffd98000020e00 0001 00000000 0x0 0x0 0xffffd98000020e80 0001 00000000 0x0 0x0 0xffffd98000020f00 0001 00000000 0x0 0x0 0xffffd98000020f80 0001 00000000 0x0 0x0 0xffffd98000021000 0001 00000000 0x0 0x0 0xffffd98000021080 0001 00000000 0x0 0x0 0xffffd98000021100 0001 00000000 0x0 0x0 0xffffd98000021180 0001 00000000 0x0 0x0 0xffffd98000021200 0001 00000000 0x0 0x0 0xffffd98000021280 0001 00000000 0x0 0x0 0xffffd98000021300 0001 00000000 0x0 0x0 0xffffd98000021380 0001 00000000 0x0 0x0 0xffffd98000021400 0001 00000000 0x0 0x0 0xffffd98000021480 0001 00000000 0x0 0x0 0xffffd98000021500 0001 00000000 0x0 0x0 0xffffd98000021580 0001 00000000 0x0 0x0 0xffffd98000021600 0001 00000000 0x0 0x0 0xffffd98000021680 0001 00000000 0x0 0x0 0xffffd98000021700 0001 00000000 0x0 0x0 0xffffd98000021780 0001 00000000 0x0 0x0 0xffffd98000021800 0001 00000000 0x0 0x0 0xffffd98000021880 0001 00000000 0x0 0x0 0xffffd98000021900 0001 00000000 0x0 0x0 0xffffd98000021980 0001 00000000 0x0 0x0 0xffffd98000021a00 0001 00000000 0x0 0x0 0xffffd98000021a80 0001 00000000 0x0 0x0 0xffffd98000021b00 0001 00000000 0x0 0x0 0xffffd98000021b80 0001 00000000 0x0 0x0 0xffffd98000021c00 0001 00000000 0x0 0x0 0xffffd98000021c80 0001 00000000 0x0 0x0 0xffffd98000021d00 0001 00000000 0x0 0x0 0xffffd98000021d80 0001 00000000 0x0 0x0 0xffffd98000021e00 0001 00000000 0x0 0x0 0xffffd98000021e80 0001 00000000 0x0 0x0 0xffffd98000021f00 0001 00000000 0x0 0x0 0xffffd98000021f80 0001 00000000 0x0 0x0 0xffffd98000022000 0001 00000000 0x0 0x0 0xffffd98000022080 0001 00000000 0x0 0x0 0xffffd98000022100 0001 00000000 0x0 0x0 0xffffd98000022180 0001 00000000 0x0 0x0 0xffffd98000022200 0001 00000000 0x0 0x0 0xffffd98000022280 0001 00000000 0x0 0x0 0xffffd98000022300 0001 00000000 0x0 0x0 0xffffd98000022380 0001 00000000 0x0 0x0 0xffffd98000022400 0001 00000000 0x0 0x0 0xffffd98000022480 0001 00000000 0x0 0x0 0xffffd98000022500 0001 00000000 0x0 0x0 0xffffd98000022580 0001 00000000 0x0 0x0 0xffffd98000022600 0001 00000000 0x0 0x0 0xffffd98000022680 0001 00000000 0x0 0x0 0xffffd98000022700 0001 00000000 0x0 0x0 0xffffd98000022780 0001 00000000 0x0 0x0 0xffffd98000022800 0001 00000000 0x0 0x0 0xffffd98000022880 0001 00000000 0x0 0x0 0xffffd98000022900 0001 00000000 0x0 0x0 0xffffd98000022980 0001 00000000 0x0 0x0 0xffffd98000022a00 0001 00000000 0x0 0x0 0xffffd98000022a80 0001 00000000 0x0 0x0 0xffffd98000022b00 0001 00000000 0x0 0x0 0xffffd98000022b80 0001 00000000 0x0 0x0 0xffffd98000022c00 0001 00000000 0x0 0x0 0xffffd98000022c80 0001 00000000 0x0 0x0 0xffffd98000022d00 0001 00000000 0x0 0x0 0xffffd98000022d80 0001 00000000 0x0 0x0 0xffffd98000022e00 0001 00000000 0x0 0x0 0xffffd98000022e80 0001 00000000 0x0 0x0 0xffffd98000022f00 0001 00000000 0x0 0x0 0xffffd98000022f80 0001 00000000 0x0 0x0 0xffffd98000023000 0001 00000000 0x0 0x0 0xffffd98000023080 0001 00000000 0x0 0x0 0xffffd98000023100 0001 00000000 0x0 0x0 0xffffd98000023180 0001 00000000 0x0 0x0 0xffffd98000023200 0001 00000000 0x0 0x0 0xffffd98000023280 0001 00000000 0x0 0x0 0xffffd98000023300 0001 00000000 0x0 0x0 0xffffd98000023380 0001 00000000 0x0 0x0 0xffffd98000023400 0001 00000000 0x0 0x0 0xffffd98000023480 0001 00000000 0x0 0x0 0xffffd98000023500 0001 00000000 0x0 0x0 0xffffd98000023580 0001 00000000 0x0 0x0 0xffffd98000023600 0001 00000000 0x0 0x0 0xffffd98000023680 0001 00000000 0x0 0x0 0xffffd98000023700 0001 00000000 0x0 0x0 0xffffd98000023780 0001 00000000 0x0 0x0 0xffffd98000023800 0001 00000000 0x0 0x0 0xffffd98000023880 0001 00000000 0x0 0x0 0xffffd98000023900 0001 00000000 0x0 0x0 0xffffd98000023980 0001 00000000 0x0 0x0 0xffffd98000023a00 0001 00000000 0x0 0x0 0xffffd98000023a80 0001 00000000 0x0 0x0 0xffffd98000023b00 0001 00000000 0x0 0x0 0xffffd98000023b80 0001 00000000 0x0 0x0 0xffffd98000023c00 0001 00000000 0x0 0x0 0xffffd98000023c80 0001 00000000 0x0 0x0 0xffffd98000023d00 0001 00000000 0x0 0x0 0xffffd98000023d80 0001 00000000 0x0 0x0 0xffffd98000023e00 0001 00000000 0x0 0x0 0xffffd98000023e80 0001 00000000 0x0 0x0 0xffffd98000023f00 0001 00000000 0x0 0x0 0xffffd98000023f80 0001 00000000 0x0 0x0 0xffffd98000024000 0001 00000000 0x0 0x0 0xffffd98000024080 0001 00000000 0x0 0x0 0xffffd98000024100 0001 00000000 0x0 0x0 0xffffd98000024180 0001 00000000 0x0 0x0 0xffffd98000024200 0001 00000000 0x0 0x0 0xffffd98000024280 0001 00000000 0x0 0x0 0xffffd98000024300 0001 00000000 0x0 0x0 0xffffd98000024380 0001 00000000 0x0 0x0 0xffffd98000024400 0001 00000000 0x0 0x0 0xffffd98000024480 0001 00000000 0x0 0x0 0xffffd98000024500 0001 00000000 0x0 0x0 0xffffd98000024580 0001 00000000 0x0 0x0 0xffffd98000024600 0001 00000000 0x0 0x0 0xffffd98000024680 0001 00000000 0x0 0x0 0xffffd98000024700 0001 00000000 0x0 0x0 0xffffd98000024780 0001 00000000 0x0 0x0 0xffffd98000024800 0001 00000000 0x0 0x0 0xffffd98000024880 0001 00000000 0x0 0x0 0xffffd98000024900 0001 00000000 0x0 0x0 0xffffd98000024980 0001 00000000 0x0 0x0 0xffffd98000024a00 0001 00000000 0x0 0x0 0xffffd98000024a80 0001 00000000 0x0 0x0 0xffffd98000024b00 0001 00000000 0x0 0x0 0xffffd98000024b80 0001 00000000 0x0 0x0 0xffffd98000024c00 0001 00000000 0x0 0x0 0xffffd98000024c80 0001 00000000 0x0 0x0 0xffffd98000024d00 0001 00000000 0x0 0x0 0xffffd98000024d80 0001 00000000 0x0 0x0 0xffffd98000024e00 0001 00000000 0x0 0x0 0xffffd98000024e80 0001 00000000 0x0 0x0 0xffffd98000024f00 0001 00000000 0x0 0x0 0xffffd98000024f80 0001 00000000 0x0 0x0 0xffffd98000025000 0001 00000000 0x0 0x0 0xffffd98000025080 0001 00000000 0x0 0x0 0xffffd98000025100 0001 00000000 0x0 0x0 0xffffd98000025180 0001 00000000 0x0 0x0 0xffffd98000025200 0001 00000000 0x0 0x0 0xffffd98000025280 0001 00000000 0x0 0x0 0xffffd98000025300 0001 00000000 0x0 0x0 0xffffd98000025380 0001 00000000 0x0 0x0 0xffffd98000025400 0001 00000000 0x0 0x0 0xffffd98000025480 0001 00000000 0x0 0x0 0xffffd98000025500 0001 00000000 0x0 0x0 0xffffd98000025580 0001 00000000 0x0 0x0 0xffffd98000025600 0001 00000000 0x0 0x0 0xffffd98000025680 0001 00000000 0x0 0x0 0xffffd98000025700 0001 00000000 0x0 0x0 0xffffd98000025780 0001 00000000 0x0 0x0 0xffffd98000025800 0001 00000000 0x0 0x0 0xffffd98000025880 0001 00000000 0x0 0x0 0xffffd98000025900 0001 00000000 0x0 0x0 0xffffd98000025980 0001 00000000 0x0 0x0 0xffffd98000025a00 0001 00000000 0x0 0x0 0xffffd98000025a80 0001 00000000 0x0 0x0 0xffffd98000025b00 0001 00000000 0x0 0x0 0xffffd98000025b80 0001 00000000 0x0 0x0 0xffffd98000025c00 0001 00000000 0x0 0x0 0xffffd98000025c80 0001 00000000 0x0 0x0 0xffffd98000025d00 0001 00000000 0x0 0x0 0xffffd98000025d80 0001 00000000 0x0 0x0 0xffffd98000025e00 0001 00000000 0x0 0x0 0xffffd98000025e80 0001 00000000 0x0 0x0 0xffffd98000025f00 0001 00000000 0x0 0x0 0xffffd98000025f80 0001 00000000 0x0 0x0 0xffffd98000026000 0001 00000000 0x0 0x0 0xffffd98000026080 0001 00000000 0x0 0x0 0xffffd98000026100 0001 00000000 0x0 0x0 0xffffd98000026180 0001 00000000 0x0 0x0 0xffffd98000026200 0001 00000000 0x0 0x0 0xffffd98000026280 0001 00000000 0x0 0x0 0xffffd98000026300 0001 00000000 0x0 0x0 0xffffd98000026380 0001 00000000 0x0 0x0 0xffffd98000026400 0001 00000000 0x0 0x0 0xffffd98000026480 0001 00000000 0x0 0x0 0xffffd98000026500 0001 00000000 0x0 0x0 0xffffd98000026580 0001 00000000 0x0 0x0 0xffffd98000026600 0001 00000000 0x0 0x0 0xffffd98000026680 0001 00000000 0x0 0x0 0xffffd98000026700 0001 00000000 0x0 0x0 0xffffd98000026780 0001 00000000 0x0 0x0 0xffffd98000026800 0001 00000000 0x0 0x0 0xffffd98000026880 0001 00000000 0x0 0x0 0xffffd98000026900 0001 00000000 0x0 0x0 0xffffd98000026980 0001 00000000 0x0 0x0 0xffffd98000026a00 0001 00000000 0x0 0x0 0xffffd98000026a80 0001 00000000 0x0 0x0 0xffffd98000026b00 0001 00000000 0x0 0x0 0xffffd98000026b80 0001 00000000 0x0 0x0 0xffffd98000026c00 0001 00000000 0x0 0x0 0xffffd98000026c80 0001 00000000 0x0 0x0 0xffffd98000026d00 0001 00000000 0x0 0x0 0xffffd98000026d80 0001 00000000 0x0 0x0 0xffffd98000026e00 0001 00000000 0x0 0x0 0xffffd98000026e80 0001 00000000 0x0 0x0 0xffffd98000026f00 0001 00000000 0x0 0x0 0xffffd98000026f80 0001 00000000 0x0 0x0 0xffffd98000027000 0001 00000000 0x0 0x0 0xffffd98000027080 0001 00000000 0x0 0x0 0xffffd98000027100 0001 00000000 0x0 0x0 0xffffd98000027180 0001 00000000 0x0 0x0 0xffffd98000027200 0001 00000000 0x0 0x0 0xffffd98000027280 0001 00000000 0x0 0x0 0xffffd98000027300 0001 00000000 0x0 0x0 0xffffd98000027380 0001 00000000 0x0 0x0 0xffffd98000027400 0001 00000000 0x0 0x0 0xffffd98000027480 0001 00000000 0x0 0x0 0xffffd98000027500 0001 00000000 0x0 0x0 0xffffd98000027580 0001 00000000 0x0 0x0 0xffffd98000027600 0001 00000000 0x0 0x0 0xffffd98000027680 0001 00000000 0x0 0x0 0xffffd98000027700 0001 00000000 0x0 0x0 0xffffd98000027780 0001 00000000 0x0 0x0 0xffffd98000027800 0001 00000000 0x0 0x0 0xffffd98000027880 0001 00000000 0x0 0x0 0xffffd98000027900 0001 00000000 0x0 0x0 0xffffd98000027980 0001 00000000 0x0 0x0 0xffffd98000027a00 0001 00000000 0x0 0x0 0xffffd98000027a80 0001 00000000 0x0 0x0 0xffffd98000027b00 0001 00000000 0x0 0x0 0xffffd98000027b80 0001 00000000 0x0 0x0 0xffffd98000027c00 0001 00000000 0x0 0x0 0xffffd98000027c80 0001 00000000 0x0 0x0 0xffffd98000027d00 0001 00000000 0x0 0x0 0xffffd98000027d80 0001 00000000 0x0 0x0 0xffffd98000027e00 0001 00000000 0x0 0x0 0xffffd98000027e80 0001 00000000 0x0 0x0 0xffffd98000027f00 0001 00000000 0x0 0x0 0xffffd98000027f80 0001 00000000 0x0 0x0 0xffffd98000028000 0001 00000000 0x0 0x0 0xffffd98000028080 0001 00000000 0x0 0x0 0xffffd98000028100 0001 00000000 0x0 0x0 0xffffd98000028180 0001 00000000 0x0 0x0 0xffffd98000028200 0001 00000000 0x0 0x0 0xffffd98000028280 0001 00000000 0x0 0x0 0xffffd98000028300 0001 00000000 0x0 0x0 0xffffd98000028380 0001 00000000 0x0 0x0 0xffffd98000028400 0001 00000000 0x0 0x0 0xffffd98000028480 0001 00000000 0x0 0x0 0xffffd98000028500 0001 00000000 0x0 0x0 0xffffd98000028580 0001 00000000 0x0 0x0 0xffffd98000028600 0001 00000000 0x0 0x0 0xffffd98000028680 0001 00000000 0x0 0x0 0xffffd98000028700 0001 00000000 0x0 0x0 0xffffd98000028780 0001 00000000 0x0 0x0 0xffffd98000028800 0001 00000000 0x0 0x0 0xffffd98000028880 0001 00000000 0x0 0x0 0xffffd98000028900 0001 00000000 0x0 0x0 0xffffd98000028980 0001 00000000 0x0 0x0 0xffffd98000028a00 0001 00000000 0x0 0x0 0xffffd98000028a80 0001 00000000 0x0 0x0 0xffffd98000028b00 0001 00000000 0x0 0x0 0xffffd98000028b80 0001 00000000 0x0 0x0 0xffffd98000028c00 0001 00000000 0x0 0x0 0xffffd98000028c80 0001 00000000 0x0 0x0 0xffffd98000028d00 0001 00000000 0x0 0x0 0xffffd98000028d80 0001 00000000 0x0 0x0 0xffffd98000028e00 0001 00000000 0x0 0x0 0xffffd98000028e80 0001 00000000 0x0 0x0 0xffffd98000028f00 0001 00000000 0x0 0x0 0xffffd98000028f80 0001 00000000 0x0 0x0 0xffffd98000029000 0001 00000000 0x0 0x0 0xffffd98000029080 0001 00000000 0x0 0x0 0xffffd98000029100 0001 00000000 0x0 0x0 0xffffd98000029180 0001 00000000 0x0 0x0 0xffffd98000029200 0001 00000000 0x0 0x0 0xffffd98000029280 0001 00000000 0x0 0x0 0xffffd98000029300 0001 00000000 0x0 0x0 0xffffd98000029380 0001 00000000 0x0 0x0 0xffffd98000029400 0001 00000000 0x0 0x0 0xffffd98000029480 0001 00000000 0x0 0x0 0xffffd98000029500 0001 00000000 0x0 0x0 0xffffd98000029580 0001 00000000 0x0 0x0 0xffffd98000029600 0001 00000000 0x0 0x0 0xffffd98000029680 0001 00000000 0x0 0x0 0xffffd98000029700 0001 00000000 0x0 0x0 0xffffd98000029780 0001 00000000 0x0 0x0 0xffffd98000029800 0001 00000000 0x0 0x0 0xffffd98000029880 0001 00000000 0x0 0x0 0xffffd98000029900 0001 00000000 0x0 0x0 0xffffd98000029980 0001 00000000 0x0 0x0 0xffffd98000029a00 0001 00000000 0x0 0x0 0xffffd98000029a80 0001 00000000 0x0 0x0 0xffffd98000029b00 0001 00000000 0x0 0x0 0xffffd98000029b80 0001 00000000 0x0 0x0 0xffffd98000029c00 0001 00000000 0x0 0x0 0xffffd98000029c80 0001 00000000 0x0 0x0 0xffffd98000029d00 0001 00000000 0x0 0x0 0xffffd98000029d80 0001 00000000 0x0 0x0 0xffffd98000029e00 0001 00000000 0x0 0x0 0xffffd98000029e80 0001 00000000 0x0 0x0 0xffffd98000029f00 0001 00000000 0x0 0x0 0xffffd98000029f80 0001 00000000 0x0 0x0 0xffffd9800002a000 0001 00000000 0x0 0x0 0xffffd9800002a080 0001 00000000 0x0 0x0 0xffffd9800002a100 0001 00000000 0x0 0x0 0xffffd9800002a180 0001 00000000 0x0 0x0 0xffffd9800002a200 0001 00000000 0x0 0x0 0xffffd9800002a280 0001 00000000 0x0 0x0 0xffffd9800002a300 0001 00000000 0x0 0x0 0xffffd9800002a380 0001 00000000 0x0 0x0 0xffffd9800002a400 0001 00000000 0x0 0x0 0xffffd9800002a480 0001 00000000 0x0 0x0 0xffffd9800002a500 0001 00000000 0x0 0x0 0xffffd9800002a580 0001 00000000 0x0 0x0 0xffffd9800002a600 0001 00000000 0x0 0x0 0xffffd9800002a680 0001 00000000 0x0 0x0 0xffffd9800002a700 0001 00000000 0x0 0x0 0xffffd9800002a780 0001 00000000 0x0 0x0 0xffffd9800002a800 0001 00000000 0x0 0x0 0xffffd9800002a880 0001 00000000 0x0 0x0 0xffffd9800002a900 0001 00000000 0x0 0x0 0xffffd9800002a980 0001 00000000 0x0 0x0 0xffffd9800002aa00 0001 00000000 0x0 0x0 0xffffd9800002aa80 0001 00000000 0x0 0x0 0xffffd9800002ab00 0001 00000000 0x0 0x0 0xffffd9800002ab80 0001 00000000 0x0 0x0 0xffffd9800002ac00 0001 00000000 0x0 0x0 0xffffd9800002ac80 0001 00000000 0x0 0x0 0xffffd9800002ad00 0001 00000000 0x0 0x0 0xffffd9800002ad80 0001 00000000 0x0 0x0 0xffffd9800002ae00 0001 00000000 0x0 0x0 0xffffd9800002ae80 0001 00000000 0x0 0x0 0xffffd9800002af00 0001 00000000 0x0 0x0 0xffffd9800002af80 0001 00000000 0x0 0x0 0xffffd9800002b000 0001 00000000 0x0 0x0 0xffffd9800002b080 0001 00000000 0x0 0x0 0xffffd9800002b100 0001 00000000 0x0 0x0 0xffffd9800002b180 0001 00000000 0x0 0x0 0xffffd9800002b200 0001 00000000 0x0 0x0 0xffffd9800002b280 0001 00000000 0x0 0x0