[ 51.0443534] panic: LOCKDEBUG: Mutex error: rw_vector_enter,309: spin lock held [ 51.0443534] cpu0: Begin traceback... [ 51.0443534] vpanic() at netbsd:vpanic+0x2f2 sys/kern/subr_prf.c:291 [ 51.0443534] panic() at netbsd:panic+0x49 sys/kern/subr_prf.c:1046 [ 51.0443534] lockdebug_abort1() at netbsd:lockdebug_abort1+0x191 lockdebug_abort1 sys/kern/subr_lockdebug.c:814 [inline] [ 51.0443534] lockdebug_abort1() at netbsd:lockdebug_abort1+0x191 sys/kern/subr_lockdebug.c:796 [ 51.0443534] lockdebug_barrier() at netbsd:lockdebug_barrier+0x170 sys/kern/subr_lockdebug.c:646 [ 51.0443534] rw_enter() at netbsd:rw_enter+0x968 sys/kern/kern_rwlock.c:309 [ 51.0443534] uvm_fault_internal() at netbsd:uvm_fault_internal+0x20b uvmfault_lookup sys/uvm/uvm_fault_i.h:128 [inline] [ 51.0443534] uvm_fault_internal() at netbsd:uvm_fault_internal+0x20b uvm_fault_check sys/uvm/uvm_fault.c:981 [inline] [ 51.0443534] uvm_fault_internal() at netbsd:uvm_fault_internal+0x20b sys/uvm/uvm_fault.c:891 [ 51.0443534] trap() at netbsd:trap+0xa5f sys/arch/amd64/amd64/trap.c:520 [ 51.0443534] --- trap (number 6) --- [ 51.0443534] virtio_pci_kick_10() at netbsd:virtio_pci_kick_10+0x184 sys/dev/pci/virtio_pci.c:581 [ 51.0443534] vioscsi_scsipi_request() at netbsd:vioscsi_scsipi_request+0xa3d sys/dev/pci/vioscsi.c:425 [ 51.0443534] scsipi_adapter_request() at netbsd:scsipi_adapter_request+0xd9 sys/dev/scsipi/scsipi_base.c:2834 [ 51.0443534] scsipi_run_queue() at netbsd:scsipi_run_queue+0x5ea sys/dev/scsipi/scsipi_base.c:2090 [ 51.0443534] scsipi_execute_xs() at netbsd:scsipi_execute_xs+0x7f2 sys/dev/scsipi/scsipi_base.c:2310 [ 51.0443534] sd_diskstart() at netbsd:sd_diskstart+0x79e sys/dev/scsipi/sd.c:787 [ 51.0443534] dk_start() at netbsd:dk_start+0x32b sys/dev/dksubr.c:429 [ 51.0443534] dk_strategy() at netbsd:dk_strategy+0x42 sys/dev/dksubr.c:337 [ 51.0443534] sdstrategy() at netbsd:sdstrategy+0x1ec sys/dev/scsipi/sd.c:643 [ 51.0443534] bdev_strategy() at netbsd:bdev_strategy+0x180 sys/kern/subr_devsw.c:1268 [ 51.0443534] spec_strategy() at netbsd:spec_strategy+0x1b4 sys/miscfs/specfs/spec_vnops.c:1510 [ 51.0443534] VOP_STRATEGY() at netbsd:VOP_STRATEGY+0x132 sys/kern/vnode_if.c:1734 [ 51.0443534] dkstart() at netbsd:dkstart+0x5e3 sys/dev/dkwedge/dk.c:1404 [ 51.0443534] dkstrategy() at netbsd:dkstrategy+0x3c0 sys/dev/dkwedge/dk.c:1317 [ 51.0443534] bdev_strategy() at netbsd:bdev_strategy+0x180 sys/kern/subr_devsw.c:1268 [ 51.0443534] spec_strategy() at netbsd:spec_strategy+0x1b4 sys/miscfs/specfs/spec_vnops.c:1510 [ 51.0443534] VOP_STRATEGY() at netbsd:VOP_STRATEGY+0x132 sys/kern/vnode_if.c:1734 [ 51.0443534] ufs_strategy() at netbsd:ufs_strategy+0x207 sys/ufs/ufs/ufs_vnops.c:1895 [ 51.0443534] VOP_STRATEGY() at netbsd:VOP_STRATEGY+0x132 sys/kern/vnode_if.c:1734 [ 51.0443534] bwrite() at netbsd:bwrite+0x509 sys/kern/vfs_bio.c:912 [ 51.0443534] VOP_BWRITE() at netbsd:VOP_BWRITE+0x163 sys/kern/vnode_if.c:374 [ 51.0443534] ufs_dirremove() at netbsd:ufs_dirremove+0x4b3 sys/ufs/ufs/ufs_lookup.c:1224 [ 51.0443534] ufs_remove() at netbsd:ufs_remove+0x345 sys/ufs/ufs/ufs_vnops.c:861 [ 51.0443534] VOP_REMOVE() at netbsd:VOP_REMOVE+0x209 sys/kern/vnode_if.c:1219 [ 51.0443534] do_sys_unlinkat() at netbsd:do_sys_unlinkat+0x43b sys/kern/vfs_syscalls.c:2916 [ 51.0443534] syscall() at netbsd:syscall+0x2da sy_call sys/sys/syscallvar.h:65 [inline] [ 51.0443534] syscall() at netbsd:syscall+0x2da sy_invoke sys/sys/syscallvar.h:94 [inline] [ 51.0443534] syscall() at netbsd:syscall+0x2da sys/arch/x86/x86/syscall.c:138 [ 51.0443534] --- syscall (number 10) --- [ 51.0443534] netbsd:syscall+0x2da: [ 51.0443534] cpu0: End traceback... [ 51.0443534] fatal breakpoint trap in supervisor mode [ 51.0443534] trap type 1 code 0 rip 0xffffffff80235375 cs 0x8 rflags 0x246 cr2 0xffffffff ilevel 0x8 rsp 0xffff910248033f50 [ 51.0443534] curlwp 0xffffb1ab81fab280 pid 325.325 lowest kstack 0xffff9102480302c0 Stopped in pid 325.325 (syz-executor.3) at netbsd:breakpoint+0x5: leave ? breakpoint() at netbsd:breakpoint+0x5 db_panic() at netbsd:db_panic+0xec sys/ddb/db_panic.c:69 vpanic() at netbsd:vpanic+0x2f2 sys/kern/subr_prf.c:291 panic() at netbsd:panic+0x49 sys/kern/subr_prf.c:1046 lockdebug_abort1() at netbsd:lockdebug_abort1+0x191 lockdebug_abort1 sys/kern/subr_lockdebug.c:814 [inline] lockdebug_abort1() at netbsd:lockdebug_abort1+0x191 sys/kern/subr_lockdebug.c:796 lockdebug_barrier() at netbsd:lockdebug_barrier+0x170 sys/kern/subr_lockdebug.c:646 rw_enter() at netbsd:rw_enter+0x968 sys/kern/kern_rwlock.c:309 uvm_fault_internal() at netbsd:uvm_fault_internal+0x20b uvmfault_lookup sys/uvm/uvm_fault_i.h:128 [inline] uvm_fault_internal() at netbsd:uvm_fault_internal+0x20b uvm_fault_check sys/uvm/uvm_fault.c:981 [inline] uvm_fault_internal() at netbsd:uvm_fault_internal+0x20b sys/uvm/uvm_fault.c:891 trap() at netbsd:trap+0xa5f sys/arch/amd64/amd64/trap.c:520 --- trap (number 6) --- virtio_pci_kick_10() at netbsd:virtio_pci_kick_10+0x184 sys/dev/pci/virtio_pci.c:581 vioscsi_scsipi_request() at netbsd:vioscsi_scsipi_request+0xa3d sys/dev/pci/vioscsi.c:425 scsipi_adapter_request() at netbsd:scsipi_adapter_request+0xd9 sys/dev/scsipi/scsipi_base.c:2834 scsipi_run_queue() at netbsd:scsipi_run_queue+0x5ea sys/dev/scsipi/scsipi_base.c:2090 scsipi_execute_xs() at netbsd:scsipi_execute_xs+0x7f2 sys/dev/scsipi/scsipi_base.c:2310 sd_diskstart() at netbsd:sd_diskstart+0x79e sys/dev/scsipi/sd.c:787 dk_start() at netbsd:dk_start+0x32b sys/dev/dksubr.c:429 dk_strategy() at netbsd:dk_strategy+0x42 sys/dev/dksubr.c:337 sdstrategy() at netbsd:sdstrategy+0x1ec sys/dev/scsipi/sd.c:643 bdev_strategy() at netbsd:bdev_strategy+0x180 sys/kern/subr_devsw.c:1268 spec_strategy() at netbsd:spec_strategy+0x1b4 sys/miscfs/specfs/spec_vnops.c:1510 VOP_STRATEGY() at netbsd:VOP_STRATEGY+0x132 sys/kern/vnode_if.c:1734 dkstart() at netbsd:dkstart+0x5e3 sys/dev/dkwedge/dk.c:1404 dkstrategy() at netbsd:dkstrategy+0x3c0 sys/dev/dkwedge/dk.c:1317 bdev_strategy() at netbsd:bdev_strategy+0x180 sys/kern/subr_devsw.c:1268 spec_strategy() at netbsd:spec_strategy+0x1b4 sys/miscfs/specfs/spec_vnops.c:1510 VOP_STRATEGY() at netbsd:VOP_STRATEGY+0x132 sys/kern/vnode_if.c:1734 ufs_strategy() at netbsd:ufs_strategy+0x207 sys/ufs/ufs/ufs_vnops.c:1895 VOP_STRATEGY() at netbsd:VOP_STRATEGY+0x132 sys/kern/vnode_if.c:1734 bwrite() at netbsd:bwrite+0x509 sys/kern/vfs_bio.c:912 VOP_BWRITE() at netbsd:VOP_BWRITE+0x163 sys/kern/vnode_if.c:374 ufs_dirremove() at netbsd:ufs_dirremove+0x4b3 sys/ufs/ufs/ufs_lookup.c:1224 ufs_remove() at netbsd:ufs_remove+0x345 sys/ufs/ufs/ufs_vnops.c:861 VOP_REMOVE() at netbsd:VOP_REMOVE+0x209 sys/kern/vnode_if.c:1219 do_sys_unlinkat() at netbsd:do_sys_unlinkat+0x43b sys/kern/vfs_syscalls.c:2916 syscall() at netbsd:syscall+0x2da sy_call sys/sys/syscallvar.h:65 [inline] syscall() at netbsd:syscall+0x2da sy_invoke sys/sys/syscallvar.h:94 [inline] syscall() at netbsd:syscall+0x2da sys/arch/x86/x86/syscall.c:138 --- syscall (number 10) --- netbsd:syscall+0x2da: Panic string: LOCKDEBUG: Mutex error: rw_vector_enter,309: spin lock held PID LID S CPU FLAGS STRUCT LWP * NAME WAIT 1369 1366 3 0 180 ffffb1ab82ebf900 syz-executor.5 parked 1369 957 3 1 180 ffffb1ab82ebf4c0 syz-executor.5 parked 1369 1369 2 0 10040000 ffffb1ab82c45040 syz-executor.5 1259 1118 2 0 40100 ffffb1ab83072500 syz-executor.1 1259 1259 3 0 10040180 ffffb1ab86b49280 syz-executor.1 nanoslp 1364 577 2 0 100100 ffffb1ab86b496c0 syz-executor.4 1364 1364 3 1 10040000 ffffb1ab86ce1ac0 syz-executor.4 lwpwait 1106 1106 3 0 180 ffffb1ab86ce1240 syz-executor.1 nanoslp 1111 1111 2 1 40140 ffffb1ab82f34100 syz-executor.5 1350 >1350 7 1 140 ffffb1ab83072940 syz-executor.4 1209 1209 3 0 180 ffffb1ab83a7f5c0 syz-executor.0 nanoslp 325 > 325 7 0 140 ffffb1ab81fab280 syz-executor.3 336 336 2 0 140 ffffb1ab8338f580 syz-executor.2 1210 1004 3 1 180 ffffb1ab81fabb00 syz-execprog parked 1210 332 3 0 180 ffffb1ab830720c0 syz-execprog parked 1210 331 3 1 180 ffffb1ab86c17640 syz-execprog kqueue 1210 1208 3 1 180 ffffb1ab86c17200 syz-execprog parked 1210 1205 3 1 180 ffffb1ab81c77200 syz-execprog parked 1210 1200 3 0 180 ffffb1ab8338f140 syz-execprog parked 1210 1212 3 1 180 ffffb1ab82156700 syz-execprog parked 1210 1202 3 1 180 ffffb1ab83b44600 syz-execprog parked 1210 1210 3 1 180 ffffb1ab83b44a40 syz-execprog parked 1204 1204 3 1 180 ffffb1ab82f34980 sshd select 1195 1195 3 0 180 ffffb1ab8338f9c0 getty nanoslp 1196 1196 3 0 180 ffffb1ab823c7740 getty nanoslp 1224 1224 3 1 180 ffffb1ab83b441c0 getty nanoslp 1086 1086 3 0 1c0 ffffb1ab81c85ac0 getty ttyraw 1105 1105 3 1 180 ffffb1ab83a7f180 sshd select 1095 1095 3 0 180 ffffb1ab83a7fa00 powerd kqueue 767 767 3 0 180 ffffb1ab82156b40 syslogd kqueue 745 745 3 0 180 ffffb1ab82c45480 dhcpcd poll 746 746 3 0 180 ffffb1ab82b48bc0 dhcpcd poll 743 743 3 1 180 ffffb1ab81fab6c0 dhcpcd poll 597 597 3 1 180 ffffb1ab823c7b80 dhcpcd poll 292 292 3 0 180 ffffb1ab823c7300 dhcpcd poll 485 485 3 0 180 ffffb1ab821562c0 dhcpcd poll 291 291 3 0 180 ffffb1ab82b48780 dhcpcd poll 1 1 3 0 180 ffffb1ab79a53540 init wait 0 685 3 0 200 ffffb1ab81c77640 physiod physiod 0 196 3 0 200 ffffb1ab81c85680 pooldrain pooldrain 0 195 3 0 200 ffffb1ab81c85240 ioflush syncer 0 194 3 1 200 ffffb1ab81c77a80 pgdaemon pgdaemon 0 170 3 0 200 ffffb1ab7fbbfa40 usb7 usbevt 0 169 3 0 200 ffffb1ab7fbbf600 usb6 usbevt 0 168 3 0 200 ffffb1ab7fbbf1c0 usb5 usbevt 0 167 3 0 200 ffffb1ab7cb32a00 usb4 usbevt 0 166 3 0 200 ffffb1ab7cb325c0 usb3 usbevt 0 165 3 0 200 ffffb1ab7cb32180 usb2 usbevt 0 31 3 0 200 ffffb1ab7aafd9c0 usb1 usbevt 0 63 3 1 200 ffffb1ab7aafd580 usb0 usbevt 0 126 3 0 200 ffffb1ab7aafd140 usbtask-dr usbtsk 0 125 3 1 200 ffffb1ab79a53980 usbtask-hc usbtsk 0 124 3 0 200 ffffb1ab77ea1b00 swwreboot swwreboot 0 123 3 0 200 ffffb1ab798e0740 npfgc0 npfgcw 0 122 3 1 200 ffffb1ab79a53100 rt_free rt_free 0 121 3 1 200 ffffb1ab79a3e940 unpgc unpgc 0 120 3 0 200 ffffb1ab79a3e500 key_timehandler key_timehandler 0 119 3 1 200 ffffb1ab798e0b80 icmp6_wqinput/1 icmp6_wqinput 0 118 3 0 200 ffffb1ab799d7340 icmp6_wqinput/0 icmp6_wqinput 0 117 3 0 200 ffffb1ab79a3e0c0 nd6_timer nd6_timer 0 116 3 1 200 ffffb1ab79a2a900 carp6_wqinput/1 carp6_wqinput 0 115 3 0 200 ffffb1ab79a2a4c0 carp6_wqinput/0 carp6_wqinput 0 114 3 1 200 ffffb1ab79a2a080 carp_wqinput/1 carp_wqinput 0 113 3 0 200 ffffb1ab79a148c0 carp_wqinput/0 carp_wqinput 0 112 3 1 200 ffffb1ab79a14480 icmp_wqinput/1 icmp_wqinput 0 111 3 0 200 ffffb1ab79a14040 icmp_wqinput/0 icmp_wqinput 0 110 3 0 200 ffffb1ab799d7bc0 rt_timer rt_timer 0 109 3 0 200 ffffb1ab799d7780 vmem_rehash vmem_rehash 0 100 3 0 200 ffffb1ab798e0300 entbutler entropy 0 99 3 1 200 ffffb1ab79350b40 viomb balloon 0 98 3 1 200 ffffb1ab79350700 vioif0_txrx/1 vioif0_txrx 0 97 3 0 200 ffffb1ab793502c0 vioif0_txrx/0 vioif0_txrx 0 30 3 0 200 ffffb1ab77ea16c0 scsibus0 sccomp 0 29 3 1 200 ffffb1ab77ea1280 pms0 pmsreset 0 28 3 1 200 ffffb1ab77db4ac0 xcall/1 xcall 0 27 1 1 200 ffffb1ab77db4680 softser/1 0 26 1 1 200 ffffb1ab77db4240 softclk/1 0 25 1 1 200 ffffb1ab77d87a80 softbio/1 0 24 1 1 200 ffffb1ab77d87640 softnet/1 0 23 1 1 201 ffffb1ab77d87200 idle/1 0 22 3 1 200 ffffb1aca612da40 lnxsyswq lnxsyswq 0 21 3 1 200 ffffb1aca612d600 lnxubdwq lnxubdwq 0 20 3 1 200 ffffb1aca612d1c0 lnxpwrwq lnxpwrwq 0 19 3 1 200 ffffb1aca6134a00 lnxlngwq lnxlngwq 0 18 3 1 200 ffffb1aca61345c0 lnxhipwq lnxhipwq 0 17 3 1 200 ffffb1aca6134180 lnxrcugc lnxrcugc 0 16 3 0 200 ffffb1aca61539c0 sysmon smtaskq 0 15 3 1 200 ffffb1aca6153580 pmfsuspend pmfsuspend 0 14 3 0 200 ffffb1aca6153140 pmfevent pmfevent 0 13 3 1 200 ffffb1aca615e980 sopendfree sopendfr 0 12 3 0 200 ffffb1aca615e540 ifwdog ifwdog 0 11 3 0 200 ffffb1aca615e100 iflnkst iflnkst 0 10 3 1 200 ffffb1aca7193940 nfssilly nfssilly 0 9 3 0 200 ffffb1aca7193500 vdrain vdrain 0 8 3 1 200 ffffb1aca71930c0 modunload mod_unld 0 7 3 0 200 ffffb1aca71bc900 xcall/0 xcall 0 6 1 0 200 ffffb1aca71bc4c0 softser/0 0 5 1 0 200 ffffb1aca71bc080 softclk/0 0 4 1 0 200 ffffb1aca71e98c0 softbio/0 0 3 1 0 200 ffffb1aca71e9480 softnet/0 0 2 1 0 201 ffffb1aca71e9040 idle/0 0 0 3 0 200 ffffffff8673fb80 swapper uvm [Locks tracked through LWPs] ****** LWP 325.325 (syz-executor.3) @ 0xffffb1ab81fab280, l_stat=7 *** Locks held: * Lock 0 (initialized at netbsd:vcache_alloc+0xba sys/kern/vfs_vnode.c:1391) lock address : ffffb1ab8693dc00 type : sleep/adaptive initialized : netbsd:vcache_alloc+0xba shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffb1ab81fab280 last held: 0xffffb1ab81fab280 last locked* : netbsd:genfs_lock+0x220 unlocked : netbsd:genfs_unlock+0x50 owner/count : 0xffffb1ab81fab280 flags : 0x0000000000000004 Turnstile: no active turnstile for this lock. * Lock 1 (initialized at netbsd:vcache_alloc+0xba sys/kern/vfs_vnode.c:1391) lock address : ffffb1ab86802a40 type : sleep/adaptive initialized : netbsd:vcache_alloc+0xba shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffb1ab81fab280 last held: 0xffffb1ab81fab280 last locked* : netbsd:genfs_lock+0x220 unlocked : netbsd:genfs_unlock+0x50 owner/count : 0xffffb1ab81fab280 flags : 0x0000000000000004 Turnstile: no active turnstile for this lock. *** Locks wanted: * Lock 0 (initialized at netbsd:uvmspace_alloc+0x339 uvm_map_setup sys/uvm/uvm_map.c:4785 [inline]) * Lock 0 (initialized at netbsd:uvmspace_alloc+0x339 uvmspace_init sys/uvm/uvm_map.c:4128 [inline]) * Lock 0 (initialized at netbsd:uvmspace_alloc+0x339 sys/uvm/uvm_map.c:4107) lock address : ffffb1ab79a77460 type : sleep/adaptive initialized : netbsd:uvmspace_alloc+0x339 shared holds : 0 exclusive: 0 shares wanted: 1 exclusive: 0 relevant cpu : 0 last held: 65535 relevant lwp : 0xffffb1ab81fab280 last held: 000000000000000000 last locked : netbsd:uvm_fault_internal+0x20b unlocked* : netbsd:uvm_fault_lower_enter+0x88c owner/count : 000000000000000000 flags : 000000000000000000 Turnstile: no active turnstile for this lock. ****** LWP 746.746 (dhcpcd) @ 0xffffb1ab82b48bc0, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at netbsd:module_hook_init+0x1c sys/kern/kern_module_hook.c:132) lock address : netbsd:module_hook type : sleep/adaptive initialized : netbsd:module_hook_init+0x1c shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffb1ab82b48bc0 last held: 000000000000000000 last locked : 0 unlocked* : 0 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 743.743 (dhcpcd) @ 0xffffb1ab81fab6c0, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at netbsd:module_hook_init+0x1c sys/kern/kern_module_hook.c:132) lock address : netbsd:module_hook type : sleep/adaptive initialized : netbsd:module_hook_init+0x1c shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 1 last held: 0 relevant lwp : 0xffffb1ab81fab6c0 last held: 000000000000000000 last locked : 0 unlocked* : 0 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 485.485 (dhcpcd) @ 0xffffb1ab821562c0, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at netbsd:module_hook_init+0x1c sys/kern/kern_module_hook.c:132) lock address : netbsd:module_hook type : sleep/adaptive initialized : netbsd:module_hook_init+0x1c shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffb1ab821562c0 last held: 000000000000000000 last locked : 0 unlocked* : 0 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 291.291 (dhcpcd) @ 0xffffb1ab82b48780, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at netbsd:module_hook_init+0x1c sys/kern/kern_module_hook.c:132) lock address : netbsd:module_hook type : sleep/adaptive initialized : netbsd:module_hook_init+0x1c shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffb1ab82b48780 last held: 000000000000000000 last locked : 0 unlocked* : 0 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 0.11 (iflnkst) @ 0xffffb1aca615e100, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at netbsd:module_hook_init+0x1c sys/kern/kern_module_hook.c:132) lock address : netbsd:module_hook type : sleep/adaptive initialized : netbsd:module_hook_init+0x1c shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffb1aca615e100 last held: 000000000000000000 last locked : 0 unlocked* : 0 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 0.5 (softclk/0) @ 0xffffb1aca71bc080, l_stat=1 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at netbsd:module_hook_init+0x1c sys/kern/kern_module_hook.c:132) lock address : netbsd:module_hook type : sleep/adaptive initialized : netbsd:module_hook_init+0x1c shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffb1aca71bc080 last held: 000000000000000000 last locked : 0 unlocked* : 0 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. ****** LWP 0.0 (swapper) @ 0xffffffff8673fb80, l_stat=3 *** Locks held: none *** Locks wanted: * Lock 0 (initialized at netbsd:module_hook_init+0x1c sys/kern/kern_module_hook.c:132) lock address : netbsd:module_hook type : sleep/adaptive initialized : netbsd:module_hook_init+0x1c shared holds : 0 exclusive: 0 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffffff8673fb80 last held: 000000000000000000 last locked : 0 unlocked* : 0 owner field : 000000000000000000 wait/spin: 0/0 Turnstile: no active turnstile for this lock. [Locks tracked through CPUs] ******* Locks held on cpu0: * Lock 0 (initialized at netbsd:main+0x106 sys/kern/init_main.c:303) lock address : netbsd:kernel_lock type : spin initialized : netbsd:main+0x106 shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffb1ab81fab280 last held: 0xffffb1ab81fab280 last locked* : netbsd:scsipi_adapter_request+0x13b unlocked : netbsd:fileassoc_file_delete+0x189 curcpu holds : 1 wanted by: 000000000000000000 * Lock 1 (initialized at netbsd:virtio_init_vq+0x93a sys/dev/pci/virtio.c:748) lock address : ffffb1ab77e6ac00 type : spin initialized : netbsd:virtio_init_vq+0x93a shared holds : 0 exclusive: 1 shares wanted: 0 exclusive: 0 relevant cpu : 0 last held: 0 relevant lwp : 0xffffb1ab81fab280 last held: 0xffffb1ab81fab280 last locked* : netbsd:virtio_enqueue_commit+0x17c unlocked : netbsd:virtio_enqueue_commit+0x298 owner field : 0x0000000000010600 wait/spin: 0/1 PAGE FLAG PQ UOBJECT UANON 0xffff910000007180 0045 00000000 0x0 0x0 0xffff910000007200 0045 00000000 0x0 0x0 0xffff910000007280 0045 00000000 0x0 0x0 0xffff910000007300 0045 00000000 0x0 0x0 0xffff910000007380 0045 00000000 0x0 0x0 0xffff910000007400 0045 00000000 0x0 0x0 0xffff910000007480 0045 00000000 0x0 0x0 0xffff910000007500 0045 00000000 0x0 0x0 0xffff910000007580 0045 00000000 0x0 0x0 0xffff910000007600 0045 00000000 0x0 0x0 0xffff910000007680 0045 00000000 0x0 0x0 0xffff910000007700 0041 00000000 0x0 0x0 0xffff910000007780 0041 00000000 0x0 0x0 0xffff910000007800 0041 00000000 0x0 0x0 0xffff910000007880 0041 00000000 0x0 0x0 0xffff910000007900 0045 00000000 0x0 0x0 0xffff910000007980 0041 00000000 0x0 0x0 0xffff910000007a00 0041 00000000 0x0 0x0 0xffff910000007a80 0041 00000000 0x0 0x0 0xffff910000007b00 0041 00000000 0x0 0x0 0xffff910000007b80 0041 00000000 0x0 0x0 0xffff910000007c00 0041 00000000 0x0 0x0 0xffff910000007c80 0041 00000000 0x0 0x0 0xffff910000007d00 0041 00000000 0x0 0x0 0xffff910000007d80 0041 00000000 0x0 0x0 0xffff910000007e00 0041 00000000 0x0 0x0 0xffff910000007e80 0041 00000000 0x0 0x0 0xffff910000007f00 0041 00000000 0x0 0x0 0xffff910000007f80 0041 00000000 0x0 0x0 0xffff910000008000 0041 00000000 0x0 0x0 0xffff910000008080 0041 00000000 0x0 0x0 0xffff910000008100 0041 00000000 0x0 0x0 0xffff910000008180 0041 00000000 0x0 0x0 0xffff910000008200 0041 00000000 0x0 0x0 0xffff910000008280 0041 00000000 0x0 0x0 0xffff910000008300 0041 00000000 0x0 0x0 0xffff910000008380 0041 00000000 0x0 0x0 0xffff910000008400 0041 00000000 0x0 0x0 0xffff910000008480 0041 00000000 0x0 0x0 0xffff910000008500 0041 00000000 0x0 0x0 0xffff910000008580 0041 00000000 0x0 0x0 0xffff910000008600 0045 00000000 0x0 0x0 0xffff910000008680 0041 00000000 0x0 0x0 0xffff910000008700 0041 00000000 0x0 0x0 0xffff910000008780 0041 00000000 0x0 0x0 0xffff910000008800 0045 00000000 0x0 0x0 0xffff910000008880 0041 00000000 0x0 0x0 0xffff910000008900 0041 00000000 0x0 0x0 0xffff910000008980 0041 00000000 0x0 0x0 0xffff910000008a00 0041 00000000 0x0 0x0 0xffff910000008a80 0041 00000000 0x0 0x0 0xffff910000008b00 0041 00000000 0x0 0x0 0xffff910000008b80 0041 00000000 0x0 0x0 0xffff910000008c00 0041 00000000 0x0 0x0 0xffff910000008c80 0041 00000000 0x0 0x0 0xffff910000008d00 0041 00000000 0x0 0x0 0xffff910000008d80 0041 00000000 0x0 0x0 0xffff910000008e00 0041 00000000 0x0 0x0 0xffff910000008e80 0041 00000000 0x0 0x0 0xffff910000008f00 0041 00000000 0x0 0x0 0xffff910000008f80 0041 00000000 0x0 0x0 0xffff910000009000 0041 00000000 0x0 0x0 0xffff910000009080 0045 00000000 0x0 0x0 0xffff910000009100 0041 00000000 0x0 0x0 0xffff910000009180 0041 00000000 0x0 0x0 0xffff910000009200 0041 00000000 0x0 0x0 0xffff910000009280 0041 00000000 0x0 0x0 0xffff910000009300 0041 00000000 0x0 0x0 0xffff910000009380 0041 00000000 0x0 0x0 0xffff910000009400 0041 00000000 0x0 0x0 0xffff910000009480 0041 00000000 0x0 0x0 0xffff910000009500 0041 00000000 0x0 0x0 0xffff910000009580 0041 00000000 0x0 0x0 0xffff910000009600 0041 00000000 0x0 0x0 0xffff910000009680 0041 00000000 0x0 0x0 0xffff910000009700 0041 00000000 0x0 0x0 0xffff910000009780 0041 00000000 0x0 0x0 0xffff910000009800 0041 00000000 0x0 0x0 0xffff910000009880 0041 00000000 0x0 0x0 0xffff910000009900 0041 00000000 0x0 0x0 0xffff910000009980 0041 00000000 0x0 0x0 0xffff910000009a00 0041 00000000 0x0 0x0 0xffff910000009a80 0041 00000000 0x0 0x0 0xffff910000009b00 0041 00000000 0x0 0x0 0xffff910000009b80 0041 00000000 0x0 0x0 0xffff910000009c00 0041 00000000 0x0 0x0 0xffff910000009c80 0041 00000000 0x0 0x0 0xffff910000009d00 0041 00000000 0x0 0x0 0xffff910000009d80 0041 00000000 0x0 0x0 0xffff910000009e00 0041 00000000 0x0 0x0 0xffff910000009e80 0045 00000000 0x0 0x0 0xffff910000009f00 0041 00000000 0x0 0x0 0xffff910000009f80 0041 00000000 0x0 0x0 0xffff91000000a000 0041 00000000 0x0 0x0 0xffff91000000a080 0041 00000000 0x0 0x0 0xffff91000000a100 0041 00000000 0x0 0x0 0xffff91000000a180 0041 00000000 0x0 0x0 0xffff91000000a200 0041 00000000 0x0 0x0 0xffff91000000a280 0041 00000000 0x0 0x0 0xffff91000000a300 0041 00000000 0x0 0x0 0xffff91000000a380 0041 00000000 0x0 0x0 0xffff91000000a400 0041 00000000 0x0 0x0 0xffff91000000a480 0041 00000000 0x0 0x0 0xffff91000000a500 0041 00000000 0x0 0x0 0xffff91000000a580 0041 00000000 0x0 0x0 0xffff91000000a600 0041 00000000 0x0 0x0 0xffff91000000a680 0041 00000000 0x0 0x0 0xffff91000000a700 0041 00000000 0x0 0x0 0xffff91000000a780 0041 00000000 0x0 0x0 0xffff91000000a800 0041 00000000 0x0 0x0 0xffff91000000a880 0041 00000000 0x0 0x0 0xffff91000000a900 0041 00000000 0x0 0x0 0xffff91000000a980 0041 00000000 0x0 0x0 0xffff91000000aa00 0041 00000000 0x0 0x0 0xffff91000000aa80 0041 00000000 0x0 0x0 0xffff91000000ab00 0041 00000000 0x0 0x0 0xffff91000000ab80 0041 00000000 0x0 0x0 0xffff91000000ac00 0041 00000000 0x0 0x0 0xffff91000000ac80 0041 00000000 0x0 0x0 0xffff91000000ad00 0041 00000000 0x0 0x0 0xffff91000000ad80 0041 00000000 0x0 0x0 0xffff91000000ae00 0041 00000000 0x0 0x0 0xffff91000000ae80 0041 00000000 0x0 0x0 0xffff91000000af00 0045 00000000 0x0 0x0 0xffff91000000af80 0045 00000000 0x0 0x0 0xffff91000000b000 0041 00000000 0x0 0x0 0xffff91000000b080 0041 00000000 0x0 0x0 0xffff91000000b100 0041 00000000 0x0 0x0 0xffff91000000b180 0045 00000000 0x0 0x0 0xffff91000000b200 0041 00000000 0x0 0x0 0xffff91000000b280 0045 00000000 0x0 0x0 0xffff91000000b300 0045 00000000 0x0 0x0 0xffff91000000b380 0045 00000000 0x0 0x0 0xffff91000000b400 0041 00000000 0x0 0x0 0xffff91000000b480 0041 00000000 0x0 0x0 0xffff91000000b500 0045 00000000 0x0 0x0 0xffff91000000b580 0045 00000000 0x0 0x0 0xffff91000000b600 0045 00000000 0x0 0x0 0xffff91000000b680 0045 00000000 0x0 0x0 0xffff91000000b700 0045 00000000 0x0 0x0 0xffff91000000b780 0045 00000000 0x0 0x0 0xffff91000000b800 0045 00000000 0x0 0x0 0xffff91000000b880 0041 00000000 0x0 0x0 0xffff91000000b900 0045 00000000 0x0 0x0 0xffff91000000b980 0045 00000000 0x0 0x0 0xffff91000000ba00 0045 00000000 0x0 0x0 0xffff91000000ba80 0045 00000000 0x0 0x0 0xffff91000000bb00 0045 00000000 0x0 0x0 0xffff91000000bb80 0045 00000000 0x0 0x0 0xffff91000000bc00 0045 00000000 0x0 0x0 0xffff91000000bc80 0041 00000000 0x0 0x0 0xffff91000000bd00 0045 00000000 0x0 0x0 0xffff91000000bd80 0045 00000000 0x0 0x0 0xffff91000000be00 0045 00000000 0x0 0x0 0xffff91000000be80 0045 00000000 0x0 0x0 0xffff91000000bf00 0045 00000000 0x0 0x0 0xffff91000000bf80 0045 00000000 0x0 0x0 0xffff91000000c000 0045 00000000 0x0 0x0 0xffff91000000c080 0045 00000000 0x0 0x0 0xffff91000000c100 0045 00000000 0x0 0x0 0xffff91000000c180 0045 00000000 0x0 0x0 0xffff91000000c200 0045 00000000 0x0 0x0 0xffff91000000c280 0045 00000000 0x0 0x0 0xffff91000000c300 0045 00000000 0x0 0x0 0xffff91000000c380 0045 00000000 0x0 0x0 0xffff91000000c400 0045 00000000 0x0 0x0 0xffff91000000c480 0045 00000000 0x0 0x0 0xffff91000000c500 0045 00000000 0x0 0x0 0xffff91000000c580 0045 00000000 0x0 0x0 0xffff91000000c600 0045 00000000 0x0 0x0 0xffff91000000c680 0045 00000000 0x0 0x0 0xffff91000000c700 0041 00000000 0x0 0x0 0xffff91000000c780 0041 00000000 0x0 0x0 0xffff91000000c800 0045 00000000 0x0 0x0 0xffff91000000c880 0045 00000000 0x0 0x0 0xffff91000000c900 0045 00000000 0x0 0x0 0xffff91000000c980 0045 00000000 0x0 0x0 0xffff91000000ca00 0045 00000000 0x0 0x0 0xffff91000000ca80 0045 00000000 0x0 0x0 0xffff91000000cb00 0041 00000000 0x0 0x0 0xffff91000000cb80 0041 00000000 0x0 0x0 0xffff91000000cc00 0045 00000000 0x0 0x0 0xffff91000000cc80 0045 00000000 0x0 0x0 0xffff91000000cd00 0045 00000000 0x0 0x0 0xffff91000000cd80 0041 00000000 0x0 0x0 0xffff91000000ce00 0045 00000000 0x0 0x0 0xffff91000000ce80 0041 00000000 0x0 0x0 0xffff91000000cf00 0041 00000000 0x0 0x0 0xffff91000000cf80 0041 00000000 0x0 0x0 0xffff91000000d000 0041 00000000 0x0 0x0 0xffff91000000d080 0045 00000000 0x0 0x0 0xffff91000000d100 0041 00000000 0x0 0x0 0xffff91000000d180 0041 00000000 0x0 0x0 0xffff91000000d200 0041 00000000 0x0 0x0 0xffff91000000d280 0041 00000000 0x0 0x0 0xffff91000000d300 0045 00000000 0x0 0x0 0xffff91000000d380 0041 00000000 0x0 0x0 0xffff91000000d400 0041 00000000 0x0 0x0 0xffff91000000d480 0045 00000000 0x0 0x0 0xffff91000000d500 0041 00000000 0x0 0x0 0xffff91000000d580 0041 00000000 0x0 0x0 0xffff91000000d600 0041 00000000 0x0 0x0 0xffff91000000d680 0041 00000000 0x0 0x0 0xffff91000000d700 0041 00000000 0x0 0x0 0xffff91000000d780 0045 00000000 0x0 0x0 0xffff91000000d800 0041 00000000 0x0 0x0 0xffff91000000d880 0041 00000000 0x0 0x0 0xffff91000000d900 0041 00000000 0x0 0x0 0xffff91000000d980 0041 00000000 0x0 0x0 0xffff91000000da00 0041 00000000 0x0 0x0 0xffff91000000da80 0045 00000000 0x0 0x0 0xffff91000000db00 0045 00000000 0x0 0x0 0xffff91000000db80 0045 00000000 0x0 0x0 0xffff91000000dc00 0041 00000000 0x0 0x0 0xffff91000000dc80 0041 00000000 0x0 0x0 0xffff91000000dd00 0041 00000000 0x0 0x0 0xffff91000000dd80 0041 00000000 0x0 0x0 0xffff91000000de00 0041 00000000 0x0 0x0 0xffff91000000de80 0041 00000000 0x0 0x0 0xffff91000000df00 0045 00000000 0x0 0x0 0xffff91000000df80 0045 00000000 0x0 0x0 0xffff91000000e000 0045 00000000 0x0 0x0 0xffff91000000e080 0041 00000000 0x0 0x0 0xffff91000000e100 0041 00000000 0x0 0x0 0xffff91000000e180 0045 00000000 0x0 0x0 0xffff91000000e200 0041 00000000 0x0 0x0 0xffff91000000e280 0041 00000000 0x0 0x0 0xffff91000000e300 0045 00000000 0x0 0x0 0xffff91000000e380 0041 00000000 0x0 0x0 0xffff91000000e400 0045 00000000 0x0 0x0 0xffff91000000e480 0041 00000000 0x0 0x0 0xffff91000000e500 0045 00000000 0x0 0x0 0xffff91000000e580 0041 00000000 0x0 0x0 0xffff91000000e600 0045 00000000 0x0 0x0 0xffff91000000e680 0045 00000000 0x0 0x0 0xffff91000000e700 0041 00000000 0x0 0x0 0xffff91000000e780 0041 00000000 0x0 0x0 0xffff91000000e800 0045 00000000 0x0 0x0 0xffff91000000e880 0045 00000000 0x0 0x0 0xffff91000000e900 0041 00000000 0x0 0x0 0xffff91000000e980 0041 00000000 0x0 0x0 0xffff91000000ea00 0041 00000000 0x0 0x0 0xffff91000000ea80 0041 00000000 0x0 0x0 0xffff91000000eb00 0041 00000000 0x0 0x0 0xffff91000000eb80 0045 00000000 0x0 0x0 0xffff91000000ec00 0041 00000000 0x0 0x0 0xffff91000000ec80 0041 00000000 0x0 0x0 0xffff91000000ed00 0041 00000000 0x0 0x0 0xffff91000000ed80 0041 00000000 0x0 0x0 0xffff91000000ee00 0041 00000000 0x0 0x0 0xffff91000000ee80 0045 00000000 0x0 0x0 0xffff91000000ef00 0041 00000000 0x0 0x0 0xffff91000000ef80 0041 00000000 0x0 0x0 0xffff91000000f000 0041 00000000 0x0 0x0 0xffff91000000f080 0045 00000000 0x0 0x0 0xffff91000000f100 0041 00000000 0x0 0x0 0xffff91000000f180 0041 00000000 0x0 0x0 0xffff91000000f200 0041 00000000 0x0 0x0 0xffff91000000f280 0041 00000000 0x0 0x0 0xffff91000000f300 0041 00000000 0x0 0x0 0xffff91000000f380 0041 00000000 0x0 0x0 0xffff91000000f400 0045 00000000 0x0 0x0 0xffff91000000f480 0041 00000000 0x0 0x0 0xffff91000000f500 0041 00000000 0x0 0x0 0xffff91000000f580 0041 00000000 0x0 0x0 0xffff91000000f600 0041 00000000 0x0 0x0 0xffff91000000f680 0045 00000000 0x0 0x0 0xffff91000000f700 0041 00000000 0x0 0x0 0xffff91000000f780 0041 00000000 0x0 0x0 0xffff91000000f800 0041 00000000 0x0 0x0 0xffff91000000f880 0045 00000000 0x0 0x0 0xffff91000000f900 0041 00000000 0x0 0x0 0xffff91000000f980 0045 00000000 0x0 0x0 0xffff91000000fa00 0041 00000000 0x0 0x0 0xffff91000000fa80 0041 00000000 0x0 0x0 0xffff91000000fb00 0041 00000000 0x0 0x0 0xffff91000000fb80 0041 00000000 0x0 0x0 0xffff91000000fc00 0045 00000000 0x0 0x0 0xffff91000000fc80 0041 00000000 0x0 0x0 0xffff91000000fd00 0045 00000000 0x0 0x0 0xffff91000000fd80 0041 00000000 0x0 0x0 0xffff91000000fe00 0041 00000000 0x0 0x0 0xffff91000000fe80 0041 00000000 0x0 0x0 0xffff91000000ff00 0041 00000000 0x0 0x0 0xffff91000000ff80 0041 00000000 0x0 0x0 0xffff910000010000 0041 00000000 0x0 0x0 0xffff910000010080 0041 00000000 0x0 0x0 0xffff910000010100 0041 00000000 0x0 0x0 0xffff910000010180 0045 00000000 0x0 0x0 0xffff910000010200 0045 00000000 0x0 0x0 0xffff910000010280 0041 00000000 0x0 0x0 0xffff910000010300 0041 00000000 0x0 0x0 0xffff910000010380 0041 00000000 0x0 0x0 0xffff910000010400 0041 00000000 0x0 0x0 0xffff910000010480 0045 00000000 0x0 0x0 0xffff910000010500 0045 00000000 0x0 0x0 0xffff910000010580 0041 00000000 0x0 0x0 0xffff910000010600 0041 00000000 0x0 0x0 0xffff910000010680 0041 00000000 0x0 0x0 0xffff910000010700 0041 00000000 0x0 0x0 0xffff910000010780 0041 00000000 0x0 0x0 0xffff910000010800 0041 00000000 0x0 0x0 0xffff910000010880 0041 00000000 0x0 0x0 0xffff910000010900 0041 00000000 0x0 0x0 0xffff910000010980 0045 00000000 0x0 0x0 0xffff910000010a00 0045 00000000 0x0 0x0 0xffff910000010a80 0045 00000000 0x0 0x0 0xffff910000010b00 0041 00000000 0x0 0x0 0xffff910000010b80 0041 00000000 0x0 0x0 0xffff910000010c00 0041 00000000 0x0 0x0 0xffff910000010c80 0045 00000000 0x0 0x0 0xffff910000010d00 0041 00000000 0x0 0x0 0xffff910000010d80 0041 00000000 0x0 0x0 0xffff910000010e00 0041 00000000 0x0 0x0 0xffff910000010e80 0041 00000000 0x0 0x0 0xffff910000010f00 0041 00000000 0x0 0x0 0xffff910000010f80 0041 00000000 0x0 0x0 0xffff910000011000 0041 00000000 0x0 0x0 0xffff910000011080 0041 00000000 0x0 0x0 0xffff910000011100 0041 00000000 0x0 0x0 0xffff910000011180 0041 00000000 0x0 0x0 0xffff910000011200 0045 00000000 0x0 0x0 0xffff910000011280 0045 00000000 0x0 0x0 0xffff910000011300 0041 00000000 0x0 0x0 0xffff910000011380 0041 00000000 0x0 0x0 0xffff910000011400 0041 00000000 0x0 0x0 0xffff910000011480 0041 00000000 0x0 0x0 0xffff910000011500 0045 00000000 0x0 0x0 0xffff910000011580 0041 00000000 0x0 0x0 0xffff910000011600 0041 00000000 0x0 0x0 0xffff910000011680 0041 00000000 0x0 0x0 0xffff910000011700 0045 00000000 0x0 0x0 0xffff910000011780 0045 00000000 0x0 0x0 0xffff910000011800 0041 00000000 0x0 0x0 0xffff910000011880 0041 00000000 0x0 0x0 0xffff910000011900 0041 00000000 0x0 0x0 0xffff910000011980 0041 00000000 0x0 0x0 0xffff910000011a00 0041 00000000 0x0 0x0 0xffff910000011a80 0041 00000000 0x0 0x0 0xffff910000011b00 0041 00000000 0x0 0x0 0xffff910000011b80 0041 00000000 0x0 0x0 0xffff910000011c00 0041 00000000 0x0 0x0 0xffff910000011c80 0041 00000000 0x0 0x0 0xffff910000011d00 0041 00000000 0x0 0x0 0xffff910000011d80 0041 00000000 0x0 0x0 0xffff910000011e00 0045 00000000 0x0 0x0 0xffff910000011e80 0041 00000000 0x0 0x0 0xffff910000011f00 0041 00000000 0x0 0x0 0xffff910000011f80 0041 00000000 0x0 0x0 0xffff910000012000 0041 00000000 0x0 0x0 0xffff910000012080 0041 00000000 0x0 0x0 0xffff910000012100 0045 00000000 0x0 0x0 0xffff910000012180 0045 00000000 0x0 0x0 0xffff910000012200 0041 00000000 0x0 0x0 0xffff910000012280 0041 00000000 0x0 0x0 0xffff910000012300 0041 00000000 0x0 0x0 0xffff910000012380 0041 00000000 0x0 0x0 0xffff910000012400 0041 00000000 0x0 0x0 0xffff910000012480 0041 00000000 0x0 0x0 0xffff910000012500 0041 00000000 0x0 0x0 0xffff910000012580 0041 00000000 0x0 0x0 0xffff910000012600 0041 00000000 0x0 0x0 0xffff910000012680 0045 00000000 0x0 0x0 0xffff910000012700 0041 00000000 0x0 0x0 0xffff910000012780 0041 00000000 0x0 0x0 0xffff910000012800 0041 00000000 0x0 0x0 0xffff910000012880 0045 00000000 0x0 0x0 0xffff910000012900 0001 00000000 0x0 0x0 0xffff910000012980 0001 00000000 0x0 0x0 0xffff910000012a00 0001 00000000 0x0 0x0 0xffff910000012a80 0001 00000000 0x0 0x0 0xffff910000012b00 0001 00000000 0x0 0x0 0xffff910000012b80 0001 00000000 0x0 0x0 0xffff910000012c00 0001 00000000 0x0 0x0 0xffff910000012c80 0001 00000000 0x0 0x0 0xffff910000012d00 0001 00000000 0x0 0x0 0xffff910000012d80 0001 00000000 0x0 0x0 0xffff910000012e00 0001 00000000 0x0 0x0 0xffff910000012e80 0001 00000000 0x0 0x0 0xffff910000012f00 0001 00000000 0x0 0x0 0xffff910000012f80 0001 00000000 0x0 0x0 0xffff910000013000 0001 00000000 0x0 0x0 0xffff910000013080 0001 00000000 0x0 0x0 0xffff910000013100 0001 00000000 0x0 0x0 0xffff910000013180 0001 00000000 0x0 0x0 0xffff910000013200 0001 00000000 0x0 0x0 0xffff910000013280 0001 00000000 0x0 0x0 0xffff910000013300 0001 00000000 0x0 0x0 0xffff910000013380 0001 00000000 0x0 0x0 0xffff910000013400 0001 00000000 0x0 0x0 0xffff910000013480 0001 00000000 0x0 0x0 0xffff910000013500 0001 00000000 0x0 0x0 0xffff910000013580 0001 00000000 0x0 0x0 0xffff910000013600 0001 00000000 0x0 0x0 0xffff910000013680 0001 00000000 0x0 0x0 0xffff910000013700 0001 00000000 0x0 0x0 0xffff910000013780 0001 00000000 0x0 0x0 0xffff910000013800 0001 00000000 0x0 0x0 0xffff910000013880 0001 00000000 0x0 0x0 0xffff910000013900 0001 00000000 0x0 0x0 0xffff910000013980 0001 00000000 0x0 0x0 0xffff910000013a00 0001 00000000 0x0 0x0 0xffff910000013a80 0001 00000000 0x0 0x0 0xffff910000013b00 0001 00000000 0x0 0x0 0xffff910000013b80 0001 00000000 0x0 0x0 0xffff910000013c00 0001 00000000 0x0 0x0 0xffff910000013c80 0001 00000000 0x0 0x0 0xffff910000013d00 0001 00000000 0x0 0x0 0xffff910000013d80 0001 00000000 0x0 0x0 0xffff910000013e00 0001 00000000 0x0 0x0 0xffff910000013e80 0001 00000000 0x0 0x0 0xffff910000013f00 0001 00000000 0x0 0x0 0xffff910000013f80 0001 00000000 0x0 0x0 0xffff910000014000 0001 00000000 0x0 0x0 0xffff910000014080 0001 00000000 0x0 0x0 0xffff910000014100 0001 00000000 0x0 0x0 0xffff910000014180 0001 00000000 0x0 0x0 0xffff910000014200 0001 00000000 0x0 0x0 0xffff910000014280 0001 00000000 0x0 0x0 0xffff910000014300 0001 00000000 0x0 0x0 0xffff910000014380 0001 00000000 0x0 0x0 0xffff910000014400 0041 00000000 0x0 0x0 0xffff910000014480 0041 00000000 0x0 0x0 0xffff910000014500 0041 00000000 0x0 0x0 0xffff910000014580 0041 00000000 0x0 0x0 0xffff910000014600 0041 00000000 0x0 0x0 0xffff910000014680 0041 00000000 0x0 0x0 0xffff910000014700 0041 00000000 0x0 0x0 0xffff910000014780 0041 00000000 0x0 0x0 0xffff910000014800 0041 00000000 0x0 0x0 0xffff910000014880 0041 00000000 0x0 0x0 0xffff910000014900 0041 00000000 0x0 0x0 0xffff910000014980 0041 00000000 0x0 0x0 0xffff910000014a00 0041 00000000 0x0 0x0 0xffff910000014a80 0041 00000000 0x0 0x0 0xffff910000014b00 0041 00000000 0x0 0x0 0xffff910000014b80 0041 00000000 0x0 0x0 0xffff910000014c00 0041 00000000 0x0 0x0 0xffff910000014c80 0041 00000000 0x0 0x0 0xffff910000014d00 0041 00000000 0x0 0x0 0xffff910000014d80 0041 00000000 0x0 0x0 0xffff910000014e00 0041 00000000 0x0 0x0 0xffff910000014e80 0041 00000000 0x0 0x0 0xffff910000014f00 0041 00000000 0x0 0x0 0xffff910000014f80 0041 00000000 0x0 0x0 0xffff910000015000 0041 00000000 0x0 0x0 0xffff910000015080 0041 00000000 0x0 0x0 0xffff910000015100 0041 00000000 0x0 0x0 0xffff910000015180 0041 00000000 0x0 0x0 0xffff910000015200 0041 00000000 0x0 0x0 0xffff910000015280 0041 00000000 0x0 0x0 0xffff910000015300 0041 00000000 0x0 0x0 0xffff910000015380 0041 00000000 0x0 0x0 0xffff910000015400 0041 00000000 0x0 0x0 0xffff910000015480 0041 00000000 0x0 0x0 0xffff910000015500 0041 00000000 0x0 0x0 0xffff910000015580 0041 00000000 0x0 0x0 0xffff910000015600 0041 00000000 0x0 0x0 0xffff910000015680 0041 00000000 0x0 0x0 0xffff910000015700 0041 00000000 0x0 0x0 0xffff910000015780 0041 00000000 0x0 0x0 0xffff910000015800 0041 00000000 0x0 0x0 0xffff910000015880 0041 00000000 0x0 0x0 0xffff910000015900 0041 00000000 0x0 0x0 0xffff910000015980 0041 00000000 0x0 0x0 0xffff910000015a00 0041 00000000 0x0 0x0 0xffff910000015a80 0041 00000000 0x0 0x0 0xffff910000015b00 0001 00000000 0x0 0x0 0xffff910000015b80 0001 00000000 0x0 0x0 0xffff910000015c00 0001 00000000 0x0 0x0 0xffff910000015c80 0001 00000000 0x0 0x0 0xffff910000015d00 0001 00000000 0x0 0x0 0xffff910000015d80 0001 00000000 0x0 0x0 0xffff910000015e00 0001 00000000 0x0 0x0 0xffff910000015e80 0001 00000000 0x0 0x0 0xffff910000015f00 0001 00000000 0x0 0x0 0xffff910000015f80 0001 00000000 0x0 0x0 0xffff910000016000 0001 00000000 0x0 0x0 0xffff910000016080 0001 00000000 0x0 0x0 0xffff910000016100 0001 00000000 0x0 0x0 0xffff910000016180 0001 00000000 0x0 0x0 0xffff910000016200 0001 00000000 0x0 0x0 0xffff910000016280 0001 00000000 0x0 0x0 0xffff910000016300 0001 00000000 0x0 0x0 0xffff910000016380 0001 00000000 0x0 0x0 0xffff910000016400 0001 00000000 0x0 0x0 0xffff910000016480 0001 00000000 0x0 0x0 0xffff910000016500 0001 00000000 0x0 0x0 0xffff910000016580 0001 00000000 0x0 0x0 0xffff910000016600 0001 00000000 0x0 0x0 0xffff910000016680 0001 00000000 0x0 0x0 0xffff910000016700 0001 00000000 0x0 0x0 0xffff910000016780 0001 00000000 0x0 0x0 0xffff910000016800 0001 00000000 0x0 0x0 0xffff910000016880 0001 00000000 0x0 0x0 0xffff910000016900 0001 00000000 0x0 0x0 0xffff910000016980 0001 00000000 0x0 0x0 0xffff910000016a00 0001 00000000 0x0 0x0 0xffff910000016a80 0001 00000000 0x0 0x0 0xffff910000016b00 0001 00000000 0x0 0x0 0xffff910000016b80 0001 00000000 0x0 0x0 0xffff910000016c00 0001 00000000 0x0 0x0 0xffff910000016c80 0001 00000000 0x0 0x0 0xffff910000016d00 0001 00000000 0x0 0x0 0xffff910000016d80 0001 00000000 0x0 0x0 0xffff910000016e00 0001 00000000 0x0 0x0 0xffff910000016e80 0001 00000000 0x0 0x0 0xffff910000016f00 0001 00000000 0x0 0x0 0xffff910000016f80 0001 00000000 0x0 0x0 0xffff910000017000 0001 00000000 0x0 0x0 0xffff910000017080 0001 00000000 0x0 0x0 0xffff910000017100 0001 00000000 0x0 0x0 0xffff910000017180 0001 00000000 0x0 0x0 0xffff910000017200 0001 00000000 0x0 0x0 0xffff910000017280 0001 00000000 0x0 0x0 0xffff910000017300 0001 00000000 0x0 0x0 0xffff910000017380 0001 00000000 0x0 0x0 0xffff910000017400 0001 00000000 0x0 0x0 0xffff910000017480 0001 00000000 0x0 0x0 0xffff910000017500 0001 00000000 0x0 0x0 0xffff910000017580 0001 00000000 0x0 0x0 0xffff910000017600 0041 00000000 0x0 0x0 0xffff910000017680 0041 00000000 0x0 0x0 0xffff910000017700 0041 00000000 0x0 0x0 0xffff910000017780 0041 00000000 0x0 0x0 0xffff910000017800 0041 00000000 0x0 0x0 0xffff910000017880 0041 00000000 0x0 0x0 0xffff910000017900 0041 00000000 0x0 0x0 0xffff910000017980 0041 00000000 0x0 0x0 0xffff910000017a00 0041 00000000 0x0 0x0 0xffff910000017a80 0041 00000000 0x0 0x0 0xffff910000017b00 0041 00000000 0x0 0x0 0xffff910000017b80 0041 00000000 0x0 0x0 0xffff910000017c00 0041 00000000 0x0 0x0 0xffff910000017c80 0041 00000000 0x0 0x0 0xffff910000017d00 0041 00000000 0x0 0x0 0xffff910000017d80 0041 00000000 0x0 0x0 0xffff910000017e00 0041 00000000 0x0 0x0 0xffff910000017e80 0041 00000000 0x0 0x0 0xffff910000017f00 0041 00000000 0x0 0x0 0xffff910000017f80 0041 00000000 0x0 0x0 0xffff910000018000 0041 00000000 0x0 0x0 0xffff910000018080 0041 00000000 0x0 0x0 0xffff910000018100 0041 00000000 0x0 0x0 0xffff910000018180 0041 00000000 0x0 0x0 0xffff910000018200 0041 00000000 0x0 0x0 0xffff910000018280 0041 00000000 0x0 0x0 0xffff910000018300 0041 00000000 0x0 0x0 0xffff910000018380 0041 00000000 0x0 0x0 0xffff910000018400 0041 00000000 0x0 0x0 0xffff910000018480 0041 00000000 0x0 0x0 0xffff910000018500 0041 00000000 0x0 0x0 0xffff910000018580 0041 00000000 0x0 0x0 0xffff910000018600 0041 00000000 0x0 0x0 0xffff910000018680 0041 00000000 0x0 0x0 0xffff910000018700 0041 00000000 0x0 0x0 0xffff910000018780 0041 00000000 0x0 0x0 0xffff910000018800 0041 00000000 0x0 0x0 0xffff910000018880 0041 00000000 0x0 0x0 0xffff910000018900 0041 00000000 0x0 0x0 0xffff910000018980 0041 00000000 0x0 0x0 0xffff910000018a00 0041 00000000 0x0 0x0 0xffff910000018a80 0041 00000000 0x0 0x0 0xffff910000018b00 0041 00000000 0x0 0x0 0xffff910000018b80 0041 00000000 0x0 0x0 0xffff910000018c00 0041 00000000 0x0 0x0 0xffff910000018c80 0041 00000000 0x0 0x0 0xffff910000018d00 0001 00000000 0x0 0x0 0xffff910000018d80 0001 00000000 0x0 0x0 0xffff910000018e00 0001 00000000 0x0 0x0 0xffff910000018e80 0001 00000000 0x0 0x0 0xffff910000018f00 0001 00000000 0x0 0x0 0xffff910000018f80 0001 00000000 0x0 0x0 0xffff910000019000 0001 00000000 0x0 0x0 0xffff910000019080 0001 00000000 0x0 0x0 0xffff910000019100 0001 00000000 0x0 0x0 0xffff910000019180 0001 00000000 0x0 0x0 0xffff910000019200 0001 00000000 0x0 0x0 0xffff910000019280 0001 00000000 0x0 0x0 0xffff910000019300 0001 00000000 0x0 0x0 0xffff910000019380 0001 00000000 0x0 0x0 0xffff910000019400 0001 00000000 0x0 0x0 0xffff910000019480 0001 00000000 0x0 0x0 0xffff910000019500 0001 00000000 0x0 0x0 0xffff910000019580 0001 00000000 0x0 0x0 0xffff910000019600 0001 00000000 0x0 0x0 0xffff910000019680 0001 00000000 0x0 0x0 0xffff910000019700 0001 00000000 0x0 0x0 0xffff910000019780 0001 00000000 0x0 0x0 0xffff910000019800 0001 00000000 0x0 0x0 0xffff910000019880 0001 00000000 0x0 0x0 0xffff910000019900 0001 00000000 0x0 0x0 0xffff910000019980 0001 00000000 0x0 0x0 0xffff910000019a00 0001 00000000 0x0 0x0 0xffff910000019a80 0001 00000000 0x0 0x0 0xffff910000019b00 0001 00000000 0x0 0x0 0xffff910000019b80 0001 00000000 0x0 0x0 0xffff910000019c00 0001 00000000 0x0 0x0 0xffff910000019c80 0001 00000000 0x0 0x0 0xffff910000019d00 0001 00000000 0x0 0x0 0xffff910000019d80 0001 00000000 0x0 0x0 0xffff910000019e00 0001 00000000 0x0 0x0 0xffff910000019e80 0001 00000000 0x0 0x0 0xffff910000019f00 0001 00000000 0x0 0x0 0xffff910000019f80 0001 00000000 0x0 0x0 0xffff91000001a000 0001 00000000 0x0 0x0 0xffff91000001a080 0001 00000000 0x0 0x0 0xffff91000001a100 0001 00000000 0x0 0x0 0xffff91000001a180 0001 00000000 0x0 0x0 0xffff91000001a200 0001 00000000 0x0 0x0 0xffff91000001a280 0001 00000000 0x0 0x0 0xffff91000001a300 0001 00000000 0x0 0x0 0xffff91000001a380 0001 00000000 0x0 0x0 0xffff91000001a400 0001 00000000 0x0 0x0 0xffff91000001a480 0001 00000000 0x0 0x0 0xffff91000001a500 0001 00000000 0x0 0x0 0xffff91000001a580 0001 00000000 0x0 0x0 0xffff91000001a600 0001 00000000 0x0 0x0 0xffff91000001a680 0001 00000000 0x0 0x0 0xffff91000001a700 0001 00000000 0x0 0x0 0xffff91000001a780 0001 00000000 0x0 0x0 0xffff91000001a800 0041 00000000 0x0 0x0 0xffff91000001a880 0041 00000000 0x0 0x0 0xffff91000001a900 0041 00000000 0x0 0x0 0xffff91000001a980 0041 00000000 0x0 0x0 0xffff91000001aa00 0041 00000000 0x0 0x0 0xffff91000001aa80 0041 00000000 0x0 0x0 0xffff91000001ab00 0041 00000000 0x0 0x0 0xffff91000001ab80 0041 00000000 0x0 0x0 0xffff91000001ac00 0041 00000000 0x0 0x0 0xffff91000001ac80 0041 00000000 0x0 0x0 0xffff91000001ad00 0041 00000000 0x0 0x0 0xffff91000001ad80 0041 00000000 0x0 0x0 0xffff91000001ae00 0041 00000000 0x0 0x0 0xffff91000001ae80 0041 00000000 0x0 0x0 0xffff91000001af00 0041 00000000 0x0 0x0 0xffff91000001af80 0041 00000000 0x0 0x0 0xffff91000001b000 0041 00000000 0x0 0x0 0xffff91000001b080 0041 00000000 0x0 0x0 0xffff91000001b100 0041 00000000 0x0 0x0 0xffff91000001b180 0041 00000000 0x0 0x0 0xffff91000001b200 0041 00000000 0x0 0x0 0xffff91000001b280 0041 00000000 0x0 0x0 0xffff91000001b300 0041 00000000 0x0 0x0 0xffff91000001b380 0041 00000000 0x0 0x0 0xffff91000001b400 0041 00000000 0x0 0x0 0xffff91000001b480 0041 00000000 0x0 0x0 0xffff91000001b500 0041 00000000 0x0 0x0 0xffff91000001b580 0041 00000000 0x0 0x0 0xffff91000001b600 0041 00000000 0x0 0x0 0xffff91000001b680 0041 00000000 0x0 0x0 0xffff91000001b700 0045 00000000 0x0 0x0 0xffff91000001b780 0045 00000000 0x0 0x0 0xffff91000001b800 0045 00000000 0x0 0x0 0xffff91000001b880 0041 00000000 0x0 0x0 0xffff91000001b900 0041 00000000 0x0 0x0 0xffff91000001b980 0041 00000000 0x0 0x0 0xffff91000001ba00 0045 00000000 0x0 0x0 0xffff91000001ba80 0041 00000000 0x0 0x0 0xffff91000001bb00 0045 00000000 0x0 0x0 0xffff91000001bb80 0045 00000000 0x0 0x0 0xffff91000001bc00 0045 00000000 0x0 0x0 0xffff91000001bc80 0041 00000000 0x0 0x0 0xffff91000001bd00 0041 00000000 0x0 0x0 0xffff91000001bd80 0041 00000000 0x0 0x0 0xffff91000001be00 0045 00000000 0x0 0x0 0xffff91000001be80 0041 00000000 0x0 0x0 0xffff91000001bf00 0001 00000000 0x0 0x0 0xffff91000001bf80 0001 00000000 0x0 0x0 0xffff91000001c000 0001 00000000 0x0 0x0 0xffff91000001c080 0001 00000000 0x0 0x0 0xffff91000001c100 0001 00000000 0x0 0x0 0xffff91000001c180 0001 00000000 0x0 0x0 0xffff91000001c200 0001 00000000 0x0 0x0 0xffff91000001c280 0001 00000000 0x0 0x0 0xffff91000001c300 0001 00000000 0x0 0x0 0xffff91000001c380 0001 00000000 0x0 0x0 0xffff91000001c400 0001 00000000 0x0 0x0 0xffff91000001c480 0001 00000000 0x0 0x0 0xffff91000001c500 0001 00000000 0x0 0x0 0xffff91000001c580 0001 00000000 0x0 0x0 0xffff91000001c600 0001 00000000 0x0 0x0 0xffff91000001c680 0001 00000000 0x0 0x0 0xffff91000001c700 0001 00000000 0x0 0x0 0xffff91000001c780 0001 00000000 0x0 0x0 0xffff91000001c800 0001 00000000 0x0 0x0 0xffff91000001c880 0001 00000000 0x0 0x0 0xffff91000001c900 0001 00000000 0x0 0x0 0xffff91000001c980 0001 00000000 0x0 0x0 0xffff91000001ca00 0001 00000000 0x0 0x0 0xffff91000001ca80 0001 00000000 0x0 0x0 0xffff91000001cb00 0001 00000000 0x0 0x0 0xffff91000001cb80 0001 00000000 0x0 0x0 0xffff91000001cc00 0001 00000000 0x0 0x0 0xffff91000001cc80 0001 00000000 0x0 0x0 0xffff91000001cd00 0001 00000000 0x0 0x0 0xffff91000001cd80 0001 00000000 0x0 0x0 0xffff91000001ce00 0001 00000000 0x0 0x0 0xffff91000001ce80 0001 00000000 0x0 0x0 0xffff91000001cf00 0001 00000000 0x0 0x0 0xffff91000001cf80 0001 00000000 0x0 0x0 0xffff91000001d000 0001 00000000 0x0 0x0 0xffff91000001d080 0001 00000000 0x0 0x0 0xffff91000001d100 0001 00000000 0x0 0x0 0xffff91000001d180 0001 00000000 0x0 0x0 0xffff91000001d200 0001 00000000 0x0 0x0 0xffff91000001d280 0001 00000000 0x0 0x0 0xffff91000001d300 0001 00000000 0x0 0x0 0xffff91000001d380 0001 00000000 0x0 0x0 0xffff91000001d400 0001 00000000 0x0 0x0 0xffff91000001d480 0001 00000000 0x0 0x0 0xffff91000001d500 0001 00000000 0x0 0x0 0xffff91000001d580 0001 00000000 0x0 0x0 0xffff91000001d600 0001 00000000 0x0 0x0 0xffff91000001d680 0001 00000000 0x0 0x0 0xffff91000001d700 0001 00000000 0x0 0x0 0xffff91000001d780 0001 00000000 0x0 0x0 0xffff91000001d800 0001 00000000 0x0 0x0 0xffff91000001d880 0001 00000000 0x0 0x0 0xffff91000001d900 0001 00000000 0x0 0x0 0xffff91000001d980 0001 00000000 0x0 0x0 0xffff91000001da00 0001 00000000 0x0 0x0 0xffff91000001da80 0001 00000000 0x0 0x0 0xffff91000001db00 0001 00000000 0x0 0x0 0xffff91000001db80 0001 00000000 0x0 0x0 0xffff91000001dc00 0001 00000000 0x0 0x0 0xffff91000001dc80 0001 00000000 0x0 0x0 0xffff91000001dd00 0001 00000000 0x0 0x0 0xffff91000001dd80 0001 00000000 0x0 0x0 0xffff91000001de00 0001 00000000 0x0 0x0 0xffff91000001de80 0001 00000000 0x0 0x0 0xffff91000001df00 0001 00000000 0x0 0x0 0xffff91000001df80 0001 00000000 0x0 0x0 0xffff91000001e000 0001 00000000 0x0 0x0 0xffff91000001e080 0001 00000000 0x0 0x0 0xffff91000001e100 0001 00000000 0x0 0x0 0xffff91000001e180 0001 00000000 0x0 0x0 0xffff91000001e200 0001 00000000 0x0 0x0 0xffff91000001e280 0001 00000000 0x0 0x0 0xffff91000001e300 0001 00000000 0x0 0x0 0xffff91000001e380 0001 00000000 0x0 0x0 0xffff91000001e400 0001 00000000 0x0 0x0 0xffff91000001e480 0001 00000000 0x0 0x0 0xffff91000001e500 0001 00000000 0x0 0x0 0xffff91000001e580 0001 00000000 0x0 0x0 0xffff91000001e600 0001 00000000 0x0 0x0 0xffff91000001e680 0001 00000000 0x0 0x0 0xffff91000001e700 0001 00000000 0x0 0x0 0xffff91000001e780 0001 00000000 0x0 0x0 0xffff91000001e800 0001 00000000 0x0 0x0 0xffff91000001e880 0001 00000000 0x0 0x0 0xffff91000001e900 0001 00000000 0x0 0x0 0xffff91000001e980 0001 00000000 0x0 0x0 0xffff91000001ea00 0001 00000000 0x0 0x0 0xffff91000001ea80 0001 00000000 0x0 0x0 0xffff91000001eb00 0001 00000000 0x0 0x0 0xffff91000001eb80 0001 00000000 0x0 0x0 0xffff91000001ec00 0001 00000000 0x0 0x0 0xffff91000001ec80 0001 00000000 0x0 0x0 0xffff91000001ed00 0001 00000000 0x0 0x0 0xffff91000001ed80 0001 00000000 0x0 0x0 0xffff91000001ee00 0001 00000000 0x0 0x0 0xffff91000001ee80 0001 00000000 0x0 0x0 0xffff91000001ef00 0001 00000000 0x0 0x0 0xffff91000001ef80 0001 00000000 0x0 0x0 0xffff91000001f000 0001 00000000 0x0 0x0 0xffff91000001f080 0001 00000000 0x0 0x0 0xffff91000001f100 0001 00000000 0x0 0x0 0xffff91000001f180 0001 00000000 0x0 0x0 0xffff91000001f200 0001 00000000 0x0 0x0 0xffff91000001f280 0001 00000000 0x0 0x0 0xffff91000001f300 0001 00000000 0x0 0x0 0xffff91000001f380 0001 00000000 0x0 0x0 0xffff91000001f400 0001 00000000 0x0 0x0 0xffff91000001f480 0001 00000000 0x0 0x0 0xffff91000001f500 0001 00000000 0x0 0x0 0xffff91000001f580 0001 00000000 0x0 0x0 0xffff91000001f600 0001 00000000 0x0 0x0 0xffff91000001f680 0001 00000000 0x0 0x0 0xffff91000001f700 0001 00000000 0x0 0x0 0xffff91000001f780 0001 00000000 0x0 0x0 0xffff91000001f800 0001 00000000 0x0 0x0 0xffff91000001f880 0001 00000000 0x0 0x0 0xffff91000001f900 0001 00000000 0x0 0x0 0xffff91000001f980 0001 00000000 0x0 0x0 0xffff91000001fa00 0001 00000000 0x0 0x0 0xffff91000001fa80 0001 00000000 0x0 0x0 0xffff91000001fb00 0001 00000000 0x0 0x0 0xffff91000001fb80 0001 00000000 0x0 0x0 0xffff91000001fc00 0001 00000000 0x0 0x0 0xffff91000001fc80 0001 00000000 0x0 0x0 0xffff91000001fd00 0001 00000000 0x0 0x0 0xffff91000001fd80 0001 00000000 0x0 0x0 0xffff91000001fe00 0001 00000000 0x0 0x0 0xffff91000001fe80 0001 00000000 0x0 0x0 0xffff91000001ff00 0001 00000000 0x0 0x0 0xffff91000001ff80 0001 00000000 0x0 0x0 0xffff910000020000 0001 00000000 0x0 0x0 0xffff910000020080 0001 00000000 0x0 0x0 0xffff910000020100 0001 00000000 0x0 0x0 0xffff910000020180 0001 00000000 0x0 0x0 0xffff910000020200 0001 00000000 0x0 0x0 0xffff910000020280 0001 00000000 0x0 0x0 0xffff910000020300 0001 00000000 0x0 0x0 0xffff910000020380 0001 00000000 0x0 0x0 0xffff910000020400 0001 00000000 0x0 0x0 0xffff910000020480 0001 00000000 0x0 0x0 0xffff910000020500 0001 00000000 0x0 0x0 0xffff910000020580 0001 00000000 0x0 0x0 0xffff910000020600 0001 00000000 0x0 0x0 0xffff910000020680 0001 00000000 0x0 0x0 0xffff910000020700 0001 00000000 0x0 0x0 0xffff910000020780 0001 00000000 0x0 0x0 0xffff910000020800 0001 00000000 0x0 0x0 0xffff910000020880 0001 00000000 0x0 0x0 0xffff910000020900 0001 00000000 0x0 0x0 0xffff910000020980 0001 00000000 0x0 0x0 0xffff910000020a00 0001 00000000 0x0 0x0 0xffff910000020a80 0001 00000000 0x0 0x0 0xffff910000020b00 0001 00000000 0x0 0x0 0xffff910000020b80 0001 00000000 0x0 0x0 0xffff910000020c00 0001 00000000 0x0 0x0 0xffff910000020c80 0001 00000000 0x0 0x0 0xffff910000020d00 0001 00000000 0x0 0x0 0xffff910000020d80 0001 00000000 0x0 0x0 0xffff910000020e00 0001 00000000 0x0 0x0 0xffff910000020e80 0001 00000000 0x0 0x0 0xffff910000020f00 0001 00000000 0x0 0x0 0xffff910000020f80 0001 00000000 0x0 0x0 0xffff910000021000 0001 00000000 0x0 0x0 0xffff910000021080 0001 00000000 0x0 0x0 0xffff910000021100 0001 00000000 0x0 0x0